Slides in PPT

advertisement
Microelectronic Device Fabrication I
(Basic Chemistry and Physics of
Semiconductor Device Fabrication)
Physics 445/545
David R. Evans
Atomic Orbitals
s-orbitals
p-orbitals
d-orbitals
Chemical Bonding
*
EB
s,p,d,etc.
s,p,d,etc.

Overlap of half-filled orbitals - bond formation
HA
HB
HA - HB = H2
Formation of Molecular Hydrogen from Atoms
*
EB
s,p,d,etc.
s,p,d,etc.

Overlap of filled orbitals - no bonding
Periodic Chart
Crystal Bonding
sp3 antibonding orbitals
sp3 bonding orbitals
Conduction Band
EC
3p
3s
Eg
sp3
Si
(separated atoms)
Si
Valence Band
(atoms interact to form
tetrahedral bonding geometry)
Silicon Crystal Bonding
Si crystal
EV
Semiconductor Band Structures
Silicon
Germanium
Gallium Arsenide
Intrinsic Semiconductor
EC
NC
Conduction Band
EF
EV
Eg
NV
Valence Band
Aggregate Band Structure
Fermi-Dirac Distribution
n-type Semiconductor
EC
NC
Conduction Band
Shallow Donor States
EF
Ei
EV
Eg
NV
Valence Band
Aggregate Band Structure
Donor Ionization
Fermi-Dirac Distribution
p-type Semiconductor
EC
NC
Conduction Band
Ei
EF
EV
Eg
Shallow Acceptor States
NV
Valence Band
Aggregate Band Structure
Acceptor Ionization
Fermi-Dirac Distribution
Temperature Dependence
Fermi level shift in extrinsic silicon
Mobile electron concentration (ND = 1.15(1016) cm3)
Carrier Mobility
No Field
Field Present
Pictorial representation of carrier trajectory
Carrier drift velocity vs applied field in intrinsic silicon
Effect of Dopant Impurities
Effect of total dopant concentration on carrier mobility
Resistivity of bulk silicon as a function of net dopant concentration
The Seven Crystal Systems
Bravais Lattices
Diamond Cubic Lattice
a = lattice parameter; length of cubic unit cell edge
Silicon atoms have tetrahedral coordination in a
FCC (face centered cubic) Bravais lattice
Miller Indices
z
y
O
z
x
100
y
O
z
110
x
y
O
x
111
Diamond Cubic Model
100
110
111
Cleavage Planes
Crystals naturally have cleavage planes along
which they are easily broken. These correspond to
crystal planes of low bond density.
100
110
111
Bonds per unit cell
4
3
3
Plane area per cell
a2
a2 2
Bond Density
4
3 2
a2
2a 2
 2 .1
a2 3
a2
2 3
a2
2
 3 .8
In the diamond cubic structure, cleavage occurs
along 110 planes.
a2
[100] Orientation
[110] Orientation
[111] Orientation
[100] Cleavage
[111] Cleavage
Czochralski Process
Czochralski Process Equipment
Image courtesy Microchemicals
Czochralski Factory and Boules
CZ Growth under Rapid Stirring
Distribution Coefficients
x=0
K
Dopant
B
P
0.72
0.32
As
Sb
0.27
0.020
Ga
Al
In
0.0072
0.0018
0.00036
Cs
dx
Cl
D opant Conce ntration R atio
10
0 .9
1
0 .5
0 .3
0 .2
0 .1
0 .0 5
0 .0 1
0 .1
0 .0 1
0
0 .2
0 .4
0.6
0 .8
1
L e ng th Fra ctio n
CZ Dopant Profiles under Conditions of Rapid Stirring
Enrichment at the Melt Interface
Zone Refining
Si Ingot
Heater
Ingot slowly passes through the needle’s eye heater so
that the molten zone is “swept” through the ingot from
one end to the other
Single Pass FZ Process
L
Co
Cs
dx
x=0
x
1
0.9
0.5
Dopant Concentration Ratio
0.3
0.2
0.1
0.03
0.1
0.01
0.01
0
2
4
6
Zone Lengths
8
10
Multiple Pass FZ Process
0.9
1
0.5
0.3
0.2
Dopant Concentration Ratio
0.1
0.03
0.01
0.1
0.01
0
2
4
6
8
10
12
Zone Lengths
Almost arbitrarily pure silicon
can be obtained by multiple
pass zone refining.
14
16
18
20
Vacancy (Schottky Defect)
“Dangling
Bonds”
Self-Interstital
Dislocations
Edge Dislocation
Screw Dislocation
Burgers Vector
Edge Dislocation
Screw Dislocation
Dislocations in Silicon
[100]
[111]
Stacking Faults
Intrinsic Stacking
Fault
Extrinsic Stacking
Fault
Vacancy-Interstitial Equilibrium
®
¬
Formation of a Frenkel defect - vacancy-interstitial pair
L ®
¬ V + I
“Chemical” Equilibrium
K eq = [V ][ I ]
Thermodynamic Potentials
E = Internal Energy
H = Enthalpy (heat content)
A = Helmholtz Free Energy
G = Gibbs Free Energy
For condensed phases:
E and H are equivalent = internal energy (total system energy)
A and G are equivalent = free energy (energy available for work)
A = E  TS
T = Absolute Temperature
S = Entropy (disorder)
S = k ln W
Boltzmann’s relation
Internal Gettering
Gettering removes harmful impurities from the
front side of the wafer rendering them electrically
innocuous.
O2
O2
O
O
O2
O2
O2
O
O
O
O
O
O
denuded zone
O
O
O
O
High temperature anneal - denuded zone formation
oxygen nuclei
Low temperature anneal - nucleation
oxide precipitates
(with dislocations and stacking faults)
Intermediate temperature anneal - precipitate growth
Oxygen Solubility in Silicon
Interstitial Oxygen Concentration, per cm3
1.0E+19
1.0E+18
1.0E+17
900
1000
1100
Temperature, deg C
1200
1300
Oxygen Outdiffusion
Precipitate Free Energy
a) - Free energy of formation of a spherical precipitate as a function of
radius
b) - Saturated solid solution of B (e.g., interstitial oxygen) in A (e.g.,
silicon crystal)
c) - Nucleus formation
Substrate Characterization by XRD
q
q
Constructive Interference
Destructive Interference
Bragg pattern - [hk0], [h0l], or [0kl]
Wafer Finishing
Ingot slicing into raw wafers
Spindle
Carrier
Capture Ring
Pad
Table
Wafer
Insert
Schematic of chemical mechanical polishing
Vapor-Liquid-Solid (VLS) Growth
H2 H2
H2 H2
SiH4
catalyst
substrate
SiH4
substrate
substrate
Si nanowires grown by VLS (at IBM)
Gold-Silicon Eutectic
liquid
A
B
solid
A – eutectic melt mixed with solid gold
B – eutectic melt mixed with solid silicon
Silicon Dioxide Network
Non-bridging
oxygen
SiO4 tetrahedron
Silanol
Thermal Oxidation
CG
CS
C
Co
F1
Ci
F2
F3
x
Si Substrate
Thermal SiO 2 Film
Gas
One dimensional model of oxide growth
Deal-Grove growth kinetics
Oxidation Kinetics
Transition
Energy
‡
Ea
Reactant
Product
DE
Process Coordinate
Rate constants for wet and dry oxidation on [100] and [111]
surfaces
Process
B/A for [100]
Dry Oxidation
1.03(103) e
Steam Oxidation
2.70(104) e
2.00
2.05
B/A for [111]
kT
1.73(103) e
kT
4.53(104) e
2.00
2.05
B
kT
0.214 e
kT
0.107 e
1.23
0.79
kT
kT
Note: Activation energies are in eV’s, B/A is in m/sec, B is in m2/sec
Linear Rate Constant
Orientation dependence for [100] and [111] surfaces affects
only the “pre-exponential” factor and not the activation
energy
Parabolic Rate Constant
No orientation dependence since the parabolic rate constant
describes a diffusion limited process
Pressure Dependence
Oxidation rates scale linearly with oxidant pressure or partial
pressure
Rapid Initial Oxidation in Pure O2
This data taken at 700C in dry oxygen to investigate initial
rapid oxide growth
Metal-Metal Contact
Evac
y = f 2  f1
f1
f2
E F1
EF
E F2
Metal 1
Metal 2
+
+
+
+
+





Metal-Silicon Contact
Evac
f Si f M
fM
f Si
Ec
E FM
EF
E FSi
Ev
Metal





Silicon
+
+
+
+
+
Effect of a Metal Contact on Silicon





+
+
+
+
+
Depletion (p-type)
EF
Ev





EF
jF
Ei
jF
EF





Ec
+
+
+
+
+
Inversion (p-type)
Ec
jF
jF
Ei
Ev
Ev
Ei
Ev
Accumulation (n-type)
Flat Band (n-type)
+
+
+
+
+
Ec
EF
Ei
Ec
EF
+
+
+
+
+
Ec





jF
Ei
Ev
Depletion (n-type)
Metal-Oxide-Silicon Capacitor
Evac
f Si f M
fM
E FM
fSiO
EC
2
E FSi
EV
Metal



f Si
Silicon Silicon
Dioxide
EF
+
+
+
MOS Capacitor on Doped Silicon






EC
E FM
E FM
jF
+
+
+
Depletion (p-type)
Ei
E FS i
EV
+
+
+
EC
jF
E FS i
Ei
EV
Accumulation (n-type)
Vg
0v
Schematic of biased MOS capacitor
Biased MOS Capacitors
E FM
E FM
EC
jF
jF
Ei
E FSi
EV
EC
E FS i
Ei
EV
Accumulation (p-type)
Inversion (n-type)
EC
jF
E FM
Ei
E FS i
EV
E FM
jF
EC
E FS i
Ei
EV
Depletion (p-type)
Depletion (n-type)
EC
jF
Ei
E FS i
EV
jF
E FM
E FM
EC
E FSi
Ei
EV
Inversion (p-type)
Accumulation (n-type)
CV Response
10
9
8
quasistatic
Capacitance
7
6
n-type substrate
5
4
high frequency
3
2
depletion
approximation
1
0
-100
-50
0
50
100
Bias Voltage
10
9
8
Capacitance
7
quasistatic
6
p-type substrate
5
4
high frequency
3
depletion
approximation
2
1
0
-50
-40
-30
-20
-10
0
10
Bias Voltage
20
30
40
50
Surface Charge Density
10000000
inversion
Surface Charge Density
1000000
100000
10000
n type substrate
1000
depletion
100
accumulation
10
1
-30
-20
-10
0
10
20
30
blue: positive
surface charge
red: negative
surface charge
Bias Voltage
10000000
inversion
Surface Charge Density
1000000
100000
10000
p type substrate
1000
depletion
100
accumulation
10
1
-30
-20
-10
0
Bias Voltage
10
20
30
CV vs Doping and Oxide Thickness
Capacitance (dimensionless linear scale)
10
9
8
7
6
Substrate
Doping
5
4
3
2
1
0
-100
-50
0
50
100
150
p-type substrate
Capacitance (dimensionless logarithmic scale)
1000
100
Oxide
Thickness
10
1
0.1
-150
-100
-50
0
50
Bias Voltage (dimensionless linear scale)
100
CV Measurements
C
Quasi-static CV
C
High Frequency CV
Cox
Cox
Cmin
Cmin
V
C
V
Deep Depletion Effect
Cox
Cmin
slow sweep
fast
very fast
extremely fast
V
C
C
Flat Band Shift
Cox
Fast Interface States
Cox
Ideal
Ideal
CFB
CFB
Actual
Actual
Cmin
Cmin
DVFB
VFB
V
VFB
V
Interface States
EC
EF
jF
Ei
EV
Interface states – caused by
broken symmetry at interface
EC
E FM




jF
Ei
E FS i
EV
Interface states – p-type depletion
E FM
+
+
+
+
+
jF
EC
E FSi
Ei
EV
Interface states – n-type depletion
Interface State Density
Interface state density is always higher on [111] than [100]
IV Response
avalanche breakdown
log J
Fowler-Nordheim
tunneling
Very T hin
T hin
T hick
10 MV/cm
E
Logarithm of current density (J) vs applied electric field (E)
Oxide Reliability
100%
poor reliability
good reliability
Per cent
Failed
“ infant” mortality
0%
time, t, or
total charge, Q
Each point represents a failed MOS structure - stress is
continued until all devices fail
QBD - “charge to breakdown” - constant current
stress
TDBD - “time dependent breakdown” - constant
voltage stress
Linear Transport Processes
J = LX
J = Flux, X = Force, L = Transport Coefficient
Ohm’s Law of electrical conduction: j = E = E/
J = electric current
density, j
(units: A/cm2)
X = electric field,
E = V
(units: volt/cm)
V = electrical potential
L = conductivity,
 = 1/
(units: mho/cm)
 = resistivity ( cm)
Fourier’s Law of heat transport: q = T
J = heat flux, q
(units: W/cm2)
X = thermal force,
T
(units: K/cm)
T = temperature
L = thermal
conductivity, 
(units: W/K cm)
Fick’s Law of diffusion: F = DC
J = material flux, F
(units: /sec cm2)
X = diffusion force,
C
(units: /cm4)
C = concentration
L = diffusivity, D
(units: cm2/sec)
Newton’s Law of viscous fluid flow: Fu = u
J = velocity flux, Fu
(units: /sec2 cm)
X = viscous force,
u
(units: /sec)
u = fluid velocity
L = viscosity, 
(units: /sec cm)
Diffusion
Dx
A
F (x+Dx)
F (x)
x
Diffusion in a rectangular bar of constant cross section
¶C
¶2C
=D 2
¶t
¶x
Fick’s Second Law
C ( x, t ) =
N
e
2 pDt
 ( x  x0 ) 2
4 Dt
Instantaneous Source - Gaussian profile
N0
x  x0 

C ( x, t ) =
erfc

2
 2 Dt 
Constant Source - error function profile
Instantaneous Source Profile
1.2
1
0.8
Linear scale
0.6
0.4
0.2
0
0
1
2
3
4
5
1.0
Log scale
0.1
0
0.5
1
1.5
2
Constant Source Profile
1.2
1
0.8
Linear scale
0.6
0.4
0.2
0
0
1
2
3
4
5
1.0
Log scale
0.1
0
0.5
1
1.5
2
Surface Probing
r
r
I
Substrate
T hin Film
I
Substrate
Single probe injecting
current into a bulk
substrate
Single probe injecting
current into a
conductive thin film
1
2
3
4
I
I
s
s
s
Substrate
Four point probe
xf
pn Junction
Evac
Ec
E Fn
EF
Ei
E Fp
Ev
n type Silicon
p type Silicon
Junction Depth
1.2
1
0.8
red: background
doping
0.6
0.4
black: diffused
doping
xJ
0.2
0
0
1
2
3
4
5
1.00
0.10
xJ
0.01
0
0.5
1
1.5
2
Unbiased pn Junctions
Band Diagram
EF

Charge Density
E
Electric Field
V
Potential
Biased pn Junctions
I
IV Characteristics
I0
V
1
C2
Vpn
CV Characteristics
V
Photovoltaic Effect
VOC
V
ISC
I
Solar Cell
typical cross section
equivalent circuit
Solar Cell IV Curve
I
ISC
Imax
P
Vmax
VOC
Effect of Parasitics, Temperature, etc.
effect of RS
effect of I0
effect of RSH
effect of n
effect of T
Solar Cell Technology
Commercial solar cell
LED IV Characteristics
LED Technology
Commercial LED’s
RGB spectrum
white spectrum
(with phosphor)
Diffusion Mechanisms
Vacancy Diffusion - Substitutional impurities,
e.g., shallow level dopants (B, P, As, Sb, etc.),
Diffusivity is relatively small for vacancy
diffusion.
Interstitial Diffusion - Interstitial impurities,
e.g., small atoms and metals (O, Fe, Cu, etc.),
Diffusivity is much larger, hence interstitial
diffusion is fast compared to vacancy diffusion.
Interstitialcy Mechanism - Enhances the
diffusivity of substitutional impurities due to
exchange with silicon self-interstitials. This
leads to enhanced diffusion in the vicinity of the
substrate surface during thermal oxidation (socalled “oxidation enhanced diffusion”).
Defect-Carrier Equilibria
V x ®¬ V  + h +
V  ®¬ V = + h +
V x ®¬ V + + e 
V + ®¬ V ++ + e 
KV =
KV= =
KV+ =
V
V
x
V=
V

V+
V
KV++ =
x
p
p
n
V ++
V
+
n
Vacancies interact with mobile carriers and
become charged. In this case, the concentrations
are governed by classical mass action equilibria.
Arrhenius Constants for Dopant Atoms
DoIr
(cm2/sec)
QIr
(eV)
0.015
16
10
1180
3.89
4.54
5.1
5.09
0.066
12.0
3.44
4.05
0.037
0.76
3.46
3.46
0.374
28.5
3.39
3.92
3.85
4.44
44.2
3.66
4.00
4.37
V
0.214
15.0
3.65
4.08
Vx
0.05
3.65
Atomic Species
I
Diffusion Mechanism
Si
Vx
Vr
V
V=
V+
As
Vx
V
B
Vx
V+
Ga
Vx
V+
P
Vx
V
V=
Sb
N
Vx
Arrhenius Constants for Other Species
Atomic Species
Mechanism,
Temperature, etc.
DoI
(cm2/sec)
QI
(eV)
Ge
substitutional
6.25(105 )
5.28
Cu
(300-700C)
(800-1100C)
4.7(10 3 )
0.04
0.43
1.0
2(10 3 )
1.6
Ag
Au
substitutional
interstitial
(800-1200C)
2.8(10 3 )
2.4(10 4 )
1.1(10 3 )
2.04
0.39
1.12
Pt
150-170
2.22-2.15
Fe
6.2(10 3 )
0.87
Co
9.2(10 4 )
2.8
C
1.9
3.1
S
0.92
2.2
O2
0.19
2.54
H2
He
9.4(10 3 )
0.11
0.48
1.26
Solid Solubilities
Ion Implantation
Dopant species are ionized and accelerated by a
very high electric field. The ions then strike the
substrate at energies from 10 to 500 keV and
penetrate a short distance below the surface.
v¢i
tangent plane
(edge on)
k̂ q
q
vi
v̂ ^
v̂ ||

i
b
c
s
v¢s
Elementary “hard sphere” collision
Co-linear or “Centered” Collision
tangent plane
b=0
c= p
q=0
(edge on)
v̂ ^
v̂|| v i
k̂
v¢i
i
s
v¢s

 m  ms 
vi¢ =  i
vi
 mi + ms 
;
 2mi 
v¢s = 
vi
 mi + ms 
Clearly, if mi<ms, then vi¢ is negative. This means that light implanted ions tend to be
scattered back toward the surface. Conversely, if mi>ms, then vi¢ is positive and heavy
(v¢  v̂ )
ions tend to be scattered forward into the bulk. Obviously, if mi equals ms, then i || 0
vanishes. In any case, recoiling silicon atoms are scattered deeper into the substrate.
Stopping Mechanisms
Nuclear Stopping - Direct interaction between
atomic nuclei; resembles an elementary two
body collision and causes most implant damage.
Electronic Stopping - Interaction between
atomic electron clouds; sort of a “viscous drag”
as in a liquid medium. Causes little damage.
Implant Range
Range - Total distance traversed by an ion
implanted into the substrate.
Projected Range - Average penetration depth of
an implanted ion.
Implant Straggle
Projected Straggle - Variation in penetration
depth. (Corresponds to standard deviation if the
implanted profile is Gaussian.)
Channeling
Channeling is due to the crystal structure of the
substrate.
Implantation Process
For a light dose, damage is isolated. As dose is
increased, damage sites become more dense and
eventually merge to form an amorphous layer.
For high dose implants, the amorphous region
can reach all the way to the substrate surface.
Point-Contact Transistor
Bipolar Junction Transistor
E
n
B
C
p
n
Junction FET
S
G
n
D
p
n
MOSFET
S
G
n
D
n
p
enhancement mode
S
n
G
D
n
p
depletion mode
Enhancement Mode FET
7V
6V
5V
4V
Download