This Catalog is a compilation of equipment at the six Israel nano centers available to all users on a fee basis: internal users, researchers at other universities and industry. INNI encourages use of this equipment by all researchers and industry, improving cooperation on projects and more quickly advancing technology development. User fees are set by the Universities and all contracts are made directly with the relevant university. Information requests should be directed to the contact people indicated in the tables. TEL AVIV UNIVERSITY Instrument HRTEM Tecnai F20 HRSEM JSM-6700F Electron Beam Lithography RAITH 150 SEM JEOL 6400 and Elphy Electron Beam Lithography Description Transmission Electron Microscope Tecnai F20@: 200KV Field Emission Gun including EDS detector Objective lens: Cs=1.2mm (Point Resolution 0.24nm, tilting ±40º) Special attachment: Electron Holography Special Software: TrueImage@ - Through focus reconstruction Cold filed emission gun scanning electron microscope.Accelerating voltages 0.5-30 kV Resolution of 1.0 nm at 15 kV and 2.2 nm at 1.0 kV.Secondary electron imaging (SEI) capability and backscattered electron imaging (BEI) . VANTAGE X-ray microanalysis system of THERMONORAN provides element identification, quantitative microanalysis and mapping down to boron. Resolutions of 50 nm for direct-write lithographic applications. * Filament type: Schottky Thermal Field Emission * Selectable beam energy 200 V - 30 kV * Probe current: 4 pA- 10nA * Writing field: 1 micron - 800 micron * Alignment accuracy (<100 um field): 60 nm * Field stitching and mix and match accuracy: 60 nm (100 um fields). * Writing speed: 10 MHz * Sample handling: full 6" mask and wafer * Image resolution: 2.0 nm @ 20 kV, 4.0 nm @ 1 kV Software-oriented, analytical-grade SEM, which is capable of acquiring and digitizing images. The microscope is equiped with the Raith Elphy Quantum e-beam lithography system with electrostatic beam blanker and stage motor controller fully integrated into the SEM. ELPHY Quantum hardware is a specifically designed 16 bit, 2.5 MHz DAC vector scan beam deflection PC board installed into a state of the art Windows computer. Equipment Location Wolfson MRC TAU Faculty Operator Academia Industry TBD TBD Operator Faculty in Charge Contact email Contact phone Dr. Yossi Lereah MC director lereah@eng.ta u.ac.il 03-6407776 MNCF Director alextsuk@post .tau.ac.il 03-6405713 Self $300 (for 3 hours) The Center for Nanoscience and Nanotechnology $75 $50 $160 operator 210 operator Dr. Alexander Tsukernik, Mrs. Netta Hendler The Center for Nanoscience and Nanotechnology $75 $50 $160 operator 210 operator Dr. Alexander Tsukernik MNCF Director alextsuk@post .tau.ac.il 03-6405713 $105 Dr. Alexander Tsukernik, Mr. Denis Glozman MNCF Director alextsuk@post .tau.ac.il 03-6405713 The Center for Nanoscience and Nanotechnology $55 $30 $105* Instrument AFM Agilent (Molecular Imaging) PicoPlusTM PicoSPM II (MI) AFM Veeco MultiModeT M Nano Scope IV ESEM Quanta 200 FEG UHV STM Description In addition to presenting a surface image, SPM can also provide quantitative measurements of feature sizes, such as step height, and other sample characteristics, such as surface potential, capacitance and friction measurements. Molecular Imaging (Agilent) PicoPlusTM PicoSPM II (MI) – High resolution Atomic Force Microscpoy (AFM) and Scanning Tunneling Microscopy (STM), liquid AFM, Current and capacitance microscopy. Scanning Probe Microscopy (SPM), provides atomic or near-atomic-resolution surface topography. In addition to presenting a surface image, SPM can also provide quantitative measurements of feature sizes, such as step height, and other sample characteristics, such as surface potential, capacitance and friction measurements. Veeco (Digital Instruments) MultiModeTM Nano Scope IVAFM, Magnetic Force Microscopy, Close-loop picoforce microscopy, Fast scanning AFM Kelvin Force Microscopy. An environmental scanning electron microscope (ESEM) with three vacuum modes: high vacuum (<10-3torr), low vacuum (<1torr) and wet-mode (<10 torr). Detectors for surface analysis: high vacuum conventional Everhart-Thornley detector, low vacuum large-field gaseous detector (LFD) and wet-mode Gaseous SE detector (GSED). Peltier cooling stage is used for control of sample temperature in wet-mode. Solid-state detector (SSD) or Gaseous Analytic Detector (GAD) is used for electron backscattered imaging. Scanning. Transmission Electron Microscope (STEM) detector is provided in high vacuum and WetSTEM device in wet-mode. Special attachments: Kammarath&Weiss Tensile system, NORDLYS II HKL electron backscattered diffraction (EBSD) system, and Oxford liquid nitrogen cooled Si EDS detector for element analysis. STM/AFM unit.Image temperatures 25K (by liquid helium cooling) to 600K (by resistive heating). AFM modes contact and non-contact. In addition, the system is equipped with a vacuum loadlock, ion gun and heaters for surface preparation, and LEED/AUGER surface characterization techniques. Contact persons: Prof. Yossi Rosenwaks, School of Electrical Engineering and Dr. Gil Markovich, School of Chemistry. Equipment Location TAU Faculty Academia Industry Operator Faculty in Charge Contact email Contact phone Operator Self The Center for Nanoscience and Nanotechnology $30 $10 $40 self use $60 operator NA Moshe Eliyahu MNCF Director mosheel@eng .tau.ac.il 03-6405183 The Center for Nanoscience and Nanotechnology $30 $10 as above NA Moshe Eliyahu MNCF Director mosheel@eng .tau.ac.il 03-6405183 Wolfson MRC $65 $65 $130 $200 Dr. Zahava Barkay MC Director barkay@post.t au.ac.il 03-6407818 - Yossi Rosenwaks, Gil Markovich gilmar@post.t au.ac.il The Center for Nanoscience and Nanotechnology - - - Instrument LEXT confocal microscope, Olympus (Clean Rooom) Description Confocal laser scanning microscope. Both 3D observation and high-precision 3D measurement are possible in real time. Designed for sub-micron imaging, with lateral 0.12um and 0.01 um Z resolution and accurate threedimensional measurement capability. K&S Wire Bonder VST TFDS680 Evaporator A general purpouse deposition system for metallic layers on wafers, with sizes varing from 5" to pieces. 6 e-gun sources, 1 thermal sources, rotating sample holder, cooling capabilities for the sample from liquid N2. two load loack acces 39 & 20 cm.metals evaporated Cr ,Au ,Ti ,Ag ,Co ,Ni ,Sio2 ,Al ,80%Ni20%Cr ,Pt ,Cu. Base pressure is 3x10-8 Torr. Equipment Location Operator Faculty in Charge Contact email Contact phone $105* $105 Assaf Hazzan MNCF Director TBD TBD Gregory Avrushchen ko MNCF Director oksman@eng. tau.ac.il 03-6407926 The Center for Nanoscience and Nanotechnology $75 $50 The Center for Nanoscience and Nanotechnology 20 $ for 50 bonds maximum The Center for Nanoscience and Nanotechnology $75 $50 process dependent process dependent Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 $75 $50 $105* $105 Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 $75 $50 $105* $105 Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 $75 $50 $105* $105 Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 $75 $50 $105* $105 Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 $75 $50 $105* $105 Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 $75 $50 $105* $105 Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 03-6407926 Yearly subscripti on Yearly subscrip tion TBD TBD Gregory Avrushchen ko MNCF Director griga@post.ta u.ac.il 03-6405713 Class 10000 and Class 1000 clean rooms Profilometer Dektak® 8 Veeco (Clean Room) The Dektak® 8 Advanced Development Profiler combines high repeatability, low-force sensor technology, and advanced 3D data analysis for surface characterization of MEMS, semiconductors and other thin/thick films Wet Benches (Clean Room) Capability of photoresist coating and developing. Cleaning and wet etching, for processing silicon and compound semiconductors. Oerlicon RIE PlasmaTher m -790 RIE (Clean room) MJB3 Contact Mask Aligner, KARL SUSS Plasma Cleaner Diener Pico (Clean Eoom) The PlasmaTherm -790 RIE system is used to etch /strip dielectric and organic films such as silicon dioxide, silicon nitride or SiOxNy, polysilicon, silicon, polyimide, photoresists and etc. The Karl Suss MJB3 exposure system is an optical contact mask aligner which aligns and transfer patterns from a quartz or glass mask to a photoresist coated wafer by exposing it to UV light 365 nm wavelength. The Center for Nanoscience and Nanotechnology Glove-box "farm" which includes 9 glove-boxes attached togeher equipped with chemical lab, evaporator, vacuum oven, freezer, evaporator and various characterization tools Industry Self Clean Rooms Glove box Farm Academia Operator The Center for Nanoscience and Nanotechnology The Center for Nanoscience and Nanotechnology The Center for Nanoscience and Nanotechnology The Center for Nanoscience and Nanotechnology Low pressure Plasma Cleaner TAU Faculty 03-6407926 Instrument FTIR PEMIRAS (In Glove box Farm) Ellipsometer Alpha SE (in Farm box farm) Description Equipment Location The Center for Nanoscience and Nanotechnology The Center for Nanoscience and Nanotechnology The Center for Nanoscience and Nanotechnology The Center for Nanoscience and Nanotechnology TAU Faculty Operator Self Academia Industry Operator Faculty in Charge Contact email Contact phone $40 $20 $80 operator $150 operator Taly Fux MNCF Director griga@post.ta u.ac.il 03-6405713 $30 $10 $60 operator $120 operator Taly Fux MNCF Director griga@post.ta u.ac.il 03-6405713 $30 $20 $60 operator $120 operator Gregory Avrushchen ko MNCF Director griga@post.ta u.ac.il 03-6405713 $30 $10 $60 operator $120 operator Moshe Eliyahu MNCF Director mosheel@eng .tau.ac.il 03-6405183 The Center for Nanoscience and Nanotechnology $85 $50 process dependent process dependent Assaf Hazzan MNCF Director oksman@eng. tau.ac.il 036407926 Evaporator located inside glovebox equipped with thermal and ebaem evaporator Faculty of Engineering, Microfabricatio n facility mask dependent mask depende nt mask dependent mask dependent Mark Oksman MNCF Director oksman@eng. tau.ac.il 036407926 Special attachments include: Kammarath&Weiss Tensile system, NORDLYS II HKL electron backscattered diffraction (EBSD) system, and Oxford liquid nitrogen cooled Si EDS detector for element analysis. Faculty of Engineering, Microfabricatio n facility $105 Dr. Alex Gurevich,, David Schreiber MNCF Director oksman@eng. tau.ac.il 036407926 Karl Suss MJB 3 Mask Aligner Optical Mask Aligner, Wafers and pieces up to 3" diameter Faculty of Engineering, Microfabricatio n facility $105 Dr. Alex Gurevich,, David Schreiber MNCF Director High density plasma etcher Nextral 860 RIE/HDP The Reactive Ion Etcher Nextral 860 is a High Density Plasma (HDP) reactor capable of dielectric etching for frontside de-processing, and of very fast etching for backside silicon thinning of packaged dies while maintaining full electrical functionality. In addition, the Nextral 860 HDP achieves excellent etch uniformity on 200 mm (8”) wafers. The system possible to etch SiO2, Si3N4, oxinitride, Si, TaN,TiN, Quartz, various polymer films Faculty of Engineering, Microfabricatio n facility $105 Dr. Alex Gurevich, Mark Oksman MNCF Director Probe Station AFM NTMDT (In Glove box farm) Evaporator VST TFDS870 (In Glove box Farm) DWL 66 Laser Writer, Heidelberg Instruments Karl Suss MA-6 Top side & back side Mask Alighner PEM-IRAS for chamical analysis of surfaces located inside glove box spectroscopic ellipsometer located inside glove box for thickness measurments 2 probe stations for operation in ambient and in controlled eninroment conditions AFM NTMDT SOLVER PRO P47H In A GLOVEBOXAFM for environment and/or optically sensitive samples. This AFM is operated inside aglove-box with less than 0.1 ppm oxygen and water. The VST evaporator model TFDS-870 is a general purpouse deposition system for metallic layers on wafers, with sizes varing from 5" to pieces. It is equipped with 4 egun sources, 2 thermal sources, rotating sample holder, cooling & heating capabilities for the sample from liquid N2 to till 200°C .evaporated materials Au ,Ti , ,Ni ,Sio2 ,Al ,Pt , The system base pressure is 1.3x10-7 Torr. $75 $75 $75 $50 $50 $50 $105* $105* $105* 036407926 oksman@eng. tau.ac.il 036407926 Instrument Description Plasma therm SLR770 ICP Deep Reactive Ion etcher A 6" diameter inductive coupled plasma etch system, the Plasma Therm shuttlelock SLR 770 is configured with a load-lock capable of handling 3" to 6" wafers. It provides deep silicon trench etching through a licensed Bosch fluorine-based process. Full ranges of etch processes are available for all levels of MEMS, MOEMS and bio-MEMS device fabrication. Photonic crystalls etch process with nanometer resolurion developed by TAU is also available Plasma Lab Reactive Ion etcher Simple RIE system for Glass, LiNbO3, SiO2 and Si3N4 and also photoresist plasma etching Plasma Preen microwave plasma etcher Simple Microwave plasma asher E-beam evaporator Edwards-306 E-beam evaporator with 4 crucibles for evaporation of metallic and dielectric films Equipment Location Faculty of Engineering, Microfabrication facility TAU Faculty Operator Self Academia Industry Operator 210 operator Dr. Alex Gurevich, Mark Oksman MNCF Director $75 $50 160 operator $75 $50 $105* $105 Dr. Alex Gurevich MNCF Director $75 $50 $105* $105 Dr. Alex Gurevich MNCF Director process dependent process depende nt process dependent process dependent Dr. Alex Gurevich MNCF Director $75 $50 process dependent process dependent $75 $50 process dependent process dependent $50 process dependent process dependent Dr. Alex Gurevich, Mark Oksman MNCF Director Dr. Alex Gurevich, Mark Oksman MNCF Director RF sputtering system with 3 target holders. Metall and dielectric films deposition. Reactive sputtering with Nitrogen and Oxygen plasma is available. Substarte biasing possibility, also during sputtering process. Faculty of Engineering, Microfabrication facility Faculty of Engineering, Microfabrication facility Faculty of Engineering, Microfabrication facility Faculty of Engineering, Microfabrication facility Ion -beam sputtering system with 4 target holders. Metal and dielectric film deposition with excellent quality and adhesion without substrate heating. Faculty of Engineering, Microfabrication facility Penta Vacuum RF/DC Sputtering System Sputtering system designed for deposition of common metals and insulators on substrates up to 6"diameter. A load-lock chamber and a deposition chamber . The system can operate in two modes: (1) serial sputtering where multiple-layers can be deposited one on top of the other; (2) co-sputtering mode where3 targets can simultaneously be deposited on the substrate. Faculty of Engineering, Microfabrication facility PECVD OERLICON The OERLICON -790 PECVD system is used to deposit dielectric films such as silicon dioxide, silicon nitride or SiOxNy.( in the installation process) Faculty of Engineering, Microfabrication facility TBD TBD TBD TBD ATV PEO603 Vacuum Furnace for Si oxidation Faculty of Engineering, Microfab facility TBD TBD TBD TBD Maurice Saidian The Hisomet is a non-contact depth measuring microscope that has been designed based on the optical focal point detection system. Precise measurements of length and width are possible by Mitutoyo micrometric stage Faculty of Engineering, Microfabrication facility $75 $50 $105* $105 all users RF Diode Sputtering System MRC 8620 Ion Beam Sputtering System CSC Millatorn IV PEO 603 Furnace, ATV Hisomet II measuring microscope Faculty in Charge $75 Mark Oksman, Maurice Saidian Mark Oksman, Maurice Saidian Mark Oksman, Contact email 036407926 oksman@eng. tau.ac.il oksman@eng. tau.ac.il 036407926 036407926 oksman@eng. tau.ac.il 036407926 036407926 oksman@eng. tau.ac.il MNCF Director MNCF Director 036407926 036407926 MNCF Director MNCF Director Contact phone 036407926 036407926 oksman@eng. tau.ac.il 036407926 Instrument Description Equipment Location Spectroscopic Ellipsometer, Woollam M2000DUV The M-2000DUV Spectroscopic Ellipsometer. The ellipsometer measures 505 wavelengths covering 193 to 1000nm, ideal for semiconductor applications. Optical constants are measured at each lithograph line (193nm, 248nm, 365nm...). The entire spectrum of data is acquired in a fraction of a second. Faculty of Engineering, Microfabricatio n facility Surface profiler, ALPHASTEP 500, TENCOR Measurements on vertical features ranging in height from less than 50 angstroms to 300 micrometers. Resolution of 1Å reproducibility 10 Å or 0.1%. Faculty of Engineering, Microfabricatio n facility Sentech FTP Spectroscopic Reflectometer Dielectric film thickness measurement, using spectroscopic reflectometry (450-920 nm). Film thickness from 50 nm to 25 micron with accuracy of 1 nm XRF EX3600, Jordan Valley X-ray fluorimeter system for specimen composition analyses and non destructive film thickness measurements Olympus MX-40, Olympus MX-50 Inspection Microscopes Wafer dicing saw K&S 982-6 Plus The K&S Model 982 is a Precision Dicing System having automated process control. The 982 Series is intended primarily for dicing applications on semiconductors and piezo-electric materials and it is characterized by a 2-inch spindle, allowing use of 2” and 3” blades, and can accommodate wafer-type substrates up to 6" in diameter. In addition, the 2” blade offers improved stability for better quality. Ultra Tec MULTIPOL polisher Polisher Faculty of Engineering, Microfabricatio n facility Faculty of Engineering, Microfabricatio n facility Faculty of Engineering, Microfabricatio n facility TAU Faculty Operator Self $75 $75 $50 $50 Academia Industry $105* $105 Mark Oksman MNCF Director 036407926 $105 Dr. Aleks Gurevich, David Schreiber MNCF Director 036407926 $105* Operator Faculty in Charge Contact email Contact phone oksman@eng. tau.ac.il $75 $50 $105* $105 Mark Oksman MNCF Director 036407926 $75 $50 $105* $105 Mark Oksman MNCF Director 036407926 oksman@eng. tau.ac.il $75 $50 $105* $105 all users MNCF Director 036407926 Faculty of Engineering, Microfabricatio n facility $75 $50 $105* $105 Maurice Saidian MNCF Director 036407926 Faculty of Engineering, Microfabricatio n facility $75 oksman@eng. tau.ac.il $50 $105* $105 MNCF Director 036407926 * NOTE: 50% DISCOUNT FOR $10,000 OPEN ORDER; 25% DISCOUNT FOR $5,000 OPEN ORDER for internal users, $55/hour operator cost for ACADEMIA, $105/hour operator cost for Industry " יהיו התעריפים המופיעים באתרי מרכז התשתית בטכניון הקובעים, בכל מקרה של סתירה בין תעריפים אלו והתעריפים המפורסמים באתרי מרכזי התשתית בטכניון. 0202 "התעריפים המצוינים בקובץ זה נכונים לחודש יוני. TECHNION Instrument E-beam writing system RAITH Eline GCA AUTOstep 200 stepper 5X ICP etcher Plasma Therm Shuttleline ICP deep RIE Plasma Therm Versaline Description The RAITH E-Line is an electron beam lithography system designed for R&D of III-V transistors as well as process development and prototype engineering for optical elements, X-ray masks, and Silicon devices. It is also used for research of new devices that require ultra fine pattern exposure, including quantum effect devices. PMMA is the standard positive e-beam resist, usually purchased in two high molecular weight forms (495K or 950K) in a casting solvent such as chlorobenzene or anisole. Electron beam exposure breaks the polymer into fragments that can be dissolved in a 1:1 MIBK:IPA developer. GCA stepper is a 5x 0,35NA stepper with environmental control. Uses 5"x5" soda lime reticles 365 nm optics. Capable of features down to 0.7 mkm in size. capable of 0.25 mkm pattern global overlay, and 0.15 mkm local. Features on the mask are reduced 5x down to the printed feature size. Excellent tool for larger feature sizes. Field 11.3 x 11.3 mm, depth of focus 1.49 mkm The Plasma-Therm ICP reactive ion etcher has a loadlocked chamber and is used for chlorine-based etching of compound semiconductors such as GaAs, GaN, InP and related materials. The system currently has three reactive gases available Cl2, BCl3,HBr. The system is equipped by a turbomolecular pump and capable to run processes at 1-2 mTorr pressures. The system has a four inch wafer helium cooled chuck which accommodates whole wafers or small pieces taken on 4 inch carrier wafer. The system is equipped by end point detection system. Wafer temperature range can be determined between -60 oC to 150 oC. The Plasma-Therm ICP reactive ion etcher has a loadlocked chamber and is used for fluorine-based etching of silicon,silicon oxide and nitride. The system can run BOSH like processes for silicon and glass. The system currently has three reactive gases available CF4, CHF3,SF6. The system is equipped by a turbomolecular pump and capable to run processes at 1-2 mTorr pressures. The system has a six inch wafer helium cooled chuck which accommodates whole wafers or small pieces taken on 6 inch carrier wafer. The system is equipped by end point detection system. Wafer temperature range can be determined between -10 oC to 70 oC. Equipment Location Technion Faculty Operator Academia Industry Operator Self Faculty in Charge/ Link Contact email Contact phone Electrical Engineering, Kidron Microelectroni cs Research Center Note: Internal grants for Technion and Academia researchers 60$ +70$ expert time 60$ +70$ expert time 60$ +70$ expert time Eng. Amit Zeidler http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 Electrical Engineering, Kidron Microelectronic s Research Center 60$+ 70$ expert hour + 100$ clean room hour 60$+70$ expert time+ 100$ clean room hour 60$+70$ expert time+ 100$ clean room hour Eng. Amit Zeidler http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 Electrical Engineering, Kidron Microelectronic s Research Center 300$+ 100$ clean room hour 300$+ 100$ clean room hour 300$+ 100$ clean room hour http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 Electrical Engineering, Kidron Microelectronic s Research Center 500$ (500 mkm process) 200$ (50 mkm) +100$ clean room hour 500$ (500 mkm process) +100$ clean room hour 500$ (500 mkm process) +100$ clean room hour http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 500$ (500 mkm process) +100$ clean room hour Dr. Orna Ternyak Instrument Nanospec AFT 2100 NANOMETRICS Four Point Probe FPP 5000 VEECO ALPHASTEP 500, Surface profiler, TENCOR Ellipsometers : GAERTNER 116C; RUDOLPH AUTO II Description Equipment Location The Nanospec/AFT is a computerized film thickness measurement system. The Nanospec uses light from a white source which is passed through a diffraction grating to disperse the light into its component wavelengths, from 380 to 780 nanometers. The interference of the light waves is then measured using several algorithms and the thickness is determined. This instrument offers programs on eleven specific film types, relative reflectance, and multipurpose thick film capability. The Nanospec only works with dielectric films. The range of the instrument extends from less than 100 angstroms to 400K angstroms, with a reproducibility of plus/minus 2 percent to plus/minus 5 percent. MNFU (Microelectroni cs Research Center) The four point probe is an instrument to measure resistive properties (such as: sheet resistance, dopant type, slice resistivity and film thickness) of semiconductor wafers and resistive films based on a four point voltage/current measurement. The FPP5000 is designed so that the wafer moves into the probe head to insure a constant force. Any size sample from 1 cm to a 4" wafer can be measured The Alpha-Step 500 is a microprocessor - based surface profiler used for making accurate measurements on vertical features ranging in height from less than 50 angstroms to 300 micrometers. Alpha Step 500 acquires data by moving the stylus beneath the sample. Vertical movements of the stylus are sensed by a transducer, digitized and stored in the memory for later plot manipulation. Profiler provides two dimensional analysis of surface topography on various surfaces with a resolution of 1 Å and a repeatability of 10 Å (1sigma) or 0.1% The Gaertner Scientific Corporation L116C is a single wavelength variable angle ellipsometer using 632.8 nm line of a He:Ne laser for measuring film thickness and refractive index. The typical angle of incidence is 70 degrees. Measurement resolution of 3-10 A on thickness and 0.01 refractive units are typical. The L116C is a traditional rotating analyzer and the variable angle feature gives more flexibility to analyze more difficult and near period films. Standard DOS based software is used to calculate the parameters. The ellipsometer measures the change in state of polarized light upon reflection from a surface. The state of polarization is determined by the amplitude ratio of the parallel (p) and perpendicular (s) components of radiation, and the phase shift difference between the two components. Technion Faculty Operator Academia Industry Operator Self The MNFU (micro nano fabrication unit) is the Microelectronics Research central facility for processing and characterization of semiconductor devices. It is located, mainly, in the Wolfson building clean-room area. The facility serves the researchers and the students in the microelectronics reasearch center, as well as other users from the Technion, other israeli universities, and Israeli industry. Prospect users of the MNFU equipment should consult the MNFU manager Eng. Jacob Shneider at shneider@ee.technion.ac.il Faculty in Charge/ Link Contact email Contact phone http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 Instrument Spectroscopic Ellipsometer SOPRA Atomic Force Microscope DI 3000 CV plotter, MDC Description Spectroscopic ellipsometer – spectral range 0.23um-2um. Variable angel of incidence. Software to fit measurements to model. Atomic Force Microscope provides the ability to image the surface topography and roughness of conducting and dielectric films as well as adsorbed molecules and nanoparticles. The Dimension 3100 system is designed to make measurements at the nanometer (X-Y) and subangstrom (Z) scales. The system allows users to profile and inspect topography in Contact and Tapping modes in air and in fluids. The Nanoscope in minutes can be switched to one of electrical special modules to provide: scanning capacitance microscopy (C-V characteristics); current AF microscopy (C-AFM) (local I-V characteristics); tunnelingAFM (TUNA) similar to C-AFM but with ultra low current measurement capability. The system has a vibration isolation enclosure, to get a vertical noise resolution of less than 0.5 Angstrom. The system accommodates wafers to 8 inch and 0.5 inch thickness and has 410-1845 magnification range with 13 inch image monitor. The MDC C-V plotter is a current -voltage measurement system used to analyze the properties of high quality semiconductor and oxide materials. The MDC system includes BOONTON 7200: 1 MHz capacitance or conductance, 100 Volts meter, based on CSM/Win System software and operated by DELL Computer. The MDC system integrates 2 (two) types of probe stations: a QuietCHUCK Hot Chuck System for mobile ion measurements by conventional bias temperature stress technique (CVBT); and a Mercury Probe for temporary, non-destructive contact to MOS and bare semiconductor samples at room temperature. The Mercury Probe is excellent for monitoring wafer doping and resistivity and works well with GaAs, GaP materials. The MDC C-V plotter can be used in different modes: for production Capacitance-Voltage measurement, MOS C-V measurement and analysis, MOS doping profile analysis, MOS Capacitance -Time measurement and analysis. Equipment Location Faculty in Charge Contact email Contact phone MNFU (Microelectroni cs Research Center) http://webee. technion.ac.il /labs/nano/ shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 Instrument I-V Probe Station with 4155C SPA, AGILENT, MICROTECH PECVD (Plasma Enhanced CVD), UNAXIS. Description The SUSS EP4 probe station system has been especially designed for academic use. The system consists of a base plate, a substrate chuck, a magnetic Probe Head platen, and 4 SUSS PH100 probe heads. The probe station is equipped by a complete Motic SMZ 140 S Stereo Zoom microscope. The system is dedicated to probe elementary components such as transistors or capacitors. The sliding stage provides 100 mm travel in X and Y, and the working position secured by vacuum. The substrate chuck accommodates wafers and substrates up to 100 mm. The SMZ 140 S stereozoom microscope complete with adapter and fixation. The microscope has two 15X Eyepieces and 15x - 60x magnification with working distance 80 mm. The Agilent 4155C semiconductor parameter analyzer feature four source/monitor units, two voltage monitor units, and two voltage source units. The 4155C includes I/CV 2.1 Lite version software. The Agilent 4155C have a built in C-V measurement and quasi-static C-V capabilities. The PlasmaTherm -790 PECVD system is used to deposit dielectric films such as silicon dioxide, silicon nitride or SiOxNy. The system uses a RF 13.56 MHz source excitation to produce the plasma between two parallel aluminum plates, the appropriate gases (silane, ammonia, nitrous oxide, helium and nitrogen) are decomposed, allowing the films to be deposited on heated substrate (at temperatures of 50 to 380 degrees centigrade). SiO2 is produced from SiH4/N2 4%/96% and N2O at 350C. The typical deposition rate is 400 A/min at 900 mTorr. The typical BOE etch rate of this oxide is about 400 nm/min. Si3N4 is produced from SiH4/N2 4%/96% and NH3 at 100C to 350C. The typical deposition rate is 100 A/min at 900 mTorr. The stress of the nitride film can be altered by adjusting the N2 : He ratio of the deposition. SF6/N2O plasmas are used to clean the chamber and 2 different pairs of electrodes are used to decrease the cross contaminations. The PECVD films are useful for capacitor dielectrics, electrical insulators, lithography etching masks, chemical passivation layers of microelectronic devices and optical anti-reflective coatings. The system is fully programmable with windows-based software. Equipment Location Faculty in Charge Contact email Contact phone MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 Instrument RIE (Reactive ion etching) system, UNAXIS. ECR Etcher, UNAXIS. BTU, 2Stack, 8tubes, silicon furnace. Description The PlasmaTherm -790 RIE system is used to etch /strip dielectric and organic films such as silicon dioxide, silicon nitride or SiOxNy, polysilicon, silicon, polyimide, photoresists and etc.. The 790 RIE system is equipped by a LEYBOLD 350 l/min turbo molecular pump. The system uses 500 W RF 13.56 MHz source excitation to produce the plasma between two parallel aluminum plates, the appropriate gases (SF6, CHF3, CF4, O2, N2) are decomposed, allowing the F radicals and ions to etch the film. The RIE plasma etchings are useful to pattern films with uniformity +/- 3% across 6" wafer. The etching pressure can be hold from 1 to 1000 mTorr, the chamber base pressure is 5x 10-6 Torr. The system is equipped by a heat exchanger to work in 0 - 100°C temperature range. The system is fully programmable with windows-based software. The Plasma-Therm 770 ECR reactive ion etcher has a loadlocked chamber and is used for chlorine-based etching of compound semiconductors such as GaAs, GaN, InP and related materials. The system currently has two chlorine gases available Cl2, BCl3. The system is equipped by a turbomolecular pump and capable to run processes at 1-2 mTorr pressures. The system has a four inch wafer helium cooled chuck which accommodates whole wafers or small pieces taken on 4 inch carrier wafer. The system is not equipped by any end point detection system. Wafer temperature range can be determined between -60 oC to 150 oC. The BTU 2-stack silicon furnace is a horizontal, hot wall, batch processing furnace with three temperature zones quartz reactor tubes and manual loading set up. The BTU furnace are used for oxidizing silicon, annealing surface damage, alloying metal and driving dopants into substrates for junction formation. The 8-tubes include: dry-ox, wetox, hydrogen anneal, phosphorus doping, dry-ox/anneal, silicon nitride, poly-Si and LTO. The BTU furnace accommodates to 100 pieces of 4-inch silicon wafers which are closely packed in quartz boats. The furnace has three reactors for LPCVD and five for atmospheric processes. The temperature range of the tubes is 300 °C to 1150°C. Equipment Location Faculty in Charge Contact email Contact phone MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 Instrument Description Equipment Location Faculty in Charge Contact email Wet Benches Capability of photoresist coating and developing. Cleaning and wet etching, for processing silicon and compound semiconductors. MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MJB3 Contact Mask Aligner, KARL SUSS The Karl Suss MJB3 exposure system is an optical contact mask aligner which aligns and transfer patterns from a quartz or glass mask to a photoresist coated wafer by exposing it to UV light 365 nm wavelength. The mask aligner is completely manually operated with a single objective optical microscope. The MJB3 can be used with 3 or 4 inch masks and to 3 inch wafers or wafer pieces. The MJB3 uses a 350W mercury lamp that exposes 365 nm light with 10 mW/ cm2 initially calibrated intensity. The "vacuum contact" option extends the resolution to ~0.7 microns. The standard soft and hard contact modes of mechanical and pneumatic pressure respectively, only give resolution to 1.5 - 2.5 microns. MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MA6 Contact Mask aligner, BSA system UV 400, Karl Suss Ion Milling, MILLATRO N The Karl Suss MA-6 mask aligner is an optical system to align and transfer the pattern from the mask to the photoresist layer spinned onto a wafer. The MA-6 can be used with 3 to 7 " glass or quartz masks and 2 to 6" wafers or wafer pieces. The MA-6 uses a 350W mercury lamp that exposes a 365 nm light with 10 mW/cm² initially calibrated intensity. The MA-6 mask aligner has four (4) exposure regimes: soft; hard; low vacuum contact; vacuum contact. Proximity exposure regime is not available. The "vacuum contact" option extends the resolution to ~0.5 microns. The standard soft and hard contact modes of mechanical and pneumatic pressure respectively, only give resolution to 12 microns. The MA-6 is equipped by an optical backside alignment system which is must for MEMS fabrication. The Commonwealth Scientific Corporation Ion Mill system uses an energetic beam of argon atoms to physically remove (etch) material from the surface of a substrate. The ion mill can handle 2 inch substrates or smaller pieces. Photoresist is a suitable mask for selective area etching using ion milling because the resist etch rate is lower than most materials. The ion mill is useful for providing an anisotropic etch for materials which are not easily plasma etched, such as gold. Ion milling rates are generally less than 1000 angstroms per minute for 500 eV argon atoms. Contact phone Instrument JEOL JSM 6400 electron beam lithography system E-beam evaporator AIRCO TEMESCAL BJD 1800 Description The JEOL JSM-6400 is an electron beam lithography system designed for R&D of III-V transistors as well as process development and prototype engineering for optical elements, X-ray masks, and Silicon devices. It is also used for research of new devices that require ultra fine pattern exposure, including quantum effect devices. The JSM 6400 is a tungsten source scanning electron microscope equipped by NABITY software package for e-beam fine pattern writing which is possible due to very small spot size of the electron beam. The JSM-6400 has five subsystems: electron source (gun); electron optical column (beamforming system); scanning system; electron collector and display; control electronics. Secondary electron image resolution (at 35 kV) at 8 mm working distance: 3.5 nm at 35 mm working distance: 10.0 nm Magnification Zoom mode: 10 x (at 39 mm working distance) to 300K x Probe current: 10-12 to 10-5A Electron Optical System Acceleration voltage: 0.2 to 40 kV Focusable working distance: 3 to 53 mm PMMA is the standard positive e-beam resist, usually purchased in two high molecular weight forms (495K or 950K) in a casting solvent such as chlorobenzene or anisole. Electron beam exposure breaks the polymer into fragments that can be dissolved in a 1:1 MIBK:IPA developer. The system has the control in three major areas of SEM: Beam Blanker control, Scan & Signal control and Stage control. The e-beam BJD 1800 evaporator is 18" diameter water cooled process chamber with VV-400 viewport. The system is based on cryogenic pump CTI-8, feature 4 pocket crucible E-gun with a 10 KV Airco Temescal power supply. The BJD 1800 has a semiautomatic valve sequencer, equipped by XY e-beam sweep controller and Inficon XTC/2 deposition process controller. The BJD 1800 has a planetary drive with motor controller for planetary and lift-off fixtures and SS chamber shielding. The system is dedicated for metals compatible with silicon: Al, Al / Si / Cu, Ti, Ti/W. Equipment Location Faculty in Charge Contact email Contact phone MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 Instrument Description Equipment Location Faculty in Charge Contact email Contact phone E-beam evaporator AIRCO TEMESCAL FC-1800 The e-beam FC-1800 evaporator is 18" diameter water cooled process chamber with VV-400 viewport. The system is based on cryogenic pump CTI-8, feature 6 pocket crucible E-gun with a 10 KV Airco Temescal power supply. The FC-1800 has a fully automatic valve sequencer, equipped by XY e-beam sweep controller and Inficon IC/5 deposition process controller. The FC-1800 has a planetary drive with motor controller for lift-off fixture and SS chamber shielding. The system is dedicated for metals compatible with compound semiconductors: Au, Ge, Pt, Cr, Ni, Ti. MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 E-Beam Evaporator, Custom made, VST The e-beam VST evaporator is a 18" diameter process chamber with front viewport and accommodate samples up to 2.5 inch. The system is based on cryogenic pump CTI-8, feature 4 pocket crucible E-gun with a 10 KV MDC power supply. The VST evaporator has a semiautomatic valve sequencer, equipped by XY e-beam sweep controller and MAXTEK deposition process controller. The evaporator has an oxygen gas inlet, and is equipped by a thermal evaporation source and sample heating up to 300°C. The system is dedicated for: multilayer optical coatings (antireflection coatings for band pass, long pass, short pass optical filters) and thin dielectric high K materials deposition in MOS, MIM technologies. The system has a large number of materials to evaporate: SiO2, Al2O3, Ta2O5, SiO, HfO2, TiO2, Pr2O3, Er2O3, Si, Ge, ZnS. MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 PERKIN ELMER, Sputtering tool The Perkin Elmer 2400 Sputtering tool is a general purpose RF sputter system. Features include automatic pump down controller, a cryopump for an oil free vacuum, and a 500W RF power supply. It has three 8" sputter targets: Platinum, Aluminum and etc. MNFU (Microelectroni cs Research Center) electrical engineering shneider@ee.t echnion.ac.il 04-8294205 Instrument OPTICAL TWEEZERS LASER MICRODISSECTOR Description The PALM Microtweezers is a fully automated optical manipulation system used to trap dielectric microspheres, viruses, bacteria, living mammalian cells, organelles, liposomes, small metal particles, and even strands of DNA. Applications include confinement and organization (e.g. for cell sorting), tracking of movement (e.g. of motile cells), application and measurement of small forces, and altering of larger biological structures (such as cell membranes). Some of the main uses for optical traps have been the study of molecular motors, the biomechanical properties of cells, lyposomes, and biological molecules, and the physical properties of DNA. Used in conjunction with more conventional microfluidic drives, optical tweezers could be used to assemble biological molecules in microchannels, to optically reroute samples, and to elucidate new forces (both hydrodynamic and hemodynamic) that will be of practical importance to both micromechanical and microfluidic systems. The PALM MicroBeam C laser micro-dissector is a fully digitized and computer controlled microscopy system offering new possibilities in live-cell applications, such as the isolation of single and groups of cells under the microscope for the purpose of further growth or molecular biological analysis. The PALM system is capable of micromanipulation of up to 24 different types of live cells. The PALM is also capable of automated sample acquisition using advanced image processing software, making it possible to identify and isolate cell populations based on morphological features Equipment Location Technion Faculty Operator Self Academia Industry Operator Faculty in Charge/Link Contact email Contact phone Center for Biomechanical Micro and Nano Manipulation (currently located in the Physics faculty) - 25$ 25$ 40$ Dr. Kinneret Keren http://www.bm. technion.ac.il/ kinneret@ph.tec hnion.ac.il 04-8292741 Center for Biomechanical Micro and Nano Manipulation - 25$ 25$ 40$ Dr. Oleg Verbitsky http://www.bm. technion.ac.il/ dror@bm.techni on.ac.il 04-8294805 http://surfaces. technion.ac.il/ ssccyt@tx.techn ion.ac.il ; brener@sspowe r.technion.ac.il 048293547/56 38 http://surfaces. technion.ac.il/ brener@sspowe r.technion.ac.il 048293547/56 38 SIMS – Secondary Ion Mass Spectrometry (Cameca ims4f) Trace and major elements analysis, including hydrogen, in solids and thin films. Depth profiling from small areas with detection sensitivity in the ppm-ppb region. Solid State Institute 60$ - 120$ 200$ Catherine Cyterman n; Dr. Reuven Brener XPS – X-ray Photoelectron Spectroscopy (Thermo VG Scientific Sigma Probe) Small area chemical analysis of solid surfaces with chemical bonding information obtained by using a microfocused (from 15 to 400 μm) monochromated x-ray source. Angle resolved information is obtained with and without tilting the sample. Depth profiling with excellent depth resolution. Solid State Institute 60$ - 120$ 200$ Dr. Reuven Brener Instrument Description Equipment Location Technion Faculty Operator Self Academia Industry 120$ 200$ Operator Faculty in Charge/Link Contact email Contact phone Dr. Reuven Brener http://surfaces. technion.ac.il/ brener@sspowe r.technion.ac.il 048293547/56 38 http://surfaces. technion.ac.il/ cecile@sspower .technion.ac.il; brener@sspowe r.technion.ac.il 048293547/56 38 SAM – Scanning Auger Microscopy (Thermo VG Scientific Microlab 350) Elemental analysis of solid surfaces with high spatial resolution (7 nm in SEM and 12 nm in Auger modes). Depth profiling with excellent depth resolution. STM/AFM Scanning Tunneling/At omic Force Microscopy (Omicron UHV SPM) Structural surface analysis with atomic resolution. Local topography, electronic and chemical properties of solid surfaces with nanometer spatial resolution. The surface probe microscopy measurements are performed in ultra high vacuum and at variable temperatures between 25 to1500K. Solid State Institute 50$ 20$ 50$ 180$ Dr. Cecile Saguy; Dr. Reuven Brener NSOM/AFM - Near-field Scanning Optical/Atom ic Force Microscopy (Nanonics CryoView 2000) Simultaneous characterization of solid samples by AFM and NSOM at temperatures between 10 to down to 300K. Photoluminescence, reflection and transmission optical characterization of surfaces with spatial resolution below the diffraction limit. Solid State Institute 30$ - 30$ 180$ Evgeny Linder evgeny@sspow er.technion.ac.il 04-8293919 Characterization of thin epitaxial layers. Composition, layer thickness, state of relaxation as well as periods and mean mismatch of superlattices in multilayered structures can be determined. Solid State Institute 38$ 16$ 60$ 80$ Avraham Raanan rannan@sspow er.technion.ac.il 04-8293639 Characterization of crystal quality and microstructure of solid materials and epitaxial layers with spatial resolution as low as 1μm. Characterization of order and stress in semiconductors. Solid State Institute 38$ 16$ 60$ 80$ Dr. Albert Chack ssalbert@techni on.ac.il 04-8293933 04-8293655 HR XRD – High Resolution Xray Diffraction (Philips Four Crystal Diffractometer) Micro Raman Spectroscopy (Dilor Double Spectrometer) Solid State Institute 60$ - Instrument Description Equipment Location Ion Implantation (HVEE 320 KeV Ion Implanter) Ion implantation into semiconductors and other materials at various temperatures, doses and energies. ToF-SIMS – Time of Flight Secondary Ion Mass Spectrometry (Ion ToF ToF-SIMS 5) Elemental and molecular information from the uppermost layer of organic and inorganic surfaces. Shallow depth profiling and imaging of thin layers with nanometer scale depth resolution, submicron lateral resolution and ppm sensitivity. Solid State Institute Transmission Electron Microscope FEI Titan 80300 KeV FEG-S/TEM The Titan 80-300 FEG-S/TEM (FEI) is an all-new platform dedicated to correction and monochromator technology. The Titan S/TEM system is the world's highest resolution commercially-available microscope, yielding powerful subAngstrom (atomic scale) imaging and analysis. The microscope includes: A monochromator for sub-eV energy resolution (80300kV) An aberration corrector for the objective system (80300kV) A high resolution energy filter, for sub-eV EELS and energy filtered TEM A high resolution STEM system, including HAADF, BF and DF EDS for local chemical analysis A double-tilt hot stage (1000°C) for in-situ studies A double-tilt cryo-stage (liquid N2) for life-science and CBED/EELS analysis TV-Rate CCD (for real time movie recording) and high resolution slow scan CCD (for imaging) TEM and STEM tomography. Electron Microscopy Center (Materials Engineering faculty) An environmental SEM, enabling characterization of nonconducting without a conductive, and is equipped with EDS (light element), WDS and EBSD. Electron Microscopy Center (Materials Engineering faculty) Scanning Electron Microscope FEI E-SEM Quanta 200 Solid State Institute Technion Faculty Operator Self Academia Industry The cost depends on the specifics of the requested implantation (ion species, ion dose, sample size, number of samples to be implanted under similar conditions, particular implantation conditions, etc.). For a specific quotation pls. cantact the ion implantation laboratory. 60$ - 120$ 200$ Operator Faculty in Charge/Link Dr. Richter Vladimir Contact email Contact phone ssvova@tx.tech nion.ac.il 048293907/39 08 ssccyt@sspowe r.technion.ac.il; brener@sspowe r.technion.ac.il 04-8293148 04-8295638 Dr. Yaron Kauffman n 048294567 http://materials2. technion.ac.il/Mi ka/index.php 04-8294567 Dr. Alex Berner 048294568 http://materials2. technion.ac.il/Mi ka/index.php 04-8294568 Catherine Cyterman n; Dr. Reuven Brener http://surface s.technion.a c.il/ Instrument Description Plasma Cleaner Fischione plasma cleaner – model 1020 Transmission Electron Microscope FEI Tecnai G2 T20 STwin TEM A 200KeV (or 120KeV) TEM with a LaB6 electron source and an FEI Supertwin Objective Lens. This microscope is also equipped with a BF and DF STEM detectors, an EDX detector, a plate camera and a 1Kx1K Gatan 694 retractable slow scan CCD Electron Microscopy Specimen Preparation Lab The lab includes: variable speed grinder/polishers (Buehler EcoMet 3000), precision sectioning saw (Buehler IsoMet Low Speed Saw), semiautomatic grinder/polisher (Buehler MiniMet 1000), Gatan 656 Dimple Grinder, Polaron gold and carbon coaters, Fischione plasma cleaner – model 1020, Gatan 691 Precision Ion Polishing System (PIPS), IV8 Gentle Mill – low energy ion miller and a Microtom system for soft materials sectioning Light Microscopes Light microscopy is based on two metallurgical light microscopes: The Zeiss Axiophot which includes a CCD and video printer system. A Reichert Polyvar Z microscope linked to a computer via a CCD for image analysis using the SIS Software Imaging System. LEO Gemini 982 A field emission gun SEM (FEG-SEM) which includes an in-lens detector for low voltage applications, an EDAX light-element EDS system, and an Oxford electron backscattered electron diffraction system (EBSD). Equipment Location Electron Microscopy Center (Materials Engineering faculty) Electron Microscopy Center (Materials Engineering faculty) Electron Microscopy Center (Materials Engineering faculty) Electron Microscopy Center (Materials Engineering faculty) Materials Engineering faculty. This microscope is located in the Wolfson Centre for Interface Science, located adjacent to the Electron Microscopy Center. Technion Faculty Operator Self Academia Industry Operator Faculty in Charge/Link Contact email Contact phone Dr. Yaron Kauffman n 048294567 http://materials2. technion.ac.il/Mi ka/index.php Dr. Yaron Kauffman n 048294567 http://materials2. technion.ac.il/Mi ka/index.php 04-8294567 Michael Kalina 048294518 http://materials2. technion.ac.il/Mi ka/index.php 04-8294518 Michael Kalina 048294518 http://materials2. technion.ac.il/Mi ka/index.php 04-8294518 Dr. Alex Berner 048294568 http://materials2. technion.ac.il/Mi ka/index.php 04-8294568 Instrument NanoWizard II, BioAFM Philips CM120 and an FEI Tecnai T12 G2 Description AFM has crossed over from its roots as a technology for nanoscopic measurement tasks in material sciences to establish major AFM application fields in the life sciences: High Resolution Imaging – Scanning Electron Microscopy resolution on untreated samples; Force Measurements down to the single molecule; Stiffness and Elasticity Measurements – the mechanical response of a surface over a large range of forces provides stiffness or adhesion information level – single bond forces are easily accessible; Nanomanipulation/Lithography – the AFM tip used as a nanoscopic manipulator. Transmission electron microscopes. dedicated to cryogenic work. The two microscopes are equipped with CCDs cameras: wide-angle Gatan Multiscan791 cameras on both, and a high-resolution US1000 camera on the FEI microscope. Three cryo-holders and their transfer-stations are available. Equipment Location The Russell Berrie Nanoparticles and Nanometric Systems Characterization Center Electron Microscopy of Soft Matter Rheometric Scientific ARES rheometer Equipped with a 100FRTN1 transducer and cone-and-plate, parallel-plates and Couette measuring devices. Electron Microscopy of Soft Matter Zeiss Ultra Gemini HR-SEM High resolution scanning electron microscope equipped with Espirit EDS x-ray microanalysis system and cryoSEM Electron Microscopy of Soft Matter Leica UC6 Ultramicrotome FC6 Equipped with an FC6 cryo-chamber for cryoultramicrotomy Electron Microscopy of Soft Matter Olympus BH-2 Light microscope system equipped with Nomarski, phasecontrast and cross-polarizers optics, and with an Optronics CCD camera. Electron Microscopy of Soft Matter Technion Faculty Operator Self Operator Faculty in Charge/Link .בימים אלה מתבצע תהליך של הערכת עלויות ואלה יפורסמו בעתיד 40-2828111 )Ifraimov( Sabina Prilutsky http://www.te chnion.ac.il/~ eshimoni/ http://nnscc.te chnion.ac.il/ 04-8292484 290 ₪ 220 ₪ 290 ₪ 80 ₪ Academia Industry Contact email Contact phone 160 ₪ - ללא מפעיל ₪ 114 - עם מפעיל ₪ 824 ללא מפעיל ₪ 044 כולל מפעיל ₪ 044 Judith Schmidt http://www.te chnion.ac.il/~ ceritit/ sjudy@tx.tech nion.ac.il 04-8292845 80 ₪ - ללא מפעיל ₪ 24 - עם מפעיל ₪ 884 - ללא מפעיל ₪ 804 - עם מפעיל ₪ 004 Judith Schmidt http://www.t echnion.ac. il/~ceritit/ sjudy@tx.tec hnion.ac.il 04-8292845 160 ₪ - ללא מפעיל ₪ 114 - עם מפעיל ₪ 824 ללא מפעיל ₪ 044 כולל מפעיל ₪ 044 Judith Schmidt http://www.te chnion.ac.il/~ ceritit/ sjudy@tx.tech nion.ac.il 04-8292845 Judith Schmidt http://www.te chnion.ac.il/~ ceritit/ sjudy@tx.tech nion.ac.il 04-8292845 Judith Schmidt http://www.te chnion.ac.il/~ ceritit/ sjudy@tx.tech nion.ac.il 04-8292845 90 ₪ - ללא מפעיל ₪ 24 - עם מפעיל ₪ 24 - ללא מפעיל ₪ 124 - עם מפעיל ₪ 874 Instrument Description Small-angle x-ray scattering (SAXS) Small-angle x-ray scattering (SAXS) is a well-established technique for studying structural features of colloidal or nanometric dimensions (1-100 nm). Appropriate analysis of SAXS data can provide wealth of structural information, on nano-scale objects, synthetic or biological, such as size, shape, internal structure and mass of particles, degree of agglomeration or superstructure, particle size distribution in polydispersed systems, and fractal dimensions in disordered systems. Some of the research areas which can be approached by this technique: Polymer solutions and gels. Biopolymer and bioactive materials in solution. Colloids and surfactant systems. Nanoparticles in liquid dispersion, powder or composite. Ceramics, sol-gel glasses. Zeolites. Semicrystalline polymers. Polymer blends. Block copolymers. Polymer fibers (including nanofibers). Composite (and nanocomposite) materials. The small-angle X-ray scattering facility at the Technion operates the following equipment: Kratky system: Philips PW1730 sealed tube X-ray generator (with Cu anode), Kratky Compact collimation (A. Paar) with temperature controllers and a linear position sensitive detector (Raytech) coupled to a Nucleus multichannel analyzer. This set-up is considered a “one dimensional” system which is appropriate for isotropic samples, without preferred orientation (or for systems with very high orientation for which it gives information on the cross-section perpendicular to the orientation direction). Bruker system: a sealed tube generator (Kristalloflex K76080), cross coupled Göbel Mirrors and A.Paar HR-PHK high-resolution X-ray small-angle pinhole chamber. The detector is a two-dimensional Bruker Nanostar multi-wire area detector, with associated electronics and processors. With this system anisotropic structures can be evaluated, such as oriented block copolymers or colloidal crystals. It is also possible to measure x-ray diffraction at wide angles, be decreasing the sample-detector distance from about 65 cm to about 5 cm. Sample holders for liquid and solid samples , with temperature control in the ranges of -20 to +70 oC and ambient to 300 oC (A. Paar KPR and KHR, respectively) are in use in both instruments. J.J system: A new combined Small/Wide angle scattering system designed by Molecular Metrology. It includes an Osmic MicroMax 002 source with confocal max-flux optics 3 pinhole collimation, 2-D multiwire detector (Gabriel design, 200mm dimension), Linkam TMHS600 temperature controller for -170 to 300 °C. For wide-angle x-ray diffraction Fuju image plate and reader are used, and can be measured simultaneously with SAXS measurements Equipment Location Chemical Eng. Faculty Technion Faculty Operator Self $2.5/hr or $10/hr including data analysis $60/day or $10/hr including data analysis $60/day or $10/hr including data analysis $60/day or $10/hr includin g data analysis Academia Industry $60/day or $10/hr including data analysis $10/hr including data analysis Operator Rafail Khalfin Faculty in Charge/Link Yachin Cohen Contact email rafail@tx.tech nion.ac.il Contact phone 8292113 Instrument Description Equipment Location Bl-200SM Research Goniometer System (Brookhaven Instruments) Goniometer System (Brookhaven Instruments, Inc.), it designed for both static and dynamic light scattering measurements. The instrument is equipped with a Compass 415M solid state laser generating light of 532 nm wavelength (green). It enable to measure molecular weight, particle size distribution, radius of gyration, second virial coefficient, etc. The Russell Berrie Nanoparticles and Nanometric Systems Characterization Center FluoroLog 322- Modular Spectrofluoro meter (Horiba Scientific, Ltd.) NanoWizard ® II BioAFM (JPK Instruments AG) FluoroLog 3-22 Spectrofluorometer that provide the fluorescence measurements with very high sensitivity, allowing detecting very low concentration of fluorescence. Moreover it scans the data in very fast way, avoiding sample decomposition due to the photobleaching, or other reasons depended on time. Our FluoroLog 3-22 Spectrofluorometer is also equipped by Polarizers for SpexR spectrofluorometers and the F3000 Fiberoptic Adaptor modules. Using the Polarizer for SpexR spectrofluorometers fluorescence polarization and anisotropy can be measured. This provides an information about the rotational behavior of molecules that relates to their molecular size and shape, and to viscosity of the medium. The aim of F-3000 Fiberoptic Adaptor is to perform the measurements for samples that can not be placed inside the sample compartment. It also has Auto Titration Injector F-3006 and MicroMax 384 MicrowellPlate Reader that able to automate and facilitate the measurements. The principle of AFM imaging can be resumed as follows: a sharp silicon probe is moved on a surface by means of a controlled piezo-based system. The probe interacts with the sample surface, and the probe deflections are recorded through the deflections of a laser beam, which reflects from the probe top side and is collected on photo-detector. The signals from the detector and the feed-back piezo-based system are used for the image reconstitution by electronic means. The topography of the sample is recorded with the nanometric resolution. Other sample characteristics, origin from the probe-surface interactions, are acquired simultaneously with the topography in the different imaging modes and demonstrated as images. NanoWizard® II BioAFM enable to measure the topography of the sample with a nanometric resolution. It is also equipped with the different accessories that provide him same additional features. It is possible to make a measurements in controlled liquid environment (flow, The Russell Berrie Nanoparticles and Nanometric Systems Characterization Center The Russell Berrie Nanoparticles and Nanometric Systems Characterization Center Technion Faculty Operator Self 122 ₪ 61 ₪ Academia - ללא מפעיל ₪ 11 - עם מפעיל ₪ 188 Industry - ללא מפעיל ₪ 20 - עם מפעיל ₪ 112 Faculty in Charge Contact email Contact phone Sabina Prilutsky (Ifraimov) http://nnscc.t echnion.ac.il/ sabi@tx.techni on.ac.il 04-8292116 Sabina Prilutsky (Ifraimov) 048292116 http://nnscc.t echnion.ac.il/ sabi@tx.techni on.ac.il 04-8292116 Sabina Prilutsky (Ifraimov) 048292116 http://nnscc.t echnion.ac.il/ sabi@tx.techni on.ac.il 04-8292116 temperature), which can be critical for living cell experiments. Kelvin probe microscopy technique can be also used to image samples presenting a distribution of electrical properties on inhomogeneous materials; to probe phase separation, chemical recognition and molecular orientation. Instrument BI-DNDC: Differential Refractometer Multiphoton Multispectral LaserScanning Microscope (Zeiss LSM 510 META NLO) 4Dimensional Microscope for Living Cells (Zeiss Cell Description The BI-DNDC is a deflection type refractometer that may be purchased for use in either batch or GPC/SEC mode. In batch mode, the specific refractive index increment, dn/dc, is determined. This value is required as a parameter in molecular weight measurements using light scattering. In GPC/SEC mode, dn/dc is already known, and the instrument is used as a concentration detector for GPC/SEC applications. The Laser Scanning Confocal Imaging System is a microscope that uses lasers to visualize fluorescent markers. With this system one obtains images by scanning a laser beam of light over a specimen. Multiphoton laserscanning microscopy (MPLSM) and multispectral laserscanning microscopy (MSLSM) provide a fundamental advantage over standard confocal laser–scanning microscopy by enhancing the ability to collect images in multiple dimensions. Using near infrared radiation (NIR) excitation combined with non-linear optics (NLO) in which two or more photons excite the fluorophore almostsimultaneously. MPLSM further allows data collection deeper into the sample and more images can be obtained over longer times. Uses of MPLSM and MSLSM. 1) Analyses of deeper portions of tissues and organs expressing multiple fluorophores within developing organisms. 2) Live imaging with reduction in phototoxic effects allows longer exposures to analyse cellular processes in vivo and in vitro. 3) Because of the NLO it is possible to excite and image fluorochromes that are normally excited only in the UV range (e.g. Hoechst, DAPI). Multiphoton and multispectral laser-scanning microscopy allows high-resolution multicolor multidimensional imaging of cells inside lightscattering tissues eliminating many restrictions of the confocal microscope. This optical microscopic system is ideal for imaging of tissue culture cells using transmitted light, Nomarski optics and epi-fluorescence. The system includes an inverted state-of-the-art microscope, motorized specimen stage, incubation and cell cultivation with control of CO2, temperature and humidity, two high resolution cooled CCD Equipment Location Technion Faculty Operator Self Academia Industry The Russell Berrie Nanoparticles and Nanometric Systems Characterization Center Operator Faculty in Charge/Link Contact email Contact phone Sabina Prilutsky (Ifraimov) 048292116 http://nnscc.t echnion.ac.il/ sabi@tx.techni on.ac.il 04-8292116 Technion Life Sciences and Engineering Infrastructure Unit located in the Faculty of Biology 25$ - 25$ 50$ - http://isu.tec hnion.ac.il duvshani@tx.te chnion.ac.il 04-8295834 Technion Life Sciences and Engineering Infrastructure Unit located in the Faculty of 10$ - 10$ 20$ - http://isu.tec hnion.ac.il duvshani@tx.te chnion.ac.il 04-8295834 Observer Imaging System) Instrument 4 - Laser Flow Cytometer Analyzer (BD LSR-II) 3 - Laser Flow Cytometer Sorter (BD FACS AriaII) cameras and AxioVision software to record time lapse, Zstacks, multichannel acquisition, multi dimentional aquisition in XYZ, deconvolution and other modules. “Real time” imaging of live samples is possible since it is not necessary to fix and section the specimens providing the ability to obtain high quality optical imaging of thick sections in three dimensions and over time. The images are recorded directly into the hard drive of a computer that also controls the microscope. Description The BD LSR II is configured with four fixed-aligned aircooled lasers (488 nm, 405 nm, 633 nm, and 325nm UV), 10 fluorescent detectors and two physical detectors. Additional user configurable fluorescent channels can be added. Signal processing is achieved by a digital acquisition system allowing for the measurement of height, area, and width from all parameters simultaneously with expanded channel resolution, lower electronic dead time, precise fluorescent measurements and linearity. This flow cytometer is capable of high-content, high-speed screening from 96- or 384 microtiter plates when employing the “High Throughput Sampler”. PC-based FACSDiva™ software drives experiment design, instrument control and data analysis. The program provides features such as reusable acquisition templates, an autospillover matrix for automated compensation, offline compensation, biexponential data display and a full range of EXCEL-compatible statistical parameters. Both the cytometer and software are user friendly. The digital FACS AriaII is a stream-in air sorter capable of separating up to four different populations or single cells (<300 to 70,000 events/second) and depositing them into test tubes, microtiter plates or slides. This cytometer is configured with 3 lasers (488nm, 633nm, 405nm), 10 fluorescent detectors and two physical detectors. Four different sized nozzles allows for handling cells of varying sizes. Aseptic sorting can be performed. PC-based FACSDiva™ software drives experiment design, instrument control and data analysis. The program provides features such as reusable acquisition templates, an autospillover matrix for automated compensation, offline compensation, biexponential data display and a full range of EXCEL-compatible statistical parameters. Biology Equipment Location Technion Faculty Operator Self Academia Industry Operator Faculty in Charge/Link Contact email Contact phone Technion Life Sciences and Engineering Infrastructure Unit located in the Faculty of Biology 25$ - 25$ 50$ - http://isu.tec hnion.ac.il duvshani@tx.te chnion.ac.il 04-8295834 Technion Life Sciences and Engineering Infrastructure Unit located in the Faculty of Biology 25$ - 25$ 50$ - http://isu.tec hnion.ac.il duvshani@tx.te chnion.ac.il 04-8295834 Instrument Description Equipment Location Technion Faculty Operator Self Academia Industry Operator Faculty in Charge Contact email http://isu.tec hnion.ac.il duvshani@tx.tech nion.ac.il 04-8295834 04-8295834 LSM 700 Inverted confocal The LSM 700 laser scanning confocl microscope from Zeiss is a spectral imaging confocal system designed for live-cell imaging. It is hooked to an inverted fully motorized Axio Observer Z1 microscope. Technion Life Sciences and Engineering Infrastructure Unit located in the Faculty of Biology Illumina Genome Analyzer IIx The platform employed in the Illumina System applies parallel, shotgun, clonal sequencing-by-synthesis (SBS) of millions of immobilized oligonucleotides that are repetitively sequenced using reversible fluorescence-based terminator chemistry. Sample libraries of DNA are prepared with ready-to-use kits followed by random fragmentation from randomly fragmented DNA. The fragments are then polished and prepared for the addition of unique adapters to each end of the DNA fragments. Following PCR enrichment, the library is loaded onto Illumina flow cells in the c-Bot Cluster Generation System where isothermic amplification of the attached DNA fragments occurs, creating clonal clusters of roughly 1,000 copies each. The clusters are moved to the Genome Analyzer IIx system which generates large quantities of DNA sequences in a single run (~1Gb) in the form of short reads. When required, the Paired-End Module is employed for sequencing of both ends of a template. Sequence Control Software is employed for real-time analysis processing of the data generated and reads can be aligned to a reference sequence and analyzed using the Pipeline Analysis Server. Technion Life Sciences and Engineering Infrastructure Unit located in the Faculty of Biology http://isu.tec hnion.ac.il duvshani@tx.tech nion.ac.il NANCO computer cluster 128 dual-core processor (2.2 GHz AMD Opteron) LINUX cluster; fast VOLTAIRE interconnect based on DDR Infiniband; provided by SUN/EMET; fully operational since mid-summer 2007, with a good range of compilers and MPI for parallelization. Computer Center, Center for Computational Nanoscience and Nanotechnology http://phyco mp.technion. ac.il/~nanco/ anne@tx.techni on.ac.il Contact phone Instrument FEI Strata 400S Dual Beam FIB Solids State NMR Spectroscopy Laboratory Description The dual-beam focused ion beam (FIB) at the Technion was purchased with the support of TELEM, and the Russell Berrie Nanotechnology Institute. The system is based on a FEI Strata 400S. The Strata 400 STEM Dual-Beam system is a fully digital field emission scanning electron microscope (FEG-SEM) equipped with FIB technology and a Flipstage-STEM assembly. It provides for complete insitu sample preparation and high-resolution analysis. The key enabling technologies are all integrated onto a single platform such as: Ultra-high resolution electron optics (magnetic immersion lens with ultra-high brightness Sirion emitter) with SE and BSE in-lens detection and STEM imaging. High-resolution (field emission) Ion Optics (Sidewinder™ column). Advanced control of Gas Chemistries including Delineation Etch, Metal Etch, C Deposition, Pt Deposition, SiO2 Deposition, and Au Deposition. High-precision piezo specimen stage with 100 mm travel along the x and y axes, and integrated loadlock. Omniprobe 200 sample extraction system for lift-out TEM specimen preparation. Flipstage pivoting TEM grid mount. Retractable, multi-region STEM detector (including HAADF). A high-resolution 4k digital patterning engine. Automation with full access to e-beam, i-beam, patterning and gas chemistry functionality. The system architecture is optimized for automation, which is includes AutoFIB, AutoTEM, AutoSlice and View, and the ability to develope custom-made routines for specific application needs. 500 MHz NMR spectrometer (Avance III, Bruker; 11.75 Tesla magnet) is capable of multi-nuclear NMR characterization of solid samples (organic, inorganic, composites). The spectrometer is equipped with four RF channels and MAS (magic angle spinning) probes. The three types of MAS probes operate at spinning rates of up to 18, 35 and 60 kHz (respective rotor outer diameters are 4, 2.5 and 1.3 mm). The lab also uses two 300 MHz NMR spectrometers with three RF channels (Avance III, Bruker and CMX-Infinity, Varian; 7.05 Tesla magnets) . Equipment Location Technion Faculty Operator Self Academia Industry Materials Engineering faculty Schulich Faculty of Chemistry Operator Dr. Tzipi CohenHyams Will be determined after installation is completed Dr. Shifi Kababya Faculty in Charge/Link Contact email http://materi als2.techni on.ac.il/Mik a/index.php Schulich Faculty of Chemistry Contact phone 04-8295144 shifi@tx.technion. ac.il 048293748, 048292140 BAR ILAN UNIVERSITY Instrument Description Equipment Location BIU Faculty Operator Academia Industry Operator Self Faculty in Charge Contact email Contact phone JEOL JSM-7000F with Secondary electrons and Back scattering electrons systems. In addition, the microscope includes a Thermo electron EDS system and Raith Lithography system for lithography with electrons beam. Advanced technology institude. $35 $70 $145 Issashar Genish Prof. Aviad Frydman frydman@mail. biu.ac.il 03-5318102 JEOL JEM-2100 with Thermo Fischer Scientific NSS-302E EDS sytem and JEOL EM-24011 STEM. Center for electron microscopy Life science building. $50 $95 $190 Dr. Judith Grinblat Prof Zvi Malik malikz@mail.bi u.ac.il 03-5318204 TEM FEI - Tecnai G2 spirit bio TWIN Center for electron microscopy Life science building. $35 $70 $110 Dr. Judith Grinblat Prof Zvi Malik malikz@mail.bi u.ac.il 03-5318205 SEM FEI - Inspect 5 Chemistry building $25 $15 $50 $80 Dr. Yossi Taljoseph Prof Zvi Malik malikz@mail.bi u.ac.il 03-5318206 E-SEM FEI - Quanta 200 FEG Center for electron microscopy Life science building. $25 $15 $50 $80 Dr. Yaakov Langsam Prof Zvi Malik malikz@mail.bi u.ac.il 03-5318207 FIB FEI - Helios NanoLab Dual Beam. Resnik building $50 $95 $250 Eitan Hamami Prof. Michael Rosenblue rosenblu@mail .biu.ac.il 03-5318296 eBeamLithography Crestec - e-LINE - Untra high resolusion electron beam lithography and nanoengineering work station. Resnik building TBD TBD TBD TBD Liora Biton Prof. Aviad Frydman frydman@mail. biu.ac.il 03-5318102 SQUID Quantum Design - M-PMS XL7. Sensitivity -10-8 emu, The magnetism is both parallel and perpendicular to the magnetic field. Resnik building TBD TBD TBD TBD Yossi Bason Prof. Lior Klien kleinl@mail.biu .ac.il 03-5317861 PPMS (magnetotransport, 9T, 1.8-400K) LOT-Oriel 9T magnet Resnik building TBD TBD TBD TBD Yossi Bason Prof. Lior Klien kleinl@mail.biu .ac.il 03-5317862 HRSEM HRTEM AFM (atomic force microscopy) VEECO -Nanoscope V SPM. Including: Tapping, Contact Mode (dry and liquid), TUNA, SSRM, EFM, Surface potential, EC, STM, Force Microscopy, Nanoindentation. Chemistry building $25 $15 $80 Dr. Olga Gershevic h Academia Industry Operator Operator/Self Operator/Self $50 Prof. Doron Aurbach milka3541@ya hoo.com 03-5317068 HEBREW UNIVERSITY Instrument Description Equipment Location HUJI Faculty Operator Self HR SEM FEI Sirion, SE, BSE, EDS, EBSD, CL, EBIC, cold stage (4K). Ultimate resolution in imaging 1 nm at 10 kV and WD 2 mm. Unit for Nanocharacteriz ation ₪100 ₪160 ₪240/150 ₪320/200 ESEM FEI Quanta 200, HV, LV, ESEM, EDS, Peltier stage (-20-60 degC). Ultimate resolution in HV mode - 3.5 nm at 30 kV. Unit for Nanocharacteriz ation ₪120 ₪70 ₪180/105 ₪240/140 HR TEM FEI Tecnai G2 F20, FEI, TEM-STEM, EDS, EELS, GIF, HAADF Unit for Nanocharacteriz ation ₪200 ₪120 ₪300/180 ₪400/240 SPM VEECO Nanoscope IV Unit for Nanocharacteriz ation ₪120 ₪70 ₪180/105 ₪240/140 X-Ray Diffractometer Powder D8 Advance of Burker AXS, theta-theta 430 mm goniometer, XRR Unit for Nanocharacteriz ation ₪120 ₪70 ₪180/105 XPS & Auger Spectroscopes within one unit Kratos Axis Ultra system with XPS and scanning Auger modes, dual Al/Mn and monochromated Al anodes for XPS, LaB6 for Auger excitation. Buehler high precision mechanical grinders, hot mounting press. GATAN ultrasound cutter, mechanical dimpler, Precision Ion Polishing System (PIPS), Olympus light microscopes, Fischione Plasma Cleaner, Quorum technologies bench-top turbo pumped evaporator and sputter coater; ultrasound bath, fume chamber. Unit for Nanocharacteriz ation ₪200 ₪120 Unit for Nanocharacteriz ation ₪80 ₪50 Sample Preparation Lab Mrs. Evgenia Blayvas, Mr. Avi Ben Hur, Mr. Vitaly Gutkin, Dr. Inna Popov Dr. Inna Popov, Dr. Vladimir Uvarov Faculty in Charge Contact email Contact phone Amir Saar, Uri Banin, Oded Millo evgeniab@savi on.huji.ac.il 02-6584879 Amir Saar, Uri Banin, Oded Millo evgeniab@savi on.huji.ac.il 02-6584879 Amir Saar, Uri Banin, Oded Millo Innap@savion. huji.ac.il 02-6586388 Dr. Anna Radko Amir Saar, Uri Banin, Oded Millo annar@savion. huji.ac.il 02-6584808 ₪240/140 Dr. Vladimir Uvarov, Dr. Inna Popov Amir Saar, Uri Banin, Oded Millo vladimiru@savi on.huji.ac.il 02-6586761 ₪300/180 ₪400/240 Mr. Vitaly Gutkin Amir Saar, Uri Banin, Oded Millo vitalyg@savion .huji.ac.il 02-6584799 ₪120/75 ₪160/100 Mr. Nadya Zaharov Amir Saar, Uri Banin, Oded Millo zaharovn@sav ion.huji.ac.il 02-6586023 Instrument High Vacuum Sputtering System E-Beam Lithography System - Raith eLINE with EBID and manipulators Mask Aligner Thermal/E-Gun Evaporator Spin Coaters and hot plates Description Model MS 75x4-L from FHR is a versatile sputtering system designed for deposition of common metals and insulators (i.e. Al, Au, Cr, ITO, Si, SiO2, Si3N4) on samples and substrates (up to a diameter of 4" =100 mm). The system consists of a load-lock chamber and a deposition chamber to preserve high vacuum conditions during deposition. The system can operate in two modes: (1) serial sputtering where multiple-layers can be deposited one on top of the other; (2) co-sputtering mode where one DC target (metals and semiconductors) and one RF target (insulators) can simultaneously be deposited on the substrate. All deposition sequences are computer controlled thus allowing multi-layer deposition. Raith e-LINE uses electron beam for fabrication of submicron (down to 40 nm) patterns on resists. Electron Beam Induced Deposition attachment allows direct etching and deposition of metals and insulators on wafers. Micro-manipulators are used for direct in-situ electrical measurments. Suss Microtec MA-6 is the state-of-the-art equipment for research purposes, designed to align and transfer patterns from masks to a photoresist layer spinned onto a wafer. It is equipped with a 1000W light source necessary for nanoimprint processes . The MA-6 can be used with 3 to 7 inch glass or quartz masks and 2 to 6 inch wafers or wafer pieces. It is equipped with an optical backside alignment system which is must for MEMS fabrication. The VST evaporator model TFDS-462B is a general purpouse deposition system for metallic layers on wafers, with sizes varing from 4" to pieces. It is equipped with 6 e-gun sources, 2 thermal sources, rotating sample holder, heating and cooling capabilities for the sample from liquid N2 temperature till 90°C and variable source distance till 550mm. The system base pressure is 3x10-8 Torr. The VST evaporator model TFDS-462B is a general purpose deposition system for metallic layers on wafers, with sizes from 4" to pieces. It is equipped with 6 e-gun sources, 2 thermal sources, rotating sample holder, heating and cooling capabilities. Equipment Location Unit for Nanofabrication HUJI Faculty Operator Self ₪120 ₪60 Academia Industry Operator/Self Operator/Self TBD TBD Operator Faculty in Charge Contact email Contact phone Mrs. Inna Lyubina Amir Saar, Uri Banin, Oded Millo Inna Lyubina, Prof. Amir Saar 02-6586094 02-6585701 Dr. Yigal Lilach, Dr. Shimon Eliav Amir Saar, Uri Banin, Oded Millo yigall@savion. huji.ac.il 02-6585249 Unit for Nanofabrication ₪200 ₪50 ₪300/75 ₪400/100 Unit for Nanofabrication TBD TBD TBD TBD Dr. Shimon Eliav Amir Saar, Uri Banin, Oded Millo shimonel@savi on.huji.ac.il 02-6584062 Unit for Nanofabrication TBD TBD TBD TBD Dr. Shimon Eliav Amir Saar, Uri Banin, Oded Millo shimonel@savi on.huji.ac.il 02-6584062 Unit for Nanofabrication TBD TBD TBD TBD Dr. Shimon Eliav Amir Saar, Uri Banin, Oded Millo shimonel@savi on.huji.ac.il 02-6584062 Check http://nanoscience.huji.ac.il/unc/info_tariffs.htm and http://nanoscience.huji.ac.il/unf/info_tariffs.htm for latest user fee pricing VAT to be added. Tariffs do not include consumables (tips, cryo-liquids, special holders, targets, preparatoion materials, etc.) Independent use of equipment allowed after training and approval exam by UNC staff. Tariffs for regular work hours (9 am to 5 pm) Technical assistant provided by the scientific/technical staff of UNC only BEN GURION UNIVERSITY Instrument Cryo-TEM UHR-SEM "Writing SEM" for electron beam lithography SEM SPM SE800 Spectroscopic Ellipsometer Fluorescent light Microscope Light scattering (Static and Dynamic) Dynamic Light scattering Microcalorimeter Description FEI Tecnai 12 Twin: two Gatan CCD cameras; Point resolution 0.34nm, line resolution 0.2nm, mag 35x-700kx JEOL JSM-7400F: EDS, SEI&LEI imaging modes, accelerating voltage 0.1-30kV; Resolution 1nm, mag 25x-650kx JEOL JSM-845: LaB6 filament extra large (full wafer) specimen chamber] coupled with Raith Elphy Quantum E-Beam Lithography (hardware+software); Resolution: better than 100 nm JEOL JSM-5910: Equipped with a custom-built spatially, spectrally, and temporally resolved cathodoluminescence system with a variable temperature closed-cycle liquid He cooling stage. Veeco Dimension 3100: Nanoscope 4 controller, open-loop scanner (90microns xy, 6.5microns z), SCM, TUNA and TR module, Signal Access box, Fluid cell, Cantilever Holder for FMM and TRTUNA, Calibration gratings Sentech: Motorized angle of incidence between 50 and 70 degrees, motorized xy-sample stage; Spectral range 280 to 850nm Microspot lenses for beam size of 0.3mm Olympus Ix-70: Inverted, fluorescent, equipped with: phase observation, electronic shutter, objective heating, cooled sensitive camera. ALV CGS-3: Equipped with He-Ne laser, 22mW. Angular range: 12º-150º; Static: minimal Rg ~5nm Mw of about 5KDa. Dynamic: 1nm up to about micron. ALV HPPS: Equipped with He-Ne laser, 3mW. Measure at 173º, afford to measure concentrated solution; Dynamic: 1nm up to about micron. Particularly, for spherical sample. MicroCal, VP-DSC: Fixed-in-place Tantalum 61™ cells. Internal Peltier mechanism – no external heating or cooling. User selectable scan rates in the range of 0ºC to 90ºC per hour (upscan). Allow studies of fast or slow transition processes; Operating Temperature Range: -10oC to 130oC Thermal resolution 2microcalories Active cell volume ~ 0.5 ml Equipment Location BGU Faculty Operator Academia Industry Operator Self IKI EM Unit (Bldg 63) ₪400 ₪100 ₪600 ₪800 Dr. Yael Kalissman , Dr. Luisa Meshi IKI EM Unit (Bldg 63) ₪400 ₪100 ₪600 ₪800 Luba Burlaka Physics Dept. Faculty in Charge Contact email Contact phone Prof. Oren Regev louisa@bgu.ac. il 08-6479510 Prof. Oren Regev burlaka@bgu.a c.il 08-6479510 Prof. Yishai Manassen manassen@bg u.ac.il 08-6461178 IKI EM Unit (Bldg 63) ₪400 ₪100 ₪600 ₪800 Luba Burlaka Prof. Oren Regev burlaka@bgu.a c.il 08-6479510 Bldg. 63, #20 ₪225 ₪225 ₪275 ₪500 Juergen Jopp Levi Geber jjurgen@bgu.ac .il 08-6477798 08-6479368 Bldg. 63, #222 ₪120 ₪120 ₪180 ₪240 Juergen Jopp Levi Geber jjurgen@bgu.ac .il 08-6477798 08-6479368 97 Building, Basement $11 $6 $17 $17 Dr Sharon Vanounou Prof Raz Jelinek sharvan@bgu. ac.il 08-6479396 95 Building, 102 room $42 $25 $70 $113 Dr Sharon Vanounou Prof Raz Jelinek sharvan@bgu. ac.il 08-6479396 95 Building, 102 room $28 $17 $70 $113 Dr Sharon Vanounou Prof Raz Jelinek sharvan@bgu. ac.il 08-6479396 95 Building, 102 room $17 $6 $56 $56 Dr Sofiya Kolushev Prof Raz Jelinek kolushev@bgu. ac.il 08-6479396 Instrument Spectrofluorimeter Spectrophotometer Microplate fluorimeter Tissue culture equipment Bacterial culture equipment Refractometer Description Edinburgh Instruments FLS920P: Xe900 Xenon Arc Lamp, nF900 Nanosecond Flashlamp; Lifetimes from 10 picoseconds to 10 microsecond, Count rates of up to 100MHz, Resolution from 0.05 – 18nm Jasco V-530 UV/VIS: :Single monochromator 1200 lines/mm concave grating Modified Rowland mount Double-beam, Light source: Deuterium lamp: 190 to 350 nm; Halogen lamp: 330 to 1100 nm, Scanning speed: 40 - 4000 nm/min; Wavelength range: 190 to 1100 nm, Wavelength accuracy: ± 0,1nm The Fluoroskan Ascent (Thermo, Finland), a dedicated microplate fluorometer, offers high sensitivity for a wide variety of fluorometric applications. It features compatibility with 1o 384well plate formats and PCR plates, up to three onboard dispensers, an incubator and a shaker. Simultaneous reagent injection and signal detection enable suitability to fast kinetic applications. Multidrop 384 (Thermo, Finland) for plates filling. Tuttnauer CO2-Incubator for tissue culture; Laminar Hood (ADS Laminaire) Biohazard Safety work place, Optical Microscope Nikon Tuttnauer Semi-Automatic Benchtop Sterilizers, Incubated and refrigerated Shaker J357 Automatic Refractometer (Rudolph, USA). Measurement range of 1.29 to 1.70. Wavelength – 633nm. Temperature range – 10-70oC Equipment Location BGU Faculty Academia Industry Operator Faculty in Charge Contact email Contact phone Operator Self 95 Building, 102 room $34 $14 $113 $113 Dr Sofiya Kolushev Prof Raz Jelinek kolushev@bgu. ac.il 08-6479396 95 Building, 102 room $8 $4 $28 $28 Dr Sofiya Kolushev Prof Raz Jelinek kolushev@bgu. ac.il 08-6479396 95 Building, 102 room $8 $4 $28 $28 Dr Sofiya Kolushev Prof Raz Jelinek kolushev@bgu. ac.il 08-6479396 95 Building, 102 room - - - - Dr Sofiya Kolushev Prof Raz Jelinek kolushev@bgu. ac.il 08-6479396 95 Building, 102 room - - - - Dr Sofiya Kolushev Prof Raz Jelinek kolushev@bgu. ac.il 08-6479396 Dr Sharon Vanounou Prof Raz Jelinek sharvan@bgu. ac.il 08-6479396 95 Building, 102 room ₪10 per sample E-Gun Evaporator ODEM fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 Thermal Evaporator ODEM fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 Sputter ODEM fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 Ion Beam Miller Routh&Rau / Ion Sys 500 fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 PECVD Corial / D250 fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 RIE Corial / 200 fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 DRIE Corial / 200L fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu.a c.il 08-6479044 Instrument Description Equipment Location BGU Faculty Operator Academia Industry Operator Self Faculty in Charge Contact email Contact phone Plasma Asher Diener electronics / Pico fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 CDP Logitech / 1CM51 fab1 $50 $70 $100 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 Mask Aligner (resolution down to 1 micron) Suss Microtec / MJB4 fab2 $50 $70 $100 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 E-LINE (resolution down to 20 nm) Raith fab2 $150 $175 $200 Benny Hadad Dr. Folman goldadi@bgu. ac.il 08-6479044 Wire Bonder West Bond fab1 $50 $70 $100 Valery Dikovsky Dr. Folman goldadi@bgu. ac.il 08-6479044 Nano Manipulator Clocke Nanotechnik fab2 $50 $70 $100 Avraham Rainer Dr. Folman goldadi@bgu. ac.il 08-6479044 fab1&2 $35 $50 $100 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 Clean room services: Optical Microscope Profilometer Nano Spec Wet Hoods OLYMPUS Veeco / Dektak 8 Nanomertix P.V Plast Isotropic dry etcher Xaticx Fab1 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 Probe Station+ Laser Cutting System Suss Microtec Fab1 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 RTP JetFirst Jipelec Fab1 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 Suss MicroTec Fab1 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 MA6, Suss Microtec Fab2 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 Wafer Bonder CB6L , MicroTec Fab2 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 XeF2 Dry etch Xaticx Fab1 fab team Dr. Folman goldadi@bgu. ac.il 08-6479044 Probe Station+ laser cutting System Mask Aligner (resolution down to 1 micron) WEIZMANN Instrument Description optical lithography electron beam lithography Karl Suss MA-6 mask aligner dry etching ICP apparatus thermal evaporation of metallic and dielectric films electron beam evaporation of metallic and dielectric films confocal optical microscope Rudolf ellipsometer Dektak 3ST surface profiler gel electrophoresis apparatus of nucleic acids and proteins AKTA basic FPLC protein purification apparatus PCR machine NanoDrop spectrophotometer GeneQuant spectrophotometer gel imagining apparatus Equipment Location Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Chemical & Biophysical NanoSciences Weizmann Faculty Operator Self Academia Industry Operator Faculty in Charge Contact email Contact phone Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Instrument Description workstation for 32P and 35S radioactive work Scanning electron microscopes (SEM) ESEM FEI. equipped with EDS systems for elemental analysis ULTRA-55 ZEISS SUPRA-55 VP ZEISS Tecnai F-30 FEI CM-120 PHILIPS NOVA 600 TECNAI T-12 FEI TECNAI F-20 FEI CM-12 PHILIPS Sample Preparation Includes nanomanipulator and Electron Beam Induced Current (EBIC) imaging system. Equipped with EDS systems for elemental analysis High resolution TEM microscope (resolution of 1.7A) equipped with a double tilt holder. Attached to this 300kV microscope is a post column Gatan Imaging mFilter (GIF) which enables EELS measurements (electron energy loss spectra) and elemental mapping at nanometer scale. TEM for materials science equipped with EDS for elemental analysis. FEI dual beam microscope, including a focused ion beam (FIB) column and a SEM column. This microscope has excellent HR imaging, nano-scale patterning (material deposit or etch) and sample cross-sectioning for TEM analysis, using an advanced Omniprobe micromanipulator. TEM suitable for low-temperature applications and equipped with digital slow-scan cameras (CCDs) for low-dose work. TEM suitable for low-temperature applications and equipped with digital slow-scan cameras (CCDs) for low-dose work. Includes computer-controlled sample stage and high-resolution 4k x 4k CCD camera (TVIPS), for automated tomographic applications. TEM suitable for low-temperature applications and equipped with digital slow-scan cameras (CCDs) for low-dose work. polishing apparatus, dimpling, ion milling machines, sputter, and physical evaporation apparatus, a critical point dryer, and several ultramicrotomes. Equipment Location Contact email Contact phone Dr. Shirley Daube shirley.daube @weizmann.a c.il 08-9344974 Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Electron Microscopy Unit Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Electron Microscopy Unit Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Electron Microscopy Unit Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Electron Microscopy Unit Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Electron Microscopy Unit Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Orna Yeger orna.yeger@w eizmann.ac.il 08-9344541 Chemical & Biophysical NanoSciences Electron Microscopy Unit Electron Microscopy Unit Electron Microscopy Unit Electron Microscopy Unit Electron Microscopy Unit Electron Microscopy Unit Weizmann Faculty Operator Self Academia Industry Operator Faculty in Charge Instrument Description Equipment Location Weizmann Faculty Operator Academia Industry Operator Self Faculty in Charge Contact email Contact phone orna.yeger@w eizmann.ac.il 08-9344541 sidney.cohen @weizmann.a c.il ; hagai.cohen@ weizmann.ac.il 08-9342703; 08934-3422 High-pressure freezing, cryo-plunging, freeze substitution and cryo-sectioning. Electron Microscopy Unit The Ultrahigh Vacuum Unit is a multifaceted system for surface analyses at pressures below 10-9 torr. The main analysis chamber includes a Kratos Axis HS photoelectron spectrometer, which detects elements and determines their chemical state on the surface at depths up to 3 nm with sensitivity of 0.1%. The system includes an ultraviolet lamp for valence band measurements, monochromator for high resolution work, ion gun for sputtering the surface, and flood gun for insulating samples. In addition, an electron gun for performing electron energy loss spectroscopy has been installed. A second vacuum chamber attached to the analysis chamber contains a VG Low Energy Electron Diffractometer to determine the surface crystalline state. Surface Analysis Unit Digital Instruments Nanoscope Scanning tunneling/scanning force microscopes Surface Analysis Unit NT-MDT P47/LS Scanning tunneling/scanning force microscope Surface Analysis Unit NTEGRA Scanning tunneling/scanning force microscope Surface Analysis Unit RIBER MBE Three customized MBE systems. Growth of pure IIIV semiconductor materials. Braun Center for Submicron Research Prof. Moty Heiblum sidney.cohen @weizmann.a c.il ; hagai.cohen@ weizmann.ac.il sidney.cohen @weizmann.a c.il ; hagai.cohen@ weizmann.ac.il sidney.cohen @weizmann.a c.il ; hagai.cohen@ weizmann.ac.il Moty.Heiblum @weizmann.a c.il JEOL High resolution EBEAM system (resolution 3.5nm, direct writing ~10 nm, mask features <0.5 micrometers). Processing and fabrication of submicron and nano-scale devices. Braun Center for Submicron Research Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343897 A sophisticated optical lithography laboratory; containing two mask aligners. Braun Center for Submicron Research Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343898 Contact Contact Equipment for cryo-applications Instrument Description Orna Yeger Dr. Sidney Cohen - Scanned Probe Microscopy; Dr. Hagai Cohen Ultrahigh Vacuum Equipment Weizmann Faculty Academia Industry Operator Faculty in 08-9342703; 08934-3423 08-9342703; 08934-3424 08-9342703; 08934-3425 08-9343896 Location Leo Scanning electron microscope (resolution 1.2 nm). Metal deposition (two evaporators) and plasma dry etching facilities. Plasma deposition of insulators and plasma etching system. Packaging and characterization of submicron electronic devices. A scanning tunneling microscope laboratory. Measuring and characterizing electrical properties down to 10 mK at fields up to 18 T. Measuring and characterizing optical properties down to 25 mK at fields up to 12 T. USER FEES AT WEIZMANN INSTITUTE NOT YET AVAILABLE Braun Center for Submicron Research Braun Center for Submicron Research Braun Center for Submicron Research Braun Center for Submicron Research Braun Center for Submicron Research Braun Center for Submicron Research Braun Center for Submicron Research Operator Self Charge email phone Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343899 Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343900 Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343901 Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343902 Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343903 Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343904 Prof. Moty Heiblum Moty.Heiblum @weizmann.a c.il 08-9343905