Slurry Abrasive Particle Agglomeration
I
Experimentation and Modeling for Chemical
WU
o
kj -
ro
Mechanical Planarization (CMP)
by
Joy Marie Johnson
B.S., Electrical Engineering, North Carolina State University, 2007
B.S., Computer Engineering, North Carolina State University, 2007
S.M., Electrical Engineering and Computer Science,
Massachusetts Institute of Technology, 2009
Submitted to the
Department of Electrical Engineering and Computer Science
in partial fulfillment of the requirements for the degree of
Doctor of Philosophy in Electrical Engineering and Computer Science
at the
MASSACHUSETTS INSTITUTE OF TECHNOLOGY
June 2015
@ Massachusetts Institute of Technology 2015. All rights reserved.
Signature redacted
-p.
.
Author
Department
Certified by
Electr c
ineeri
d Computer Science
Signature redacted
ivay 2U, 2UI0
Duane S. Boning
Professor of Electrical Engineering and Computer Science
Thesis Supervisor
Accepted by
Signature redacted ..............
1 6/
Ueslie A. Kolodziejski
Chair, Department Committee on Graduate Students
C1-
C
2
Slurry Abrasive Particle Agglomeration Experimentation
and Modeling for Chemical Mechanical Planarization (CMP)
by
Joy Marie Johnson
Submitted to the Department of Electrical Engineering and Computer Science
on May 20, 2015, in partial fulfillment of the
requirements for the degree of
Doctor of Philosophy in Electrical Engineering and Computer Science
Abstract
A theoretical modeling approach is developed to predict silica-specific instability in
chemical-mechanical polishing (CMP) slurries. In CMP, the formation of large agglomerates is of great concern, as these large particles are associated with high defectivity and poor polishing performance. The proposed model describes the complex
CMP slurry system as a colloid under high non-linear shear conditions. The model
diverges from the classic colloidal models by focusing on the following: reaction limited agglomeration (RLA) bounded by silica-specific modes of transitory bonding,
and modified DVLO assumptions to include chemical activation and hydrodynamic
agglomerate break-up condition evaluation. In order to build physical intuition and
predict key model parameters, fundamental studies and novel metrology of agglomerates is performed.
Thesis Supervisor: Duane S. Boning
Title: Professor of Electrical Engineering and Computer Science
3
4
Acknowledgments
To my Dad who taught me, resilience.
To Bishop Otis Lockett, Sr. who taught me, faith.
To Professor Seth Teller who taught me, authenticity.
I honor their memory with this work.
5
6
Contents
1
29
Introduction
1.1
M otivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
30
. . . . .
32
.
32
. . . . . . . . . . . . . .
33
1.1.1
CMP silica Slurry Formulation and Experimentation
Selection/Role of CMP as enabling planarization technology
Selection of Silica-based CMP slurry
Formulation of experimental silica-based CMP slurry versus
commercial CMP slurry
1.1.2
. . . . . . . . . . . . . . . .
33
Modeling of Silica CMP Slurry Abrasive Nanoparticle Agglomeration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
34
Selection of CMP slurry abrasive particle and slurry chemistry
focus . . . . . . . . . . . . . . . . . . . . . . . . . . .
34
. . . . . . . . . . .
34
Background: Colloids and CMP . . . . . . . . . . . . . . . . . . . . .
38
1.2.1
Colloid and Interface Science . . . . . . . . . . . . . . . . . . .
38
1.2.2
Chemical Mechanical Planarization . . . . . . . . . . . . . . .
38
Thesis Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . .
39
. . . . . . . . . . . . .
40
. . . . . . . . . . . . . . . . . .
40
Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . .
41
Selection of particle-scale model approach
1.2
1.3
1.4
2
1.3.1
Theoretical (Modeling) Contributions
1.3.2
Experimental Contributions
43
Literature Review
2.1
. . . . . . . . . .
44
. . . . . . . . . . . . . . .
45
Background on Silica-specific Colloidal Instability
2.1.1
Colloidal Instability of Silica (CIS)
7
45
. . . . . . . . . . . . . . . . . .
46
Colloidal Instability of Silica CMP Abrasive Particles (CMP)
48
Transport ( Hydrodynamics) . . . . . . . . . . . . . . . . . .
48
. . . . . . . . . . . . . . . . . . . . .
49
.
2.2.1
.
Prior Work and Limitations
Background on Nanoparticle Agglomerates in Planarization
Modeling (Theoretical)
.
2.2
47
. . . . . . . . . . .
Attachment (Inter-particle Interactions)
.
2.1.2
.
Transport (Hydrodynamics)
.
. . . . . . . . . . .
Attachment (Inter-particle Interactions)
51
.
51
. . . . . . .
52
. . . . . . . . . . . . . . . . . . . .
.
Agglomeration Index Defectivity Metric:
.
Ahmadi and Mazaheri Zeta Potential - pH Model:
Maximum Agglomerate Size/Colloidal Diameter Limit
.
M odel: . . . . . . . . . . . . . . . . . . . .
.
Background on Nanoparticle Agglomerates in Planarization
54
.
2.2.2
54
. . . . . . . . . . . . . . . . . . .
Experiments (Empirical)
Slurry Abrasive Particle and Electrolyte Chemistry Studies
54
. . . . . .
57
. .
60
Effects of Shear Application Methodologies: . . . . . .
61
Defectivity Metrics Studies . . . . . . . . . . . . . . . . . . .
63
Defectivity Metric - Scratches: . . . . . . . . . . . . .
65
Defectivity Metric - Surface Roughness: . . . . . . . .
66
Summary: Current Limitations and Scope of Thesis Work . . . . .
67
69
Design of Experiments (DoE) for Agglomeration Studies
Design of Experiments
. . . . . . . . . . . . . . . . . . . .
. . . .
70
3.2
Equipment and Procedures . . . . . . . . . . . . . . . . . .
. . . .
73
.
.
3.1
Slurry Formulation
. . . . . . . . . . . . . . . . . .
. . . .
74
3.2.2
Shear Induction (Pumps) . . . . . . . . . . . . . . .
. . . .
76
3.2.3
Slurry Particle Characterization . . . . . . . . . . .
. . . .
81
.
.
3.2.1
.
3
.
.
.
.
.
Effects of Particle Loading and Size Distribution:
.
.
.
Effects of Zeta-Potential/pH Dependency: . . . . . . .
Effects of Polymers, Salts and Surfactants:
2.3
53
8
Slurry Abrasive Particle Size Distribution: Disc Centrifuge . .
83
. . . . . .
84
Slurry Electrolyte Characterization: Zeta Potential
Slurry Abrasive Agglomerate (Quantitative) Characterization:
3.3
3.4
Scanning Electron Microscope (SEM) . . . . . . . . .
85
Comparison of Metrology and Characterization of Silica Agglomerates
87
3.3.1
Metrology for Particle Size . . . . . . . . . . . . . . . . . . . .
87
3.3.2
Metrology for Stability: Surface Morphology Measurements . .
90
. . . . . . . . . . .
91
. .
92
. . . . .
95
. . . . . . . . . . . . . . . .
95
. . . . . . . . . . . .
97
. . . . . . . . . . . . .
98
Shear Variation Study: . . . . . . . . . . . . . . . . . .
101
Summary: Experimental Contributions . . . . . . . . . . . . . . . . .
103
Comparison of Experimental Metrics and Results
3.4.1
Archetype Study: Simple 50nm Silica Slurry Experiments
3.4.2
Systematic Study: 220nm Silica Slurry Experiments
Zeta Potential-pH Study:
Zeta Potential-Surfactant Study:
pH-Shear-Particle Size Study:
3.5
4
105
Theoretical Agglomeration Model Framework and Validation
4.1
Colloidal Agglomeration Model Framework . . . . . . . . . . . . . . .
105
4.2
Theoretical Abrasive Agglomeration Model . . . . . . . . . . . . . . .
107
. . . . . . . . . . .
110
. . .
115
. . . . . . . .
118
Non-linear Shear Application . . . . . . . . . . . . . . .
122
4.2.4
Siloxane Bonding (Chemical Kinetic Energy) Model . . . . . .
123
4.2.5
Hydrodynamic Agglomerate Breakup Model . . . . . . . . . .
129
. . . . . . . . . . . . . . . . . . . . .
133
4.3
4.2.1
Surface Chemistry (Zeta Potential) Model
4.2.2
Colloidal Stability (Surface Interaction Potential) Model
4.2.3
Orthokinetic Uniform Shear Model Assumption
Model Results and Comparison
Model Component A: Surface Chemistry (Zeta Poten-
tial) M odel
. . . . . . . . . . . . . . . . . .
133
Model Components B - D: Statistical Particle Physics
Model w/silica specific term . . . . . . . . .
9
135
Model Component E: Hydrodynamic Break up Model .1 136
5
Implications to CMP Users and Implementation Methodologies
5.1
Implications to CMP Consumable Suppliers and Semiconductor Man. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
142
. . . . . . . . . . . . . . . . . . . .
143
. . . . . . . . . . . . . . .
145
. . . . . . . . . . . . . . . . . . .
148
5.3.1
Initial Measurements for As-Received Slurry . . . . . . . . . .
149
5.3.2
Batch Slurry Testing Measurement
. . . . . . . . . . . . . . .
149
5.3.3
Point-of-use Measurement
. . . . . . . . . . . . . . . . . . . .
150
5.3.4
Post-Defect/Excursion Measurement
. . . . . . . . . . . . . .
151
. . . . . . . . . . . . .
151
ufacturers
5.2
Implications for CMP Engineers
5.2.1
5.3
5.4
6
139
Consumable Metrology Challenges
Implications for CMP Researchers
Summary: CMP (Community) Contributions
153
Conclusion and Future Work
6.1
Conclusion
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
153
6.2
Future Work: Theoretical Modeling and Extension of Model . . . . .
155
6.3
6.2.1
Shape Dependent Agglomeration
. . . . . . . . . . . . . . . .
156
6.2.2
Multi-particle (type) Agglomeration Modeling . . . . . . . . .
160
6.2.3
Further Exploration of Non-Uniform Shear in-Situ . . . . . . .
161
. . . . . . .
162
Future Work: Experimental Design and Implementation
6.3.1
Experimental DoE with Fumed Silica Abrasive Particles
. . .
163
6.3.2
Experimental DoE with Ceria or Mixed Abrasive Slurries . . .
164
6.3.3
Experimental Round Robin with Various Shear-Inducing Apparatus
6.3.4
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
166
Experimental DoE with Significant Slurry Additive Chemical
G roups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
166
A Archetype Study: Supplemental Plots
169
B Systematic Study: Supplemental Plots
171
10
List of Figures
1-1
The evolution of fabrication of 3-D transistors to planar integrated
circuits(IC ) [1].
1-2
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Planarization implementation (CMP) in the current IC fabrication process flow [2]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1-3
31
32
Current landscape of CMP modeling input parameters and output metrics with respect to scale. This visual representation of the input parameters lists only a few of the most relevant and impactful parameters;
there are many additional parameters that are also important in CMP.
1-4
35
Comprehensive overview of particle-scale modeling of chemical mechanical planarization for sub-micron IC fabrication based on four elements (slurry chemistry, abrasives, pad, wafer) and their respective six
possible interactions. A large gap in understanding is in what Luo and
Dornfeld refer to as "Chemical-Abrasive Particle Interaction (CAI)"
and "Chemical-Pad Interaction (CPI)". All citations are publications
from 1990-2004 as documented in [2]. . . . . . . . . . . . . . . . . . .
36
1-5
Primary CMP consumable types [3, 4, 5]. . . . . . . . . . . . . . . . .
37
2-1
Illustration for the specific case of CMP, of the length scales and/or
range of effective interaction of the two primary drivers of colloidal
instability: (a) attachment and (b) transport.
2-2
. . . . . . . . . . . . .
45
Illustration of the theoretical model for orthokinetic shear. The particles are shown on streamlines which are separated by the combined
collision radius of their individual radii. Figure from [6].
11
. . . . . . .
47
2-3
A survey of all CMP literature from around 1990 to January 2013 published in peer-reviewed journals and relevant conference proceedings.
This survey does not include a few independent conferences (namely
International Conference on Planarization Technologies (ICPT) and
CMP for USLI Multilevel Interconnection Conference (CMP-MIC))
but is reflective of the proportion of papers published on each major
research area.
2-4
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
50
Zeta Potential - pH study vs. Empirical Model Results. (a) Tantalum
CMP study: Electro-acoustic measurements of as-dispersed colloidal
a-Alumina and fumed Silica particles in DI water at 3wt% [7].
Empirical equations (model) vs. data from the study in (a) [8].
2-5
(b)
. . .
52
The use of the empirically fit Agglomeration Index (Al) by the Singh
research group of the University of Florida gives a semi-qualitative understanding of slurry (colloidal silica and ceria) stability and inclination
towards defectivity above a threshold. It is difficult from the combinative metric to intelligently determine ways to adjust the sample slurry
in each case, but does have the advantage of quickly ruling out slurries
used in batch testing, an approach commonly used in industry to select
. . . . . . . . . . . . . . . . . . . .
a slurry for a new process [9, 10].
2-6
53
In zeta potential measurement setups, a tangential electric field is applied to the colloid system, causing a dipole moment that induces a
current detected using various mechanisms in order to derive the zeta
potential via Equation 2.7 [11].
2-7
. . . . . . . . . . . . . . . . . . . . .
56
There are many experimental CMP papers in the literature that reference the zeta potential - pH curves of common oxides used for CMP
abrasives as well as substrates, including: (a) Metal oxides typically
employed and cited in [12] including silica. (b) Barthel et al. observe
isoelectric pH for silica formulated via three different methodologies to
validate the variation in IEP based on silica state [13]. (c) Previously
shown Figure 2-4 which shows oxides typical used in Metal CMP [7].
12
56
2-8
The polish rate of glass using various oxide abrasives as a function of
the isoelectric pH/IEP as reported by Kaller et al. [14, 15]. . . . . . .
2-9
57
(a) As the salt concentration is increased, a shift in the mean particle
size and increase in the overall PSD is observed via DLS measurements
for all three salts, shown here in KCl (least effective at coagulation
at high ionic strength than LiCl/NaCl).
(b) As the ionic strength
increases (> 0.lM), the cations (Na+, Li+, K+) serve as counterions
to the negatively charged silica surface when at its IEP. As expected
at a pH of 10.5 when in the absence of salts, the zeta potential is
negative, the increased ionic strength and introduction of more cations
not only reduces the magnitude of the zeta potential, but it suppresses
the electrical double layer by reducing the total surface charge on the
particle, causing agglomeration as shown in the figure in part (a). (c)
The presence of these agglomerates at higher salt concentrations is
further proved via agreement of trends in polishing blanket wafers and
observing their respective RMS roughness via AFM. (d) Finally, CMP
validation is achieved with observation of increased MRR as a function
of ionic strength for all three ionic salt species [16].
. . . . . . . . . .
59
2-10 Polishing rate as a function of primary silica abrasive particle size with
respect to particle loading (wt %) [17].
. . . . . . . . . . . . . . . . .
2-11 Benchtop rheometer tool used for high shear application in research:
2-12 Comparison of pump designs.
60
62
Each of these pumps are commonly
used for slurry delivery, distribution and handling to industrial CMP
tools. The diaphragm and bellows pumps are most commonly used, as
compared to the magnetically-levitated centrifugal pumps [18]. . . . .
13
63
2-13 Comprehensive studies have been performed on various pump types
for inducing abrasive agglomerates: Time-dependent shear study with
three different pump types at the same shear rate: (a) bellows, (b)
diaphragm, and (c) magnetically levitated centrifugal pump systems.
The positive displacement pumps (bellows and diaphragm), which is
also used for slurry distribution to production CMP tools, produces
the most significant change in the large particle tail.
. . . . . . . . .
64
2-14 Cumulative LPC measurements of colloidal silica slurries circulated in
simulated CMP slurry distribution loops with varying pump types (bellows, small/large centrifugal and diaphragm). For silica based slurries
studied the diaphragm and bellows pumps showed the most substantial
increases in the large particle tail (> 0.5pm) [19].
. . . . . . . . . . .
64
2-15 "Optical images of BDI wafers polished by circulated slurries from
(a) bellows, (b) diaphragm, and (c) magnetically levitated centrifugal
pum p systems [20]."
. . . . . . . . . . . . . . . . . . . . . . . . . . .
66
2-16 Comparison of AFM images of wafers polished with 0.2M NaCl containing slurry and 0.6M NaCl containing slurry to enhance particle. .
67
. . . . .
68
particle interaction of the baseline 0.2pm 12wt% silica slurry [21].
2-17 Table of CMP slurry abrasive particle experimental studies.
3-1
Schematic of our systematic study DoE to study silica abrasive particle
agglom eration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3-2
-.
Process flow for systematic study of agglomeration with corresponding
equipment, metrology and material descriptions. . . . . . . . . . . . .
3-3
71
74
Ultra high purity colloidal silica prepared by a sol-gel process using ultra high purity alkyl silicate as a starting material to create a spherical
particle shape, for PL-20, of primary particle diameter of 220nm and
secondary particle diameter of 370nm [22].
14
. . . . . . . . . . . . . . .
75
3-4
Disc centrifuge particle size distribution measurements vs. pH variation, for 5wt% of silica primary particles with diameter of 220nm and
secondary particles with diameter of 370nm at a KCl concentration of
1M prior to shear application.
3-5
. . . . . . . . . . . . . . . . . . . . .
76
Prior experimentation focused on CMP abrasive particle agglomeration
has been performed either via rheometry (which allows for highly linear
shear rates but in non-repeatable potentially turbulent conditions of
small sample size) [23] or pumping (repeatable but with non-linear
shear rates) [9].
Both seek to approximate the conditions of CMP
(pictured at bottom ) [24].
3-6
. . . . . . . . . . . . . . . . . . . . . . . .
77
Cross-section of a typical positive displacement diaphragm pump highlighting (red boxes) the areas where the liquid being pumped is forced
out via an orifice that has a check valve ball, which creates the level
of shear reported by modeling the flow through two of the four orifices
as flow around a sphere in a cylinder. This check valve can be modeled as a ball in a cylindrical tube for the purposes of uniform shear
calculations [18].
3-7
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Experimental run table of shear rates with respect to turnovers and
equivalent CM P times. . . . . . . . . . . . . . . . . . . . . . . . . . .
3-8
80
80
Horiba DLS measurement of our systematic study slurry which yields
a slurry abrasive particle mean size of 0.3575pm and a median size
of 0.35 4 7 8btm. Measurements taken at Intel Corporation by Dr. Paul
S afier.
3-9
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
81
CPS disc centrifuge measurements of a sedimented slurry (5wt% silica,
pH2) at t = 0 and t = 9 days. . . . . . . . . . . . . . . . . . . . . . .
82
3-10 Disc centrifuge particle size distribution measurements vs. pH variation, for our systematic study slurry (5wt% of silica primary particles with diameter of 220nm and secondary particles with diameter of
370nm at a KCl concentration of IM) sheared for 1000 turnovers or
125 minutes (an equivalent CMP time of 10 seconds).
15
. . . . . . . . .
82
3-11 CPS Instruments:
Disc centrifuge side view photograph and cross-
section schematic [25].
. . . . . . . . . . . . . . . . . . . . . . . . . .
83
3-12 Zeta potential measurement via electroacoustic phenomenon (DT-300
Electroacoustic device for zeta potential characterization) [26]. ....
85
3-13 SEM images of systematic study slurry agglomerates after micro-filtration.
86
3-14 Particle size and PSD metrology methodologies with respect to the size
range they can accurately characterize. (Based on the work of Khanna
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
88
3-15 Side view schematic of single particle optical sensor (SPOS) [25]. . . .
89
et al. [23].)
3-16 Archetype Study:
Simple slurry of colloidal silica particles (50nm)
sheared in our experimental pumping system over 8 days and measured
using a Horiba DLS tool. Three batches of the slurry are represented
based on their respective pH values: (POR) pH 2.2, pH 6.66 and pH
9.1 with the corresponding values of their mean and median for each
tim e point [27].
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
93
3-17 Archetype Study: Simple slurry of colloidal silica particles (50nm silica
at 6 wt%) sheared in our experimental pumping system over 8 days
and measured using a SPOS tool to assess the paired LPC. The two
disperate batches of the slurry are represented based on their respective
pH values: (POR) pH 2.2 and pH 6.66 with the corresponding values
of their mean and median for each time point to highlight the lack of
large particles at the POR pH.
. . . . . . . . . . . . . . . . . . . . .
94
3-18 Integration of CIS experimental results (thick solid lines) and a modified DVLO model for silica particles which shows the effect of pH/zeta
potential.
The shaded areas show where the approximate zones of
DVLO stability/instability behavior should occur, in contrast to the
experimental data which is qualitatively represented by the capitalized
text and follows the trend of our experimental data [28, 29].
16
. . . . .
94
3-19 Systematic Study: Simple slurry of colloidal silica particles (220nm
primary particle size) prior to shear application characterized using
electro-acoustic measurements of zeta potential - pH and conductivity
in the presence of varying salt (KCl) concentrations at pH 2 (POR),
pH 6.5, and pH 10.5 respectively [30]. . . . . . . . . . . . . . . . . . .
96
3-20 Systematic Study: Repeat of the measurement shown in Figure 3-19
with simple slurry of colloidal silica particles (220nm primary particle
size) and another order of magnitude smaller particles (75nm primary
particle size) prior to shear application. . . . . . . . . . . . . . . . . .
97
3-21 Systematic Study: Simple slurry of colloidal silica particles (220nm
primary) at 1M KCl prior to shear application characterized using
electro-acoustic measurements of zeta potential - pH and conductivity
in the presence of varying surfactants (anionic (TMAH) and cationic
(SDS)) at pH 2(POR), pH 6.5, and pH 10.5 respectively.
. . . . . . .
98
3-22 Systematic Study: Simple slurry of colloidal silica particles (primary
particles with diameter of 220nm and secondary particles with diameter
of 370nm) in DI water at 1M KCl sheared for 0, 1000, 10,000, 23,136,
698,840 and 80,640 turnovers, respectively. Measurements are taken on
the CPS disc centrifuge and show the relative weight of each particle
diameter so that the reader can observe the large particles as well as
the primary particles in proportion to their contribution to the overall
concentration. (a) pH 2 (POR) batch; (b) pH 6.5 batch; and (c) pH
10.5 batch.
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
100
3-23 Systematic Study: Mean particle size of the simple slurry of colloidal
silica PSD from Figure 3-22 with respect to time in minutes over 6
days. The red line is shown at the plateau time of the extended study
of two days.
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
17
101
3-24 Systematic Study: Shear variation study of simple slurry of colloidal
silica particles (primary particles with diameter of 220nm and secondary particles with diameter of 370nm) in DI water at pH 10.5 at
three different shear levels with respect to time over 6 days.
. . . . .
102
3-25 Systematic Study: Shear variation study of simple slurry of colloidal
silica particles (primary particles with diameter of 220nm and secondary particles with diameter of 370nm) in DI water at pH 10.5 at
high shear (112,254s-1) in shades of blue and low shear (28,063s-1) in
shades of purple levels with respect to time over 6 days.
4-1
. . . . . . .
103
In classical statistical particle physics models, primary particle concentration typically is considered to be the mean particle size of the slurry,
under the assumption of an ideally mono-disperse slurry with a tight
range around the mean as shown in the disc centrifuge measurement.
Thus, for modeling purposes we take the concentration of particles of
the mean size to represent the primary particle of concentration, ni.
Any larger particles (nj, nk, etc.) are thus assumed to be agglomerates
of multiple primary particles.
. . . . . . . . . . . . . . . . . . . . . .
18
107
4-2
Our theoretical model framework illustrating the five key components
(A-E) of our model as it extends the classical model framework to
model a complex CMP system. Component A, the surface chemistry
(zeta potential) model, is added to theoretically model the abrasive
particle's surface chemistry and evaluate its properties (zeta potential
()
and surface charge density/potential (l/o-)).
Thus, the input pa-
rameters require more detail about the slurry chemistry including pH,
in addition to the necessary physical parameters of shear rate, G and
initial PSD. Component B, the colloidal stability model, employs the
surface interaction potential calculation to determine a, as opposed to
fitting the value or assuming it to be 1. Component C, the orthokinetic
uniform shear assumption, remains the same as the traditional model.
Component D, the siloxane bonding (chemical kinetic energy) model
introduces the silica-specific case of siloxane bonding which changes
the scaling of the sticking coefficient towards the pH most conducive
to siloxane bond formation. Component E, the hydrodynamic agglomerate break-up model, evaluates the degree of shear versus the strength
of attraction forces at the agglomerates interface which could cause it
to break up.
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19
109
4-3
Zeta potential model of silica capillaries from Berli et al.: ((a) Schematic
representation of the capillary wall with dissociated silanol groups containing negative electrical charges.
The charged surface induces the
(b)
formation of a diffuse layer of ions in the electrolyte solution.
Electrostatic potential I(x) as a function of the distance x measured
from the charged surface.
The Debye length, 1, measures the thick-
ness of the diffuse double layer under typical conditions of CZE. (c)
Fluid velocity profile v(x) due to an electric field acting along the
capillary. d, thickness of the compact layer; co, surface potential;
potential at the outer Helmholtz plane (it is assumed z < cd);
Cd,
VEO,
limiting electro-osmotic velocity. The whole diagram emphasizes the
solid-liquid interface [31]. . . . . . . . . . . . . . . . . . . . . . . . . .111
4-4
Schematic representation of a single primary silica abrasive particle
with dissociated silanol groups on the surface, which form its respective
diffuse layer of ions in the slurries electrolyte solution. Electrostatic
potential, I(x), is also shown as a function of the distance from the
charged surface as it relates to both the surface potential, To, and the
Debye length, rK, of its diffuse double layer, and zeta potential, ( [27].
4-5
112
DVLO theory is illustrated to demonstrate how the scaling of a represents the physical phenomenon that occurs when a particle is able to
overcome the potential energy barrier VT to attach to another particle.
(Note: Vmaa, in Equation 4.13 is equivalent to VT noted in the figure.)
4-6
116
The relationship between zeta potential and salt concentration in a simple slurry finds a critical concentration at which stability is no longer
able to be determined solely by the value of the zeta potential.
At
1M KCl concentration, the zeta potential is nominally the same but
slurry agglomeration behavior is quite different at the three values of
pH; Consideration of transport-limited rate cases is also required.
20
. .
118
4-7
A "model for orthokinetic collision of spheres in a uniform shear field
from Gregory et al. [6].
The particles are on streamlines which are
separated by a distance equal to the collision radius, ai + aj."
The
model use case shown in the figure is that of "uniform laminar" shear
flow which is a normalized assumption for both existing experimental shear studies which use pumps that apply non-uniform shear via
pumping systems, as well as CMP shear studies which have high shear
cases which may, albeit briefly, enter into the turbulent shear regime.
4-8
119
Our use of the orthokinetic model of collision (shown in Figure 47) based on the fundamental assumption of the classic Smoluchowski
equation which states that "aggregation is a second-order rate process,
in which the rate of collision is proportional to the product of concentrations of two colliding species" [6]. Our system dictates that the
collision mechanism is that of high shear, at a shear rate G.
4-9
. . . . .
120
Examples of possible combinatorial cluster arrangements versus particle sizes. The dashed lines illustrate sphericity approximations made
both in the theoretical model and physical toolsets, for large agglomerates composed of multiple primary particles to a spherical particle of
an equivalent radius [25]. . . . . . . . . . . . . . . . . . . . . . . . . .
121
4-10 Comparison of high (-) and low shear (-y') rates as realistically presented in our experimental pumping loop and pump orifice (thick gray
line) with respect to the uniform high shear rate (dotted black line) as
modeled in the literature.
. . . . . . . . . . . . . . . . . . . . . . . .
123
4-11 Silica CMP abrasive particle agglomerate studies: (Top: [9] Bottom:
[7]). These published results in the CMP literature (suggesting instability or agglomeration near the IEP) and conflicting data in the CIS
literature (suggesting stability and lack of agglomeration near the IEP
of 2.2) cause us to re-examine the design of experiments of prior work.
21
124
4-12 Integration of CIS experimental results (thick solid lines) and a traditional DVLO model for colloidal oxide particles which shows the effect
of pH/zeta potential [28]. The shaded areas show where the approximate zones of DVLO stability/instability behavior should occur, indicated in the observed ranges of agglomeration behavior (metastable,
rapid agglomeration, and particle growth).
. . . . . . . . . . . . . .
126
4-13 Siloxane bonding condition illustration with corresponding SEM images of our experimental silica slurry post shear application.
. . . . .
127
4-14 Schematic illustration, with allusion to the shear-induced agglomeration counter case, of the breakup phenomenon that we believe occurs
during CMP when large silica abrasive particle agglomerates are form
under high shear conditions and almost immediately totally broken up. 130
4-15 Disc centrifuge measurements of our prolonged systematic shear study
demonstrate a maximum agglomerate size, Dma,, is achieved for a
slurry under shear. It also implies through the oscillation of the Gaussian at longer time scales (Day 1-6), that there break-up of the micronsize particles causing a shift in the peak MPS. . . . . . . . . . . . . .
132
4-16 Modeling results for component A, where the trend previously observed
in experimental data is reflected in the model, of zeta potential lowering
in magnitude as the concentration of KCl (I) is increased until at each
pH the value is nominally the same.
. . . . . . . . . . . . . . . . . .
134
4-17 Modeling results for component A (from Figure 4-16) in blue vs. data
from: (a) Archetype study measurements (50nm silica at 6 wt%); (b)
Systematic study measurements (220nm/340nm silica at 5 wt%) both
in magenta with markers and the corresponding line styles of their
respective concentrations.
. . . . . . . . . . . . . . . . . . . . . . . .
134
4-18 Modular modeling results for component C: (a) Orthokinetic shear relationships based on literature data [6], the archetype study and actual
CMP shear rates; (b) Comparison of model transport aggregation rate
constant, k.
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
22
135
4-19 Modular modeling results for integrated model with component E addressing hydrodynamic break up. Systematic study experimental data
from Figure 3-23 in comparison with our fully integrated particle level
model is shown. The POR pH (2) and alkaline pH 10.5 are shown as
representative of the most and least stable slurry batches, respectively. 136
4-20 Modular modeling results for integrated model/model component E.
Systematic study experimental data from Figure 3-24's shear variation
study in comparison with our fully integrated particle level model when
a slurry batch of the same chemical composition is sheared at low,
middle and high shear rates for the same extended time study. . . . .
5-1
137
Generalized CMP slurry distribution system with metrology, assay control and point-of-use filtration. The red boxes highlight current techniques employed to maintain slurry stability during the life cycle of
slurry from the point at which it arrives from the consumable supplier to the point at which it enters the CMP tool for use. The first
box highlights the preventative use of propeller-like mechanical stirrers
used to agitate slurries while sitting in large supply drums and/or day
tanks.
The second box highlights the palliative use of a microfilter
to filter out the agglomerates already formed during BCD in the fab.
However, the figure is conservative in its implementation of filtration,
and the yellow boxes represent other possible locations for filtration.
Figure courtesy of BOC Edwards [32].
23
. . . . . . . . . . . . . . . . .
141
5-2
Impact of the improper use of MPS as a general characterization or
metric of slurry stability. (a) Example of misleading monomodal distribution. Three slurry batches with very similar reported MPS are
shown, though their PSD have significantly different widths which will
result in different slurry agglomerate growth and subsequent CMP performance/yield.
(b) Example of misleading bi-modal distribution. In
the bi-modal PSD, the red arrow shown is the reported MPS, but it
does not represent the extent of particle and agglomerate sizes, and
could mislead CMP engineers/production groups into using this slurry
which has a significant number of larger particles in the second modal-
ity [33].
5-3
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
144
Systematic study: Sedimentation experiment. We took our most stable
slurry formulation (slurry with least agglomeration, tightest distribution prior to shear application) and allowed it to sit in conventional,
temperature-controlled storage carboys for the duration of the shear
studies (9 days) with no active mixing, blending or agitation.
The
batch was then measured again using both the disc centrifuge and
DLS tools in pH-adjusted samples. We observe that large (> 0.5[tm),
potentially defect-causing agglomerates are formed, even outside of the
application of high shear forces.
5-4
. . . . . . . . . . . . . . . . . . . . .
146
Systematic Study: Characterization of MPS vs PSD vs Cumulative
LPC. A slurry may appear to be stable in MPS over the course of an
extended experiment, typically changing only +20nm even when there
is obviously an advent of particles +200nm to the as-received or advertised primary particle size, typically rapid growth to the secondary
size that many suppliers provide. (a)/(b) MPS of 0.3535pm (c)Diluted
MPS of 0.3589pm (median of 0.3560bpm) (d)Raw MPS of 0.35 7 5pm
(median of 0.3548pm ).
. . . . . . . . . . . . . . . . . . . . . . . . . .
24
147
5-5
Visual comparison of the research/experimental slurry selection process
to either: (1) formulate a slurry on-site, or (2) select a commercial
slurry via batch testing for use.
6-1
150
. . . . . . . . . . . . . . . . . . . . .
Four images of silica particles, each created by different techniques (sol
gel, fumed, colloidal).
The most common are the colloidal particles
shown in sub-Figure D, for the uniformity of size, hardness, spherical
6-2
Schematics of bumpy colloidal abrasives with respect to surface charge,
diameter, and force contact with the wafer surface and pad [34].
6-3
156
. . . . . . . . . . . . . . . . . . . .
nature and general stability [28].
. .
157
Our slurry abrasive particle model for agglomeration rate. There are
assumptions as to the shape and/or concentration of the abrasive particle(s), and subsequent significant dependency on the slury abrasives
6-4
158
. . . . . . . . . . . . . . . . . . . .
being both spherical and regular.
Model component C, orthokinetic shear aggregation rate model. Visual
representation of irregular, non-spherical and asymmetrically shaped
silica particles under the uniform laminar shear Smoluchowski's assum ptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
6-5
159
Model component E, hydrodynamic agglomerate breakup model. Visual representation of break up model issues including irregular affluents unaccounted for in break-up models and re-agglomerate rates.
Fumed silica and cerium oxide abrasives are more fragile and easily
irregularly broken in-situ under both high shear and relatively low
pressure.
6-6
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
160
In reference to our Chapter 4 theoretical model illustrations and measurements of our time dependent particle size distribution, we've illustrated the complexity of introducing multiple particle types into our
PSD calculation. The abrasive particle composition and concentration
grows in complexity over time from left (t = 0) to right (t
=
T) as new
particles are introduced to an initial mixed slurry abrasive system.
25
.
161
. . . . . . . . .
6-7
Non-monodispersed particle size distribution model.
6-8
Extended design of experiments for mixed abrasive slurries, as an extension of the systematic study shown in Figure 3-1. . . . . . . . . . .
162
165
A-1 Archetype Study (6wt% 50nm silica at pH 3.69, shear rate of 47Ks- 1):
(a) LPC v.s shear time (days) for pH 6.66 and pH 3.69 taken via SPOS.
(b) MPS vs. shear time (days) for pH 3.69, 6.66 and 9.1 taken via DLS. 169
A-2 Archetype Study (6wt% 50nm silica at pH 3.69, shear rate of 47Ks- 1 ):
(a) Mean and median particle size (nm) and zeta potential vs. shear
time (days). (b) Calculated LPC in particles/ml vs. time (days). (c)
Mean and median particle size (nm) and LPC vs. shear time (days).
(d) Cumulative LPC in particles/ml vs. time into shear experiment.
170
B-1 Preliminary results on the effects of anionic and cationic surfactants in
experimentally formulated silica slurry at pH 10.5: zeta potential vs.
pH in the presence of anionic and cationic surfactants.
(a) 1M KCl;
(b) 0.1M KCl. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
171
B-2 MPS vs. Time (minutes) of the systematic slurry batch (pH 10.5, IM
KCl) with and without 0.001M of an anionic surfactant.
. . . . . . .
172
B-3 Low shear: (a) relative weight vs. particle size (microns), (b) MPS
vs. time (minutes). High shear: (c) relative weight vs. particle size
(microns), (d) MPS vs. time (minutes). . . . . . . . . . . . . . . . . .
172
B-4 pH 2: (a) Relative weight vs. particle size (microns), (b) MPS vs. time
(minutes). pH 6: (c) Relative weight vs. particle size (microns), (d)
MPS vs. time (minutes). pH 10.5: (e) Relative weight vs. particle size
(microns), (f) MPS vs. time (minutes).
26
. . . . . . . . . . . . . . . .
173
B-5
Disc centrifuge measurements in early time-adjusted steps where breakup
and subsequent re-formation of agglomerates is observed. Each blue
line represents the relative weight of particles in the sample of the corresponding particle diameter. The color gets progressively darker with
the amount of time shear has been applied (i.e.- the lightest blue represents pre-shear application(t=O) and the color gradually gets darker
chronologically, with the darkest blue representing (t=20 s)). As illustrated, from t=O to t=2.5 the weight of 0.43pim particles is reduced
while the weight of primary particles (0.35pLm) increases, but from
t=2.5 to t=5 those same 0.43pm particles increase while primary particles decrease.
. 174
B-6
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1
Systematic Study (5wt% 220nm silica at pH 2, shear rate of 47Ks- ):
additional SEM images of various size micro-filtered agglomerates. . .
175
1
B-7 Systematic Study (5wt% 220nm silica at pH 10.5, shear rate of 47Ks- ):
additional SEM images of various size micro-filtered agglomerates. . .
27
176
28
Chapter 1
Introduction
This thesis presents a framework towards understanding the agglomeration of colloidal
nanoparticles in the specific case of silica abrasives as used in the key semiconductor
process of chemical mechanical planarization (CMP). CMP slurries ab initio were
intended to be crucial drivers of planarization, as the wafer surface material is removed by two synergistic physical phenomena: abrasive particles interacting with a
substrate's surface which is being chemically passivated by the electrolyte which holds
the abrasive particles in suspension (thus we will refer interchangeably to the slurry
as a colloid), while simultaneously using mechanical downforce and platen rotation
to indent the abrasives into the substrate's surface with a polyurethane pad. Thus,
the crux of both the chemical and the mechanical contributions to material removal
is the silica abrasive particle.
This chapter will serve as an introduction to our work, which seeks to understand
the behavior of silica CMP slurry abrasive particles in-situ by observing and modeling
the behavior of the particles in the slurry electrolyte under high shear forces. First,
the motivation driving the particle level approach, at both gaining physical intuition
and deriving a theoretical model to more accurately describe CMP slurry nanoparticle
agglomeration, is discussed. In order to apply a classic colloid and interface science
(CIS) framework of colloidal instability to that of colloidal CMP slurries, the scope
of the problem in both research contexts is presented. Then, the contributions of
the thesis to academia and industry are discussed as a result of the theoretical model
29
derived in addition to the experimental methodologies used to build physical intuition
for that model. Lastly, the organization of the remainder of the thesis is summarized.
1.1
Motivation
Despite the International Technology Roadmap for Semiconductors (ITRS) [98, 99]
consistently identifying the planarization process as an enabling technology for sub
22 and 14nm node process integration as well as a primary challenge for development
of those technologies, if one were to determine the most significant semiconductor
fabrication process in terms of the amount of dedicated resources, CMP would pale
in comparison to a number of other process technologies. Most semiconductor and
equipment manufacturers dedicate the most man power, compute power, and technology development research dollars towards other process technologies like lithography,
which is enabled primarily by planarization through such novel (turned conventional)
design schemes like Shallow Trench Isolation (STI).
Nevertheless, historically planarity has been a catalyst and constantly present at
the epicenter of the evolution of integrated circuit (IC) fabrication and technology
development, as shown in Figure 1-1. At the invention of the first integrated circuits,
the advent of Jean Hoernis and Robert Noyce's "planar process" [1] (1960) of creating
a flat transistor surface with a thin insulating silicon dioxide layer and deposited aluminum wires, as opposed to the "flying wires" of the competing crude and unreliable
3-dimensional integrated circuit invention, made planarization an enabling technology
at what was then a small startup, Fairchild Semiconductor, which led to the modern
high volume manufacturing (HVM) IC chip industry. Metal-Oxide Semiconductor
(MOS) devices and circuits were the next major technological leap from earlier bipolar transistors, and accelerated the expansion of applications in logic, memory, and
eventually more sophisticated architectures including microprocessors.
In an effort to keep up with newly derived Moore's law and the creation of more
complex chip designs with increasing interconnect layers, as well as the need to make
smaller, cheaper, and more reliable IC chips, IBM developed CMP to planarize both
30
(a) First Transistor
(b) First Solid IC
(c) First Planar IC
Figure 1-1: The evolution of fabrication of 3-D transistors to planar integrated circuits(IC) [1].
dielectric and metal layers amongst the other methods being used to planarize surfaces chemically. Since the mid-1990's, when the switch from aluminum to copper
interconnects began, CMP has maintained a monopoly on planarization in sub-micron
IC fabrication, serving heavily in both front-end-of-line (FEOL) and back-end-of-line
(BEOL). However, as scaling threatens to outrun CMP's ability to meet the increasingly stringent line width and material property changes (e.g., low-K dielectrics),
CMP has also enabled many new process and device technologies including 3-D
through-silicon vias (TSV), high K metal gate nanowires for TFET, etc. [40].
As previously mentioned, despite the fact that CMP is the current industry standard planarization methodology and remains at the forefront of next generation IC
technology manufacturability, it remains arguably one of the most poorly understood, and as a result inefficient and costly, semiconductor fabrication processes. Of
the roughly $10B market of materials used in semiconductor manufacturing,
11%
belongs to CMP pads and slurries, making CMP an obvious target for return on
investment (ROI) improvement, as many industry leaders believe that CMP shoulders significant responsibility not only to enable fabrication of new technology nodes
but also to maintain the cost effectiveness of semiconductor manufacturing in future
nodes [29].
31
CMP silica Slurry Formulation and Experimentation
1.1.1
Selection/Role of CMP as enabling planarization technology
Planarization in conventional IC manufacturing occurs typically in four types: oxide
CMP, Shallow Trench Isolation (STI) CMP, tungsten CMP, and copper CMP [14].
Three of the four planarization types in the semiconductor fabrication process flow
use traditional silica-based slurries as shown in Figure 1-2.
Iner-level Dielectric Plaanrization
(ILDI
Ioierueial Dielectric Planarization
(ThD)
Shallow Tre
Copper Damascene
rh Isolation (STI)
al
Metal layer deposition
Trench + via etch
Pad oxide + nitride deposition
Metal pattern and etch
Barrier deposition
Trench pattern and etch
Dielectric deposition
m
Oxide deposition
Metal plating
AAAU~
CMP Planarization
CMP Planarization
CMP Planarization
Figure 1-2: Planarization implementation (CMP) in the current IC fabrication process
flow [2].
In the front-end or FEOL, CMP is primarily used in the isolation of individual
devices in a damascene process known as Shallow Trench Isolation (STI) which prevents parasitic loss between transistors. In the back-end or BEOL, CMP is used in a
number of interconnect planarization processes, including the early inter-level dielectric (ILD) and inter-metal dielectric (IMD) planarization used to create and insulate
multi-level metal interconnects in aluminum metallization, and in the modern damascene copper (and tungsten plug) interconnect formation. Copper planarization using
CMP is currently the only technology that enables the global planarization required
for the effective fabrication and insulation of multi-level metal interconnects.
The
number of interconnect levels (current state-of-the-art is 13 metal layers) is positively
correlated to the transistor density which is steadily increasing with each technology
node, thus the increasing need for more CMP steps [100]. One should also note that
32
CMP not only serves as the enabling technology of multi-level metallization because
of its ability to form inlaid copper lines and vias, but also because its ability to create
global planarity bridges the technical gap between the depth of focus (DOF) limits
of patterning process technologies like lithography and the stringent IC feature size
requirements.
Selection of Silica-based CMP slurry
Silica-based slurries are the only slurries which are utilized in both front-end (STI)
and back-end (ILD/IMD, metal CMP) fabrication. Industry has explored a number of
deviations from traditional planarization via CMP, with fundamental/proof of process
research into novel planarization technologies like fixed-abrasive pads, electrochemi-
cal mechanical polishing (e-CMP) [129] and pad-in-a-bottle (PiB) planarization [47]
amongst others. Even in their infancy, many unconventional planarization methods
utilize silica-based CMP slurries in their process or to complete removal. Therefore,
our empirical work focuses on understanding silica-based slurry CMP which is the
simplest and most mature technology which is likely to remain important in future
evolution of CMP technology.
Formulation of experimental silica-based CMP slurry versus commercial
CMP slurry
In order to study silica-based slurries in this work, we have formulated our own simple CMP silica-based slurry as opposed to using readily available commercial slurry.
In the case of commercial CMP slurries, the majority of the constituents of interest
(within lwt%) are proprietary in nature and even a small amount of a particular
chemistry or inaccurate primary particle size could drastically change the behavior
of the colloid without our ability to properly account for and/or model this behavior.
Commercial consumable suppliers also tend to perform and provide limited characterizations of slurry abrasive particle size distribution and dilution metrics on the supply
side which is often misleading
[33].
Thus, many of the discrepancies and inconsisten-
cies seen in the literature/data can be attributed to the fact that the researchers have
33
limited information about the details of the slurries they are experimenting with and
subsequently modeling simply because they are not privy to the slurry composition.
Additionally, we will discuss in Chapter 3 how most commercial slurries are essentially
process-specific mixtures of upwards of twenty different chemical components and are
thus unsuitable as a controlled baseline upon which we can begin to understand and
model the fundamentals of slurry abrasive particle agglomeration.
1.1.2
Modeling of Silica CMP Slurry Abrasive Nanoparticle
Agglomeration
Selection of CMP slurry abrasive particle and slurry chemistry focus
Theoretically, our focus is a particle-scale model in which the output is slurry abrasive
particle size distribution over time, that uses physical characterization metrics of the
slurry as a colloid as input parameters and that seeks to predict the rate of silicaspecific instability (large particle growth under shear) and subsequent planarization
or material removal rate (MRR). In the current landscape of CMP modeling, as shown
in Figure 1-3, there are numerous input parameters concerning the three prominent
consumables at work during CMP (slurry-wafer-pad) at four distinct scales: Given
the general consensus in the CMP community that planarization is driven by both
chemical and mechanical dependencies, we choose to model the one key component
of the multivariate CMP system, the slurry, that has both chemical (liquid) and
mechanical (abrasive particle) impact on CMP. There are only a few models in the
literature which specifically address the role of slurry abrasive particles and/or slurry
electrolyte chemistry in CMP, and even many of those do not consider the slurry with
respect to the dynamic, high shear environment that CMP creates in-situ as will be
discussed in Chapter 2 and 4 of this thesis.
Selection of particle-scale model approach
Furthermore, there is also limited theoretical understanding of what is actually happening at the particle level during CMP to enable planarization (as shown in Fig34
Output Parameters
I
Figure 1-3: Current landscape of CMP modeling input parameters and output metrics
with respect to scale. This visual representation of the input parameters lists only
a few of the most relevant and impactful parameters; there are many additional
parameters that are also important in CMP.
ure 1-4), and thus we are motivated to focus on a particle-scale model that closely
examines the interaction between the most numerous particles in the system, the
slurry abrasives.
While substantial work has focused on die-level CMP modeling
[110, 114, 115, 118, 119], some efforts have sought to bridge this gap in the theoretical knowledge to the particle level. For example, Fan et al. focused on pad lifetime
under physical and chemical conditioning [35, 72] as a function of pad asperity behavior, while work under this thesis' research focused on slurry stability as a function
of abrasive particles and electrolyte behavior [27, 30].
35
'Table 2.1. List of particle-scale models
Model
AWl,
Category
Size
12.6
WPI'
CAP
4
C;Pr
CMRW
(2.16)
12.36)
12.14-2.16
12232.26]
(2.23-2.251
12.23-2.251
12.23-2.261
(2.14-2.16
APP
CWV*
12.23-2-261
(2.71
12.9
Size
DL.& 2.2.2.25)
tribution
Shape
12.6-2.71
2,91
___
2.23-2.
[2.351
2.33-2.341
211-2.17
.23-2.26
2.34-2.35
WeIght
Concettration
Dilution
Ratio
12.14-2.11)
2.23-2.251
2.34)
12.24-2.26)
12.33-2.34
Number
Young's
..
2.23-2.25
12.23.2251
12.23-2.251
(2.23-2.251
32.261
(2.26)
(2.9
Modulus
Zeta
(2.161
(2.16
Potential
Matealals
Pad
Topography
12.23-2.261
12161
Hard,,...
32.14
12.23-2.251
Young'.
32.14-2.161
t2.23-2.261
32.14-2.161
(2.23.2.351
(2.23.226
2.23.2,21
2.33-2.341
2.35
(2.14-2.161
R.23-2.251
(2.321
2.23-2261 12.351
Modulu.
12.161
2.14-2.161
.14-2.161
2.2
23.2.343
(2.34J
32.74)
CatalytIc
Propezty
Young's
Modulus
Hardnes.
(2.5-2.7
(2.9 (2.111
(2.21
(2.12.6
[2.23-2.261
3.33-2.34
(2.161
12.161
12.341
Zeta
Potential
Oxidier
12.251
12.26-2.311
traton
value
Paslvation
Rate
(2.161
pH
(2.251
12.161
12.251
2 a
Partilentera
-WPrd
4
CbeinCWAfer Intsrction Chemical-Abrasive Prticle
Interaction 6Chemical-Pad Interaction 'Comprehensive Material Removal Model
Figure 1-4: Comprehensive overview of particle-scale modeling of chemical mechanical
planarization for sub-micron IC fabrication based on four elements (slurry chemistry,
abrasives, pad, wafer) and their respective six possible interactions. A large gap in
understanding is in what Luo and Dornfeld refer to as "Chemical-Abrasive Particle
Interaction (CAI)" and "Chemical-Pad Interaction (CPI)". All citations are publications from 1990-2004 as documented in [2].
36
(a) CMP Retaining Rings
(b) CMP Conditioner Diamond Disc Surface
(c) CMP IC1000 Polishing Pad
(d) CMP Mixed Abrasive Slurry
Figure 1-5: Primary CMP consumable types [3, 4, 5].
37
1.2
1.2.1
Background: Colloids and CMP
Colloid and Interface Science
Colloidal instability is not a novel concept. It is, however, an important and largely
unfamiliar research problem in CMP processes. CMP slurry instability leads to large
agglomerates that can cause defectivity in wafer polishing.
Understanding CMP
slurry agglomeration can start with previous work in the Colloid and Interface Science
(CIS) community, where much research has sought to experimentally characterize and
theoretically agree upon the drivers of instability of colloids in aqueous media [49].
However, numerous fundamental theoretical challenges and empirical contradictions
remain [107], particularly when applied to the complex conditions of CMP.
1.2.2
Chemical Mechanical Planarization
One would assume that the maturation of such a critical and costly process technology as CMP would have coincided with the progression of physical understanding
of that process.
However, in the CMP community the fundamental physical un-
derstanding of the CMP process is still in its infancy and thus difficulty remains in
intelligently designing for manufacturing (DFM), especially in the area of CMP slurry
abrasive particle interactions [4]. As standard practice in high volume semiconductor
manufacturing, micro-filtration is used in order to eliminate slurry abrasive particle
agglomerates formed during storage or slurry distribution to the CMP tool. Despite
pre-CMP filtration, large particles (> .5pm) are often found in the CMP tools, wafer
maps, and excursions in the form of deep pits, scratches, and other yield reducing
defects. Thus, empirical investigation of the origin and presence of these large particles and correlation of data (from polishing experiments) on defectivity level has
been widely explored in the literature [19, 20].
Most investigations indicate that
these abrasive particles, which play an integral role in planarization, form large agglomerates in-situ that act as a significant cause of defectivity and process variation
[83, 76, 82], in addition to other defectivity sources related to polishing pads [84] and
38
copper [85]. Thus, there now exists an urgent need for understanding agglomerate
formation during the CMP process. It has been argued that the true paradigm shifts
over the more than twenty year history of this process have come primarily through
physically intuitive empirical and theoretical modeling of the process [41]. In accordance with this notion, and to address the need for understanding large agglomerate
formation, we focus on both systematic fundamental experimentation as well as independent theoretical modeling efforts to explain the physical behavior of CMP slurry
abrasive particles observed in our experiments as well as those reported elsewhere
in the field [8]. Very few groups in the CMP community have explored slurry abrasive particle agglomerate formation in some capacity experimentally and even fewer
have modeled this phenomenon theoretically. Of the limited published data, we find
that existing experimental results and corresponding empirical models are difficult
to compare and reconcile although there are significant findings in the work.
This
is primarily due to the fact that there are many acceptable approaches, which have
yielded non-translatable conclusions based upon the design of experiment (DoE) and
metrology tradeoffs made to isolate or pursue specific drivers of agglomeration. Our
work will address these experimental discrepancies, bridging the empirical gap with
a new theoretical model that has sufficient flexibility to include various particle sizes,
particle types, additive compositions, and shear application. We seek to understand
and model the mechanisms behind the colloidal instability of CMP slurry. The primary motivation is to identify empirically and then theoretically predict conditions
where such instability can be avoided. A related motivation is to understand the relationship between slurry particle size (growth and agglomeration) and CMP material
removal rates, towards better yield in traditional CMP processes and the creation of
novel colloidal slurries for non-traditional planarization.
1.3
Thesis Contributions
It is our hope that this work serves as the impetus for a larger paradigm shift in the
CMP community in which the CMP process will be further fundamentally under-
39
stood and provide a platform to have empirically demonstrated hypotheses validated
theoretically in both the CMP and CIS technical communities.
1.3.1
Theoretical (Modeling) Contributions
In order to describe our complex CMP slurry system as a colloid under high shear
conditions, we will extend the framework of an archetypal colloidal model to include
the following: surface and zeta potential (silica capillary model) evaluation, bounded
reaction limited agglomeration (RLA), modified DVLO evaluation due to siloxane
bonding assumption/evaluation, consideration of non-uniform shear application, and
hydrodynamic agglomerate break-up evaluation. Each of the aforementioned theoretical contributions adds a potentially significant degree of understanding of the physical behavior of the colloid in the context of a CMP system. In order to accomplish
this, the need arises to further develop physical intuition concerning silica-specific agglomeration through supporting experimentation, which will be discussed in further
sections [110].
1.3.2
Experimental Contributions
Empirically, this work focuses on understanding silica slurries used primarily in SiO2
polishing systems, which is the simplest and most common system towards studying
chemical mechanisms of removal in CMP. This system is both important and intriguing, as slurries containing silica are used in both front-end (STI CMP) and back-end
(metal CMP) polishing. Also, silica slurries represent outliers to Cook's theoretical
framework and model where one has a strong, rare (specific) type of chemicallyassisted bonding on a fully hydrated and hydroxylated surface [87]. Thus, our fundamental experimentation, with silica slurries we create ourselves, itself is a valuable
contribution to the CMP community through our tests on known and disclosed slurry
compositions in high shear environments.
40
1.4
Thesis Organization
The overarching objective of this thesis work is to be a catalyst for more fundamental
research that will inform our physical understanding of the complex CMP process
in order to drive innovation in improving planarization, both traditional and nontraditional in nature. This first chapter gave a high level introduction to colloidal
instability as a classic CIS concept, and as both a planarization and defect driver
in semiconductor processing.
Chapter 2 delves deeper into the role that colloidal
instability plays in CMP and gives a literature review of prior work to understand
CMP slurry abrasive particle agglomeration and instability, both experimentally and
theoretically. Chapter 3 presents our experimental work towards understanding and
demonstrating slurry abrasive particle agglomeration, including our DoE and metrology of resulting agglomerates. Chapter 4 details the theoretical model built around
the physical intuition gained from the empirical work detailed in the previous chapters.
Chapter 5 discusses the multi-faceted implications of this work for various
members of the CMP community, with applicable implementation methodologies.
Chapter 6 concludes the thesis with a summary of our contributions and outlines
future experimental and theoretical extensions to the work.
41
42
Chapter 2
Literature Review
This chapter presents comprehensive literature review of the most relevant and cited
works towards understanding the role of silica CMP slurry abrasive particles and
electrolyte as a colloid during the process of CMP, and the subsequent theoretical
and empirical work performed to support this understanding. Initially, a high-level
overview of silica-specific nanoparticle agglomeration from a classic Colloid and Interface Science (CIS) perspective is presented.
Then, that knowledge is applied to
the specific case of silica CMP slurry abrasive nanoparticles in-situ. Next, a review
of all prior experimental and theoretical work into the agglomeration of silica CMP
slurry abrasive nanoparticles is presented. It is with a comprehensive understanding
of the current state of knowledge and data on CMP slurry abrasive agglomeration
that we then delve into the current limitations which will serve as a foundation for
and catalyst of the systematic work done in this thesis. Lastly, the scope of the thesis
work to fill in the holes of current understanding and eliminate some of the current
limitations is summarized.
43
2.1
Background on Silica-specific Colloidal Instability
Colloids, or dispersed particles which measure less than the wavelength of visible
light, are present in virtually every process fabrication industry, including that of
the semiconductor industry [6, 107]. In the classical understanding of the behavior
of colloids, their instability is paramount.
Instability is defined in many different
terms depending on the type of colloidal system and the permanence of the state
of the newly created colloid including: agglomeration, aggregation, coagulation, or
flocculation. We will use the term agglomeration for the duration of this work in
reference to the creation of non-permanent, non-primary sized colloids (e.g., CMP
silica slurry with primary abrasive particles > 1pm in diameter).
Colloidal instability is typically attributed to one of two distinct influences:
9 Attachment: the short-range (nanoscale) interactions of particles in collision
such that contact and subsequent permanent or semi-permanent attachment
occurs.
* Transport: the long-range (microscale/macro) physical mechanisms of particle movement towards that of collision, e.g., through Brownian diffusion, fluid
motion, and/or sedimentation.
The distinction or ability to demodulate the effects of these two influences is due
to the difference, in orders of magnitude, of their effective interaction distances as
shown in Figure 2-1. Hence, colloidal stability of the same constituent colloid could
be attributed to lack of a proper transport mechanism (environment with no fluid
motion and thus very few possibilities for collisions), or lack of attachment due to
repulsive surface charges (particles that are coated or surface treated to exhibit steric
repulsion so that when they do collide they do not attach to one another). Since the
particles have to approach one another very closely to interact, one can observe the
role of transport independent from that of colloidal interaction or attachment.
44
1A inm
1nm
1pm
D**eea
Slurry Delivery
Pad Conditioner
"Moo
Wafer Carrier
Retaining Ring
Platen
Polishing Pad
length scale (x)
Figure 2-1: Illustration for the specific case of CMP, of the length scales and/or range
of effective interaction of the two primary drivers of colloidal instability: (a) attachment and (b) transport.
2.1.1
Colloidal Instability of Silica (CIS)
Attachment (Inter-particle Interactions)
The classical model for colloidal stability is admittedly idealized and simple in nature. It considers perfectly spherical hard colloidal particles, mono-dispersed, typical
oxides with constant surface charge, and under well-controlled conditions of uniform
shear, laminar flow or rate-controlled sedimentation. Most processes in which colloidal
agglomeration is central to its functionality and/or its defectivity are non-ideal, and
CMP is no exception. However, the CIS community has recognized that the ability to
model agglomeration at a macroscopic level with relevant simplifying approximations
paired with controlled, fundamental experiments nevertheless provides an invaluable
baseline understanding as well as analytical results upon which to extrapolate be45
havioral predictions of our complex systems at the micro/nano-scale.
Furthermore,
the behavior of colloidal silica deviates from typical oxide colloids which cleanly adhere to the traditional DVLO theory of inter-particle interactions and continues to
be debated in CIS literature. This will be explored theoretically in further detail in
Chapter 4.
Transport (Hydrodynamics)
The long-range contributions to an increased likelihood of agglomeration include hydrodynamic effects of transport of colloidal particles into the much smaller effective
range of inter-particle interactions. These long-range interactions are the forces responsible for bringing the colloidal particles into collision with one another.
There
are four relevant types of transport mechanisms:
1. Brownian motion, the sporadic bombardment of molecules in the surrounding
fluid with the colloidal particles in the suspension,
2. Frictional drag force on the colloidal particles by the surrounding fluid,
3. Hydrodynamic interactions of the surrounding fluid, and
4. External forces (i.e., gravity).
We focus predominantly on the case of hydrodynamic interactions as a result
of shear which fall into the third category, as shown in Figure 2-1.
The physical
parameter G represents the shear created in the < 1p gap between the pad and wafer
surface, where the slurry flow rate into the gap creates a high shear environment
for the colloid.
In CMP, with the gap between the pad and wafer being on the
order of 1[m and velocities on the order of 10 cm/s, typically shear rates of 106-108
s_
are calculated.
In the CIS literature, the trajectories of two hard spheres in a
linear two-dimensional shear flow field can be modeled using Van de Vens equations
[6]. This derivation includes Fe as the net colloidal force between the two colliding
spheres which will drastically change the nature of the trajectory of the two particles
based on an interfacing interaction energy barrier.
46
Thus, delving deeper into the
calculations one can see that the relationship between colloidal particle radii and
colloidal surface interaction plays a major role once the particles are in collision. A
slight perturbation in the colloidal interaction can dramatically change the resultant
particles of the collisions which have significant effects on yield, manufacturability
and defectivity in the case of CMP.
z
G - du/dz
Figure 2-2: Illustration of the theoretical model for orthokinetic shear. The particles
are shown on streamlines which are separated by the combined collision radius of
their individual radii. Figure from [6].
2.1.2
Colloidal Instability of Silica CMP Abrasive Particles
(CMP)
The control of the classic colloid is relevant in the semiconductor industry, where
device limits and line widths are already in the size regime where the limits of stateof-the-art optical microscopy and lithography are becoming insufficient. The use of
UV-capable technologies are currently being researched and implemented in order for
next technology generation fabrication processes to keep up with Moore's law in both
cost and size [100].
47
Attachment (Inter-particle Interactions)
Ironically, it is the classical approach to colloidal instability that mirrors the debate
in the CMP community since its inception [41]. Which is the primary driver of more
efficient, global planarity; the Chemical (Cmp) or the Mechanical (cMp)? Ultimately,
CMP has been recognized to be highly dependent on the synergistic interactions of
both mechanical and chemical effects. The primary influencers of stability in classic
colloids are parallel to the drivers of planarization in CMP: both mechanical and chemical phenomena are crucial. These include fluid movement, the physical/mechanical
nature of the movement of the electrolyte or aqueous solution that the colloidal particles are suspended in, and inter-particle interactions, which are chemical in nature
depending on the surface charges of the particles and the chemistry of the electrolyte.
Transport ( Hydrodynamics)
In the case of transport during CMP, there are many process-specific attributes which
can break the guiding assumptions of the classical colloidal stability model: nonlaminar flow of the slurry in-situ, erratic introduction of non-spherical, non-colloidal
particles from the pad, wafer and diamond conditioning disk, and non-linear shear.
We are able to take advantage of the simplifying assumption of constant 'simple
shear flow' of the slurry while it is being distributed, dispensed, and used during
CMP. While the overall flow profile may be turbulent, the 'local flow field' around a
colloidal particle in the slurry may still be laminar. This is typically the case since the
collision of two colloidal particles occurs in a small range, on the order of the colliding
particle diameters thus the local shear rate has very little change over the collision
radius shown in Figure 2-2. However, this presents a challenge in experimentation
and modeling, as many other consumable particles are 'transported' and there are
few ways experimentally to isolate the particles we care to study under the same level
of shear without turbulence.
48
2.2
Prior Work and Limitations
In a thorough survey of all CMP literature from the beginning of CMP process development brings to light some interesting theoretical holes in the literature, the same
holes previously displayed in Figure 1-2 and noted by Luo and Dornfeld [2]. In particular, there is relatively little literature on CMP slurry agglomeration, as seen in
Figure 2-3. In this section, we review previous work, to establish the current landscape, limited though it is, of experimental and theoretical work concerning colloidal
instability in CMP.
49
140
120
100
z
0
80
N CMP
a
0
60
+ABRAStVES
x +AGGLOMERATION
40
20
F
0
9
.4
Isk I I
14
-1
14
eq
8
"
'a
8
14
"
;5 01
s
C4
(a) CMP literature coverage by topic.
70
TIN SOLID FILMS
60
ECEhOAMICA
WANEE APS
so
-
SOCIY
&TRANSACDONSONSM
PNERIE
E EC$RO0*1CM SUC*NSEN
z
IN
0
---
40
v
Tuc N S O NS S W
E
a SP ROCEM:NG$
ELECTROc40CAL
LETTES
& I SOJSTATE
"MRS PROCEEDSIGS
30
* QRNdCFTllECIF*IA
20
U
'I
Ia
10
0
N
"D4
N-
1-4
'0
.4.
44N
NPN N
N N
f4 N
f4 N N
0
(b) CMP literature review by publication.
Figure 2-3: A survey of all CMP literature from around 1990 to January 2013 published in peer-reviewed journals and relevant conference proceedings. This survey
does not include a few independent conferences (namely International Conference on
Planarization Technologies (ICPT) and CMP for USLI Multilevel Interconnection
Conference (CMP-MIC)) but is reflective of the proportion of papers published on
each major research area.
50
2.2.1
Background on Nanoparticle Agglomerates
in Pla-
narization Modeling (Theoretical)
CMP is the industry standard planarization technology in high volume semiconductor
manufacturing, thus ramp times between process node development and technology
node production are extremely short. So the need for fundamentally understanding
drivers of large excursions in production has often been outweighed by the necessity
to quickly address those drivers with some empirical "limit" or metric to immediately stop the costly yield impact. Particle-scale models require deep expertise and
computation integration, as well as extended characterization for empirical parameters. Only one, quasi-empirical, surface chemistry model prior to 2004 is found in
our survey by Ahmadi et al. [8]. In the span of the last decade since that model,
there has been some effort in the CMP community in determining physical models
that create qualitative metrics of stability and/or empirical metrics that reflect the
drivers of CMP slurry abrasive nanoparticle agglomeration. However, more of the
effort has been limited to the practical experimentation and characterization of large
agglomerates as opposed to first-principle theoretical modeling of agglomeration over
time, which we will explore in the next section.
Ahmadi and Mazaheri
Ahmadi and Mazaheri Zeta Potential - pH Model:
developed an empirical model based on the experimental data of Ramarajan et al.
[7] to recreate the zeta potential - pH relationship curves for fumed silica, tantalum
pentoxide, and colloidal aluminum oxide particles to supplement their particle-scale
model for mechanical wear. This metric addresses the chemical-abrasive interaction.
25cos! (pH) (mV)
pH < 4.2
'silica =
(2.1)
pH > 4.2
25(mV)
25(mV) : pH < 7.4
X'alumina
25cos
(mV)
(pH-
7.4 < pH < 12
pH > 12
-25(mV)
51
(2.2)
l
80(mV) : pH < 2
Ttantalum =
(2.3)
:2 < pH < 12
80cos (pH-1.8)(MV)
: pH > 12
-80(mV)
These relationships in Equations 2.1-2.3, also shown paired with the measurement data in Figure 2-4 (b),(using approximately double the IEP value as boundary
conditions) are able to be used in place of an analytical equation to understand the
strength of double layer forces which are subsequently fed into the Ahmadi and Xia
[70] adhesion model to determine removal rate due to CMP slurry abrasive wear.
1W.
IOU-
Tantalum pentoxid
Alumina
A- Silica
-U-.-
100 --
~0
Ge
I
A
C
3
*
C
3
-
-50
.
N
1000
0
2
4
2
4
6
8
6
8
1
10
12
12
4
14
2
4
.
6
if
pH
(b)
(a)
Figure 2-4: Zeta Potential - pH study vs. Empirical Model Results.
(a) Tantalum CMP study: Electro-acoustic measurements of as-dispersed colloidal aAlumina and fumed Silica particles in DI water at 3wt% [7]. (b) Empirical equations
(model) vs. data from the study in (a) [8].
Agglomeration Index Defectivity Metric:
Chang and Singh leverage the classic
Smoluchowski "slow coagulation theory" to model changes in the large particle tail
distribution as a qualitative measure of defectivity, and/or quantitative measure of
slurry instability [10]. They coined their metric Agglomeration Index (Al), as this
method calculates an index as shown in Equation 2.4 and compares the slurry indices
52
1
14
relative to one another. In order to calculate Al, experimental work must be done in
the following order: (1) shear the slurry at high shear (10- - 10-6s-1), (2) measure
the slurry characteristics of oversize tail distributions, and (3) model changes in large
particle tail distribution (> 0.5[m) with Smoluchowski's rate equation and fit it to
the experimental data of the tail [10]. This metric addresses the chemical-abrasive
and abrasive-abrasive interaction. Al is the logarithmic ratio between "applied shear
and the stability ratio,"
Agglomeration Index (Al) = log(
G
)
(2.4)
where G is shear rate and W is the stability ratio of rapid vs. slow agglomeration due
to the absence or presence of electrostatic interactions.
Dl
s]
Table 1. The Als of typical CMP slurries.
U
U
A
4-
B
C
D
E
F
G
H
3-
2Defectivity
0
A B CD E F G H
Slurres
I J
K L
J
K
L
Slurry
Al
150 nm silica: pH 10
80 am silica: pH 9
80 nn silica: pH 9 + anionic
80 nm silica: pH 3
30 nm silica: pH 11
30 nm silica: pH 11 + salt
30 nin silica: pH 7
30 nm silica: pH 7 + salt
30 nm silica: pH 2
30 nm silica: pH 2 + salt
70 nni ceria: pH 5 + cationic 1
70 nm ceria: pH 5 + cationic 2
4.48
1.76
0.66
2.46
1.36
1.66
3.92
4.26
4.36
4.96
2.36
1.96
(b)
(a)
Figure 2-5: The use of the empirically fit Agglomeration Index (Al) by the Singh
research group of the University of Florida gives a semi-qualitative understanding of
slurry (colloidal silica and ceria) stability and inclination towards defectivity above a
threshold. It is difficult from the combinative metric to intelligently determine ways
to adjust the sample slurry in each case, but does have the advantage of quickly ruling
out slurries used in batch testing, an approach commonly used in industry to select
a slurry for a new process [9, 10].
Maximum Agglomerate Size/Colloidal Diameter Limit Model:
Gopal and
Talbot [80] applied the maximum agglomerate growth condition established by Torres
et al. [93] in Equation 2.5 to form Equation 2.6, in a modeling effort to incorporate
colloidal behavior of copper CMP slurry abrasives into Luo and Dornfeld's compre53
hensive CMP model [83]. Torres et al. build a balanced equation based upon the
assumption that the maximum attractive van der Waals force between two particles
countered with the hydrodynamic force (shear) acting to separate them will result in
the maximum value of the resultant agglomerate of those two particles.
3
3
rpI(RH,+ RH )2 G < Fatt
Dmax =
aA
(187r pF62)
1/2
2
(2.5)
(2.6)
where A is the Hamaker constant, G is the shear rate, p is the slurry viscosity, a is
the particle radius and 6 is the separation of the particles at the minimum potential
interaction energy [80]. For copper CMP abrasives (100-500nm), this supports the Luo
and Dornfeld assumption that these particles will not agglomerate or will immediately
and completely break up as Dmax is less than 2a (as will be further discussed in the
next section).
This metric addresses the chemical-abrasive, abrasive-abrasive and
abrasive-pad interactions.
2.2.2
Background on Nanoparticle Agglomerates
in Pla-
narization Experiments (Empirical)
Slurry Abrasive Particle and Electrolyte Chemistry Studies
Effects of Zeta-Potential/pH Dependency:
As demonstrated in the previous
section and in Figure 2-7 below, the CMP community has readily adopted the zeta
potential-pH measurement curves as a metric of stability for CMP slurries for both
benchtop CMP research and HVM full-scale CMP engineering. This relationship is
simple, consistently measurable and thus readily accepted prima facie by the CMP
community; however, it is rarely properly understood and therefore many times inappropriately employed. It is important for the work of this thesis to have a strong
contextual understanding of zeta potential ((). From a CIS perspective, most classic texts in the field (including electrochemistry texts [106]), refer to zeta potential,
54
or the electrokinetic potential of a colloid, as a non-direct, dependent measurement
which can be derived from the electro-viscous effect [12, 28, 105].
As illustrated in Figure 2-6, consider a dielectric colloidal particle in a electrolytic solution, our case is shown in this example as a silica slurry abrasive particle in a simple
electrolyte. The particle's surface is charged (as indicated by the green circles with
the '-' charge), and around the surface charges is a co-concentric region of counter
ions as a requirement of electro-neutrality (as indicated by the blue circles with the
'+' charges). These surface charges and counterions create an electric double layer
with a mobile "diffuse" region which extends from the particle surface and infinitely
into the electrolyte due to the diffusive (thermal) motion of the counterions. If an
electric field, E, tangential to the surface is presented, the subsequent force on the
charges in the diffuse layer will cause movement relative to the particle at a velocity,
v:
(2.7)
V=
P'
where vo velocity, e is the dielectric constant, E_ is the applied tangential electric field
and p is the colloidal viscosity (typically the viscosity of water is used for aqueous
electrolytes). Beyond the diffuse layer (further from the particle surface), we would
expect the velocity to remain constant, vo, and respectively at an inner limit of the
diffuse layer the velocity should go to zero as shown. The potential at the latter limit,
where the hydrodynamic shear slipping plane of the liquid exists, is what is referred to
as the zeta potential (, to describe the "specific adsorption at the dielectric-electrolyte
interface." Thus, the zeta potential serves as an indicator of stability since it has a
direct relationship with that of the surface charge in both sign and magnitude.
55
&G
.
------------------- ----.......
.............................
................
...................
.......
.....
.......................
..
.
-
. ..
...
.........
.......
....
..........
...
........
Dielectric
Electrolyte
Diffuse layer
XE
I,-
Figure 2-6: In zeta potential measurement setups, a tangential electric field is applied
to the colloid system, causing a dipole moment that induces a current detected using
various mechanisms in order to derive the zeta potential via Equation 2.7 [11].
T71FY
ILl
-e-Ttlum penloxid
+0Aluwins
Ile
I
100-
~~
'50
ELd~muwIu
A
-Us
SMa
A
1.0
'6
.
0-1tv
-4-tom
-~-
A
-4
6
mP.Ms.d.
Ii
4*
6.0
u~
to
Is
S
2
6
4
8
16
10
pH
p..
(b)
(a)
(c)
Figure 2-7: There are many experimental CMP papers in the literature that reference
the zeta potential - pH curves of common oxides used for CMP abrasives as well as
substrates, including: (a) Metal oxides typically employed and cited in [12] including
silica. (b) Barthel et al. observe isoelectric pH for silica formulated via three different
methodologies to validate the variation in IEP based on silica state [13]. (c) Previously
shown Figure 2-4 which shows oxides typical used in Metal CMP [7].
Furthermore, CMP engineers typically use the value of the zeta potential at the
56
12
14
isoelectric point/pH (IEP) of the slurry abrasive particle to determine stability, as it
is well known in glass polishing that the rate of removal in glass is strongly correlated
to the IEP, Figure 2-8. Silica behaves remarkably different than all other oxides at
its isoelectric pH, with little to no polishing. Additionally, one result of Kaller et al.
is that the highest removal rate is observed with oxides with neutral IEP pH values
like Cerium oxide (Ce4+) [15].
Ce4
5
4
Cr3*
.Fe 3
TI+
3
Zr&
Sn4@
AI3
*
Mna
0 Ni2
Zn2 .
SiO
2
0
4
6
10
8
12
Isoelectric pH
Figure 2-8: The polish rate of glass using various oxide abrasives as a function of the
isoelectric pH/IEP as reported by Kaller et al. [14, 15].
Effects of Polymers, Salts and Surfactants:
Prior to this section, the empirical
work presented has not considered the slurry electrolyte as a convoluted mixture of
twenty or more proprietary chemical additives. Such additives include, but are not
in
limited to, polymers, salts and surfactants, typically in the concentrations shown
Table 2.1.
Slurry additives are added for a variety of mechanisms to enhance planarization
(increased dissolution, etch rates, selectivity, etc.), but tend to destabilize the slurry
under certain process conditions and/or concentrations if not properly formulated.
Early work from Singh et al., produced one-factor-at-at-time (OFAT) oxide slurry
to
studies on experimentally formulated (non-commercial) dielectric CMP slurries
understand the destabilization by these additives and subsequent creation of agglom-
57
Additive
Role
Surfactants
Inhibitors
Oxidizers
Complexing
Agents
Buffering
Agents
Microemulsions
Additive
Name
Sodium-dodecyl-sulfate (SDS),
Cetyltrimethyl-ammoniumbromide (CTAB)
Benzotriazole (BTA),
3-amino-triazole (ATA),
hydrogen phthalate salts
H202, KI03, K3Fe(CN)6,
citric acid
Glycine,
Ethylene-diamine-tetra-acetic
acid (EDTA), citric acid
Ammonium Hydroxide
(NH40H)
Concentration
Processes
1-20 mM
STI, Cu CMP
0.01-1 wt%
STI,
Cu CMP,
W CMP
0-2 wt%
Isopropyl
alcohol (IPA), Glycerol
Cu CMP
STI, Cu
CMP
STI, Cu
CMP
Table 2.1: Partial list of commonly used CMP slurry chemical additives with their
respective roles and concentrations for specific CMP processes [29, 38].
erates [94, 16]. These studies firmly establish agreement between particle size distribution (PSD) measurements and defectivity metrics like root mean squared (RMS)
surface roughness and even material removal rate (MRR) in oxide CMP with silica
based slurries. For example, in Figure 2-9 a fundamental experimental investigation
on the effect of commonly used alkaline ionic salts (NaCl, LiCl, KCl) in experimentally formulated slurries, prove a strong correlation between the presence of the salts,
which increases the ionic strength of the solution while decreasing the magnitude of
the surface repulsive forces, in driving instability in the slurries.
58
30
25
E
0.51 8PM(b
-0-- KCI(O.1M):
0.527pm
20
E
-- KCI
CI
_20.
-- NaC1
-40
+KCI(1.OM):
0.5631im
15
0
U
U
KC(O.OIM):
--
-60
10
.)
5
0
N
1.0
0.5
0 .0
-80
-100
-10 No salt
C -120
0.01
Particle Size (m)
(b)
oou
18
14
=-
(a)
KCI
UCt
N9C
-- KC1
E 600 --- UCI
-- Naal
C, 550
12
10
8
6
500
No salt
4
2
I1
1ril
-
All
0.01
0.1
-
450-
0
0
Sr
1
400
350
-
C
I
Ionic Strength (M)
(a)
16
0.1
10
-0.1
0.01
Ionic Strength (M)
Ionic Strength (M)
(d)
(c)
Figure 2-9: (a) As the salt concentration is increased, a shift in the mean particle
size and increase in the overall PSD is observed via DLS measurements for all three
salts, shown here in KCl (least effective at coagulation at high ionic strength than
LiCl/NaCl). (b) As the ionic strength increases (> 0.1M), the cations (Na+, Li+,
K+) serve as counterions to the negatively charged silica surface when at its IEP. As
expected at a pH of 10.5 when in the absence of salts, the zeta potential is negative,
the increased ionic strength and introduction of more cations not only reduces the
magnitude of the zeta potential, but it suppresses the electrical double layer by reducing the total surface charge on the particle, causing agglomeration as shown in the
figure in part (a). (c) The presence of these agglomerates at higher salt concentrations
is further proved via agreement of trends in polishing blanket wafers and observing
their respective RMS roughness via AFM. (d) Finally, CMP validation is achieved
with observation of increased MRR as a function of ionic strength for all three ionic
salt species [16].
59
1
Effects of Particle Loading and Size Distribution:
Conventional silica CMP
slurries consist of abrasives that can range in primary particle size from 20nm to
200nm or greater. Significant experimental research has explored the role of effective
particle loading (wt%) as a function of respective particle sizes using polishing studies
for the purposes of both characterization metrology (surface AFM, RMS roughness,
in-situ friction force measurements) and MRR [43, 17]. Surprisingly, during the study
of particle loading as shown in Figure 2-10, a silica specific phenomena is observed.
One would expect to observe an increase in polishing rate as a function of loading
proportional to the particle size. However, Figure 2-10 illustrates that at basic pH
(10.5) the polishing rate increases, as expected, to a certain maximum loading where
it then starts to decrease rapidly, due to what is widely believed to be a change in
silica abrasive particle kinetic behavior. The particles that were once sliding across
the hard oxide surface, are now rolling across its more "hydrated gel-like" surface
which does not facilitate material removal. It is also key to note that rolling only
occurs in spherical colloidal particles (not fumed) and particles greater than 100nm
in diameter.
1800
-40- 0.2pm
.E 16w0
E 1200
0.5M
1.p
%1200 ---
60
-
1000
C600
400
0 -
.
02000
5
15
10
20
25
30
Solids Loading (wt.%)
Figure 2-10: Polishing rate as a function of primary silica abrasive particle size with
respect to particle loading (wt %) [17].
60
Effects of Shear Application Methodologies:
shear on the order of 10 4
-
In-situ CMP slurries experience
106 s- 1 once the wafer carrier comes into contact with the
polishing pad, leaving a variable gap between the wafer surface and the pad bulk of
about 1pm at normal platen speeds [14]. In order to quantify the singular impact of
shear on the CMP slurry abrasive particles, one most isolate the CMP slurry abrasive particles from the other nano- and micro-sized particles of varying composition
and number that enter the system in-situ in significant order (i.e., polyurethane pad
asperities, diamond disk pieces, wafer surface particles, etc.). Therefore it is common
practice to choose to shear the slurry in an experimental setup separate from the
actual CMP system, in order to isolate the shear-induced agglomeration of abrasives
with other abrasives without the presence of the aforementioned particles introduced
in-situ. Experimental benchtop tools as well as larger system options for shear application are shown in Figure 2-13.
Typically benchtop tools like viscometers and
rheometers provide high uniform shear rates, but the sample size is limited for timestepped experiments. However, larger scale systems like pumps, similar to those used
for slurry circulation, handling and delivery to CMP tools, allow for larger sample
sizes and longer run times, with the added complexity of non-linear shear as discussed
below.
Rheometry is used by both Singh et al. [23] and Talbot et al. [82] for experimentally quantifying/qualifying shear-induced agglomeration.
Rotation, or shear,
rheometers are historically used to quantify fluid flow under some applied force. Two
types of shear rheometry have been used in the literature to apply a strictly quantified
shear rate to CMP slurries, the rotational co-concentric cylinder design, and the 'cone
and plate' design or a combination of both mechanisms. In the case of the 'cone and
plate' rheometer design, the slurry sample ( 3ml) is placed on the horizontal plate
and the shallow cone is then placed into it and remains stationary. The measuring
drive is based on a motor-driven system that controls the movement of the cone controlling the shear rate on the plate and is introduced to the CMP slurry sample using
dynamic calculations of its rotational speed and shallow shear dimensions. In the
co-concentric cylinder case, the slurry sample of 3 - 5ml is injected into the annulus
61
of one cylinder inside another. The inner cylinder is rotated while the outer cylinder remains stationary thus maintaining a well-controlled and measurable shear rate.
Rheometry also allows for the observation and measurement of variable viscosity of
the sample and shear stress values.
A-A h..b
(a) Physica UDS 200 Rheometer [97]
(b) Schematic of prototypical rheometer
design highlighting the aspect ratio of the
coconcentric cylinder shear rheometer design with and without a slotted rotor [96].
Figure 2-11: Benchtop rheometer tool used for high shear application in research:
Pumping is the most common form of shear application used both by academic
researchers as well as CMP consumable suppliers for observing the creation of large agglomerates due to shear, both in-situ and during conventional slurry handling and/or
delivery [4, 9].
There are an extensive number of pumps used in semiconductor
processing, however primarily we see one of three types being used in CMP slurry
handling which have also been widely compared in the literature for their effects on
the creation or advent of large particles in CMP slurry circulation. CT Associates
and Levitronix were some of the earliest studies to investigate the role of pumps used
for bulk slurry delivery and distribution in HVM CMP tools in the large particle
creation [19]. As shown in Figure 2-12, the three primary types used in the literature
are the following: diaphragm, bellows and magnetically-levitated (maglev) centrifugal
pumps. The first two positive displacement pump types are typically used in CMP
slurry delivery. Nevertheless, the literature shows that they also produce more large
62
particles than that of the maglev pump [48]. As a result, many studies, including our
work, use diaphragm pumps to accelerate and observe shear-induced agglomeration
[48, 19, 20].
DIAPHRAGM PUMP
BELLOWS PUMP
MAGLEV PUMP
Figure 2-12: Comparison of pump designs. Each of these pumps are commonly used
for slurry delivery, distribution and handling to industrial CMP tools. The diaphragm
and bellows pumps are most commonly used, as compared to the magneticallylevitated centrifugal pumps [18].
Studies have shown that in observing the cumulative concentration of the large
particle tail (LPC), the diaphragm pump produces significantly more (lO0x) large
particles than that of the maglev pump and nominally fewer than that of the bellows
pump, as shown in Figure 2-13. where colloidal silica (l5Onm) was pumped in a
simulated CMP slurry delivery experimental setup.
Additionally, these studies were repeated on various slurry types and compositions
including fumed silica, and produced relatively similar results to that of colloidal silica.
The bellows pump again produced significantly larger agglomerates than that of the
maglev pump and nominally more than that of diaphragm pumps as shown in Figure
2-13.
Defectivity Metrics Studies
Empirical evidence and relationships connecting from particle level physical phenomena to wafer-level defects are the most direct, compelling mode of communicating
63
01 f
(ii
.1.
1*N
101
-.
-A-
250 heuuw m
250 houovers
5O0auuo..c,~i
10'
'
10
-'-Olumo'cn
7
0~
0'.
I0l~
10
-
I1
101
Id
10
Pan iic Sixt (Pn)
Patic Sze (bM)
(c
(b)
(a)
Figure 2-13: Comprehensive studies have been performed on various pump types
for inducing abrasive agglomerates: Time-dependent shear study with three different
pump types at the same shear rate: (a) bellows, (b) diaphragm, and (c) magneti(bellows
cally levitated centrifugal pump systems. The positive displacement pumps
and diaphragm), which is also used for slurry distribution to production CMP tools,
produces the most significant change in the large particle tail.
DIaphamS
Small Centrifugal Pump
Twmevcr
Pumrp
26
I
I
I
j
ir
0.5 016
08
1.0
2
3
4
5
6
,I2
1
K)
63. Iia
30*
3
4
$ 6
a 100
PuikDWMeir I PM)
slurries circulated
Figure 2-14: Cumulative LPC measurements of colloidal silica
in simulated CMP slurry distribution loops with varying pump types (bellows,
studied the dismall/large centrifugal and diaphragm). For silica based slurries
aphragm and bellows pumps showed the most substantial increases in the large particle tail (> 0.5pm) [19].
the importance of observing/modeling the disproportionate effect that the smallest
constituents in the CMP process have on the end result, yield.
Towards such an argument and to the intrigue of many CMP engineers, technicians and researchers, beyond showing only the PSD or large particle count (LPC),
correlating the presence of large particles, or agglomerates, to a physically observable
defect at either the wafer or die level, is what many in the literature have sought
64
to prove to the greater CMP community including consumable suppliers [66, 77, 67].
Such correlative studies on large agglomerates and wafer level defectivity provide for
our work a strong foundation that slurry abrasive particle agglomerates are a prominent and important cause of wafer level defects. Therefore the ability to understand,
model, and subsequently control their growth is a technically relevant and necessary
endeavor. In industry, common defectivity metrics include, but are not limited to:
optical scratch counting, RMS surface roughness measurements, in-situ endpoint detection of material removal rate (MRR), planarization length variation/parametric
determination of process variation, and filtering of LPC post-CMP.
Defectivity Metric - Scratches:
Observing wafer maps optically for scratches
and pitting on the wafer level is the primary way in which process excursions and
failure analysis is performed.
Figure 2-15 shows an example of optical microscopy
where scratches are seen on wafers polished by slurries which are circulated with
various pump types.
65
Figure 2-15: "Optical images of BDI wafers polished by circulated slurries from
(a) bellows, (b) diaphragm, and (c) magnetically levitated centrifugal pump systems
[20]."
Defectivity Metric - Surface Roughness:
Surface roughness is most commonly
assessed in metal CMP to determine the degree of chemical degradation on the surfaces of the wafer as a result of slurry chemistry, large abrasive particles and other
defectivity sources. Figure 2-16 is an example of atomic force microscopy (AFM)
measurements to study surface roughness as a function of increasing NaCl concentration.
66
(b) 0.6 M NaC1
(a)0.2 M NaCl
-2
140.10 MOO
Figure 2-16: Comparison of AFM images of wafers polished with 0.2M NaCl containing slurry and 0.6M NaCl containing slurry to enhance particle-particle interaction
of the baseline 0.2pm 12wt% silica slurry [21].
2.3
Summary: Current Limitations and Scope of
Thesis Work
Current coverage and limitations in the literature are summarized in the table in
Figure 2-17. Work has been demonstrated in both theoretical and experimental endeavors; however, the results vary in conclusive cohesiveness and do not yet provide
a full theoretical understanding. For example, the synergism between the mechanical and chemical mechanisms of silica abrasive particles to facilitate planarization
is unique from any other oxide abrasive particles and this effect would benefit from
better understanding. In addition, specific experiments to clarify the effects of pH,
zeta potential, particle size and chemical additives are needed. Finally, physically
intuitive and integrated theoretical models for the behavior of silica slurry abrasive
particles in CMP technology is needed.
67
. ...
......
..-......
....
......
...
......
...
....
..
.......
......
....
.....
.....
....
...- --------- ...
.....
....
.......
..
..
Mechanical Parameter
(Shear)
Size
No Shear Applied'
102 - 10S-1 (Viscometry)1
...
........
.........
105 - 1043-1 (Pumps)
[(7)]*0
I
;> 106'-1 (CMP)
[(17)]
((17)1'
toading/wt c
Shape/Creation
[(23)J
91
Particle Type
(23)]
(7)0
pH-Zeta Potential
**
[37(77)10
+Wafer Particles
pH
1M4
Salt Type/Conc
[91
{29(1I),(*2)]@
Surfactant Cationic
[38(78)10
[91 o
[91 0*
((93)16
[38(78)]0
kA-atm
[(93)]*
[(21)]*
Polymer Type/Conc.
r
(36(16),(93)]
[(70)10
t28(T8),277T), (70)1
*Commercial Slurry/Experimental Slurry
*Partice Type: Silica *
Alumina
e
Ceria@
Figure 2-17: Table of CMP slurry abrasive particle experimental studies.
68
4
.......
.....
Chapter 3
Design of Experiments (DoE) for
Agglomeration Studies
Our design of experiments addresses the key factors revelant to the agglomeration
of silica slurry particles. These experiments help resolve the differences in data and
conclusions seen in the CMP and CIS literature. With a primary focus to study silica
CMP abrasive particle agglomeration under high shear, we use slurry development
and characterization methods consistent with approaches in the CIS community and
shear application methods used in the CMP community.
We first consider data from a preliminary replicative study of the response of
a commercial silica CMP slurry to shear forces and varying background electrolyte
chemical parameters (pH, ionic strength) [93].
The colloidal suspension of 6 wt%
50nm silica is pH adjusted using either HNO 3 or KOH (pH 3, 6, and 10), and agglomeration is accelerated through the use of KCl. The slurry is circulated through
a high shear pumping system (positive displacement pump) similar to that of Singh
et al. [10, 20]. Our results, derived from the process flow schematic in Figure 3-1,
indicate that the slurry is most stable when closest to the isoelectric point of silica
(indicated as the process-of-record (POR) pH). This is consistent with the CIS data
(e.g., [6, 28, 107]), and inconsistent with CMP literature (e.g., [10, 8, 21]) where the
maximum instability occurs around the isoelectric point. Unfortunately, we cannot
solely attribute this behavior to a silica-specific bonding mechanism because of the
69
unknown set of chemical additives in the commercial slurry, which could also influence
or cause this non-DVLO behavior. Thus, we pursue a systematic fundamental study
that allows us to use a known and simplified model slurry chemistry, to introduce and
study the effect of each key tunable parameter as shown in our design of experiments
(DoE) in Figure 3-1.
3.1
Design of Experiments
In Figure 3-1, the tunable parameters are shown in the sequential order that each
is added to the experimental slurry formulation from left to right. In order to more
accurately characterize the relationship that each of the parameters have on agglomeration independently without modulating one another, we start with just the silica
abrasive particles and DI water, and subsequently add each parameter one at a time
then re-measuring slurry chemistry and abrasive PSD in our experiments. By adopting this approach, in which we create our own experimental slurry, we are also able to
validate the preliminary experimental result related to silica-specific bonding behavior, and avoid any effects of various proprietary additives from commercial slurries.
Furthermore, to eliminate ambiguity from slurry supplier information, we also perform extensive measurements on the initial particle size distribution using multiple
metrology tools.
70
-
ralve
-
-
-
m
PD pump
Slurry Carboy
MI
+
k-I
{In
m
heck
DI water + Particles + KOH/HNO
(varying
size]
particle size
/type
varyng pH'
PH
+ Shear
+ TMAH/SDS
I
var ying surfactants]
6atonntraon
additives
[varying shear magnitude
shear
Tunable
Parameters
Figure 3-1: Schematic of our systematic study DoE to study silica abrasive particle
agglomeration.
At each step, starting from the left of Figure 3-1, our DoE is designed to help
us understand three relationships, each of which allow for the creation and validation of the physically intuitive hypotheses in our theoretical model, through physical
measurement about key chemical - abrasive particle interactions (CAI).
1) Relationship of zeta potential and pH for colloidal silica abrasive particles:
For direct comparison with our surface chemistry model, we seek to understand
how zeta potential shifts with pH in the case of silica under three conditions:
" In the presence of salts (changes in ionic strength)
" In the presence of chemical additives (anionic and cationic surfactants)
71
*
In the same conditions, but at different particle sizes
To study these effects we perform electro-acoustic measurements of the zeta
potential for the samples first with just DI water and the particles without pH
adjustment, then at a basic, acidic and neutral adjusted pH, then at varying
ionic strengths (0-1M), and then with both an anionic and cationic surfactant.
We repeat each individual measurement five times and each parametric study
(zeta potential-pH) three times for statistical significance.
2) Relationship of mechanical shear and agglomeration in colloidal silica
abrasive particles:
For qualitative comparison with our orthokinetic uniform shear model assumption, we seek to understand how mechanical shear induces agglomeration in
simple silica slurries. Shear and agglomeration are studied under four conditions:
" In the presence of acidic, neutral and basic pH
" In the presence of salts (changes in ionic strength)
" In the presence of chemical additives (anionic and cationic surfactants)
" In the presence of different levels of shear (104-10Os-1)
In order to observe shear induced-agglomeration in the presence of each of these
subsequent conditions, we perform the studies similar to how we set up the
aforementioned zeta potential-pH study. We perform PSD measurements with
the disc centrifuge prior to each study. Then, the measured slurry is split into
identically sized carboys at the three different pHs and run serially through a
high-shear pump with identical time-stepped samples taken from a check valve
in the pumping loop. All of the time-stepped samples are measured in parallel
with the shear experimental runs to avoid sedimentation or storage-related shifts
in the measurement data. The three studies are repeated for changes in ionic
strength and different levels of shear.
The study at a basic pH is repeated
also for different levels of shear and in the presence of chemical additives. We
72
repeat each individual PSD measurement three times, and only the benchmark
shear-pH study is repeated for accuracy. Additionally, we measure pH and zeta
potential for each carboy prior to pumping and after for additional validation
of drift.
3) Relationship between mechanical shear and silica abrasive particle agglomerate breakup:
Finally, with our hydrodynamic breakup model, we seek to understand how mechanical shear forces allow for and/or affect silica abrasive particle agglomerate
breakup under the following conditions:
" In the presence of different levels of shear
" Over different periods of time of shear application
" In different starting conditions (post sedimentation vs. post shearing)
For this experiment we use shear - pH study as well as the shear variation study
samples to over-shear the slurries to extremely long time-steps, and follow the
same sample collection procedure to observe the plateau or maximum agglomerate size. We also repeat this with stored experimental slurry to mimic the
behavior of sedimentation, or differential settling, to compare the limits of our
model in both transport cases. In addition to the PSD measurements, we also
take SEM images of the slurry to understand morphologically what the particles look like, both as single primary particles and in an agglomerated state
of multiple primary particles. This requires filtering the slurries and taking an
SEM of the surface of the dried micro-filter.
3.2
Equipment and Procedures
In this section, we discuss the experimental equipment and procedures of our systematic study in further detail, including our simple slurry formulation, shear induction
approach and abrasive particle size measurement techniques. These procedures are
used in the experimental process flow summarized in Figure 3-2.
73
'Receive Fuso PL-20 colloidalsilicaparticles 2Owt% in water
*Dilute to Swt% with DI water
IMeasure:
@full Particle Size Distribution [CPS Disc Centrifuge, SPOSI
epH [Hanna pH meter]
@Zeta Potential/Conductivity [DT-300 Electroacoustic Device for Zeta Potential]
eShear 3L of experimental slurry at vatylng shear rates from 30minutes to 7 days at each
shear level [Experimental Shear Setup, Figure 3-61
*Take "5mL of sample every time interval [see Table in Figure 3-71
eRepeat Preliminary Measurement of Particle Size Distribution [CPS Disc Centrifuge, SPOS]
'Flush line for 24 hrs between runs
@pH Adjust: 2, 7, 10.5 [KCI/H20 2J
*Salt Addition: 0-1M [KOHl
eSurfactant Addition:none, Anlonk,Cadonkc [control/CTAB/SDS]
'Extrapolation to uniform shear
'Repeat Preliminary Measurement of Particle Size Distribution [CPS Disc Centrifuge, SPOSI
'Calculate normalled/cumudadve concentrationat each time interval for analysis w/PSD
Final
easurement
Figure 3-2: Process flow for systematic study of agglomeration with corresponding
equipment, metrology and material descriptions.
3.2.1
Slurry Formulation
In this work, we formulate simple silica-based slurries using the following preparation
methods and considerations. There are many technical barriers to repeatable formulation of a model mono-disperse, single abrasive CMP slurry, much less the complex
slurries currently in production, thus the reason why most prior work begins with
the use of an out-the-box or consultant batch slurry for experimentation. Critical
challenges to include the following: accurate characterization of incoming CMP abra74
J
sive particles, incomplete/variable consumable supplier specs, dilution effects on zeta
potential/pH shifts, extensive chemical additive choices, sedimentation and slurry
distribution pump-induced agglomeration [48]. Thus, we take a simplified sequential
approach to not only the overall DoE, but also to the slurry formulation process.
Our formulation begins with deionized (DI) water and PL-20 (Fuso Chemical [22])
series colloidal silica, as shown in Figure 3-3. These particles are published to have
a primary particle size of 220nm in their initial dilution of 20wt%. However, to have
our initial particle size measurements even in higher dilutions (5wt%) as in our DoE
show a primary or mean particle size of 350-370nm which is closer to the secondary
particle size. (In order to rule out pH variation, we perform all measurements with
corresponding pH shift.)
Figure 3-3: Ultra high purity colloidal silica prepared by a sol-gel process using ultra
high purity alkyl silicate as a starting material to create a spherical particle shape,
for PL-20, of primary particle diameter of 220nm and secondary particle diameter of
370nm [22].
For our formulation, we dilute the colloidal silica particles to 5wt% consistent
with typical CMP slurries that are between 3-6wt%, in pH adjusted water (to avoid
dilution shock) and observe the particle size distribution measurement prior to adding
any additional chemistries or applying agglomerate-inducing shear forces. As detailed
in Figure 3-2, we dilute the particles in DI water that is the same pH as that of
the as-received slurry, measuring and then adjusting using HNO 3 or KOH to the
75
indicated pH values of interest (pH 2, 6 and 10.5) in 3L carboys that are continuously
stirred using magnetic stirrers and hotplate stirrers for temperature control, as well
Figure 3-
as gentle mixing to avoid subsequent sedimentation during formulation.
4 demonstrates the importance of careful pH adjustments prior to reporting mean
particle sizes, as a small pH change can shift the primary particles mean size as a
result of a bimodal particle size distribution. By using the disc centrifuge as our PSD
measurement tool we are able to view the relative weight of each particle diameter
size (within the wide range 0.01-40pm), as opposed to most dynamic light scattering
tools which show a Gaussian distribution which could hide the bi-modality of the
distribution and subsequently mislead the interpretation of future agglomerate sizes.
so
70
Z60
S40
5 wt.
-a.-reced
10
-5
wt% @pH2
-5
wt%PH6
-5
wt% 4WRPH1O.5
10
10
0
0.2
03
OA
0.7
0.6
05
PARTICLE DIAMETER
0.8
0.9
1
41)
Figure 3-4: Disc centrifuge particle size distribution measurements vs. pH variation,
for 5wt% of silica primary particles with diameter of 220nm and secondary particles
with diameter of 370nm at a KCl concentration of 1M prior to shear application.
3.2.2
Shear Induction (Pumps)
For our experimental shear studies we use pumping as our mechanism of introducing
shear comparable to that of CMP measured in repeated time steps to match with
model results. Utilizing a pump setup eliminates the measurements of other effluents
that a typical CMP system presents, in the form of polishing pad particles, CMP
76
0
Rheometry/Viscometry
*I
Pumping
Pad
Slury
Coppw
Dielectric
CMP
Figure 3-5: Prior experimentation focused on CMP abrasive particle agglomeration
has been performed either via rheometry (which allows for highly linear shear rates
but in non-repeatable potentially turbulent conditions of small sample size) [23] or
pumping (repeatable but with non-linear shear rates) [9]. Both seek to approximate
the conditions of CMP (pictured at bottom) [24].
diamond conditioning disk particulates, wafer particles, etc. The literature reports
on the effects of different types of pumps, some of which are simultaneously used to
distribute the CMP slurries to the tool as well. We leverage the outcomes of the
current literature to choose a single pumping system, so that we can focus our efforts
on understanding shear agglomeration at the magnitude comparable to that of the
actual CMP process as well as linear vs. non-linear shear effects.
77
We use a Levitronix positive displacement pump which uses diaphragmatic engagement to force the slurry through four check valves as shown in Figure 3-6, inducing a high shear at the corresponding flow rate. Although these pumps are typically
operated at low shear they enable us to take multiple time samples of the same slurry
for measurement, as opposed to the high shear rheometer or viscometer tools which
only allow for a small amount of slurry that is insufficient for a time-dependent experiment. In order to calculate the shear rate of our pump setup, we consider each
of the four pump check valves as a sphere in a cylinder with the uniform flow profile
u(r),
u(r)
=
Q
--
7rR2
R
)2+ (
ln(1/K)
)2
(3.1)
where K = Ri/R, with R representing the radius of the cylindrical valve and Ri
representing the radius of the ball in the valve. Thus, the average shear per outlet
check valve < u >, for a given flow rate
Q,
in this example 7.6L/min, is calculated
to be 17,820s-.
2
2Q
2Q(3.2)
rL (1 - K 2
)
< U >=
For both outlet valves this sums to 35, 640s-1 as each pump requires the fluid to go
through two outlet check valves. It is rarely noted that in the case of shear induction
via pumping, the majority of the time slurry is in the pumping loop setup it is under
low shear as it is being circulated and high shear during the brief time that it is in
the presence of the check valves and thus has a non-linear shear profile unlike that
of actual CMP. (As well as rheometry or viscometry where it is under constant high
shear for the experimental duration.) The amount of time spent under high shear per
turnover, or completed pumping loop, is calculated by the fraction of the flow profile
spent in the 4 check valves in Equation 3.3,
t' =
2r8
2
-4
(3.3)
The equivalent shear rate spent in the pumping loop during the turnover time is
78
calculated as
Ne,
* =
eq
Yt'
At
At' + At
(3.4)
where At is the time spent in the shear loop and At' is the time spent in the pump
over the duration of one turnover. In our case, this is found to be 2, 532s-1, which
would be considered a low shear case. We realize that during actual CMP there are
regions of high shear that last between 30-90 seconds and intermittent regions of low
shear due to lubrication effects, wafer tilt, etc. Thus, there are some similarities to be
drawn from our experimental extension of shear induction, but for our purposes the
experimental data will first be modeled as linear, then non-linear for more accurate
comparison.
In order to determine the equivalent CMP time of the shear study, we consider
the t', or the time spent in the check valve, to be the actual "high shear time" as
shown in Figure 3-7. By adjusting the flow rate in our pump setup we can calculate
the resulting high and low shear rates and their respective equivalent CMP times, or
time spent in high shear environments. Figure 3-7 is a run table that spans all of
our respective studies and the time experimental slurries spent in our pumping loop
setup.
79
y
A
E
2)
(0q.
L
Es 3
0Vnm
u(r)
CMP
TYPICAL
105/s - 106 /8
Puam_
CorboyL
Figure 3-6: Cross-section of a typical positive displacement diaphragm pump highvia an
lighting (red boxes) the areas where the liquid being pumped is forced out
modby
orifice that has a check valve ball, which creates the level of shear reported
eling the flow through two of the four orifices as flow around a sphere in a cylinder.
This check valve can be modeled as a ball in a cylindrical tube for the purposes of
uniform shear calculations [18].
Turnovers (unit less) /Equivalent CMP Time (s)
Thie slamwd
(Winatsio
Calculted
Shear
Rat
0
31.25
62.5
125
112,2S4
0
230/
5UW
1a960
56,127
0
2063
125/
1.25
2W
2.5
.625
1Us
Day2
Day 3
(2,580)
23.1311
Z31.36
(4,320)
393W
M0AN0
1150
1W
/100
U5.2
SOO/
18W0
10
11.5W 2.13M-1 3W 46,6 5S0
3GA50/
U.36
.2
100
SW
U1960/1
5
_ _~~~~~*
0
Oy I
(1,440)
2.5
345.6
Day
w5
(5,760)
(7,200)
4SW
40*
57,A39
MA/
345.6
Day6
Day 7
(8,640)
(1080)
$76
1AW
696A
460.3
576
'345.6
0 4----- 7--------0-I
57AW
O6ASt0
Uh
606.4
_ 698A
-
2.s
1250
s
n ow
flsAWt
11s2
34&6
OA
SU
Figure 3-7: Experimental run table of shear rates with respect to turnovers and
equivalent CMP times.
80
Slurry Particle Characterization
3.2.3
Our metrology approach represents a departure from existing literature and a significant contribution to the empirical body of knowledge on slurry abrasive particle
growth, through the novel use of a disc centrifuge as opposed to the commonly used
dynamic light scattering (DLS) particle size analyzers.
The disc centrifuge allows
us a wider dynamic range of particle sizes and a more accurate representation of
particle size distribution without averaging as shown in Figures 3-8 and 3-9. Furthermore, compared to single particle optical sensing (SPOS) techniques typically used to
measure and characterize large particles (> .5 - 1pm), disc centrifuge measurements
require significantly less dilution. Nonetheless, in verification studies we use either a
supernatent or pH-adjusted DI water respective to the sample to reduce pH shock in
the sample, which is also known to cause agglomeration.
upbv
00f MA"
MiL
jM
"t5 fpL-2
MAN
row.
Aao
XLu
MWAR =A*
*J- &6S.J .Swni7p
Figure 3-8: Horiba DLS measurement of our systematic study slurry which yields
a slurry abrasive particle mean size of 0.3575pim and a median size of 0 .3 5 4 7 8 pm.
Measurements taken at Intel Corporation by Dr. Paul Safier.
81
100
90
s0
70
60
II
IU
I
s0
5o**Od 7.2611
40
--
RmMnored .A,11(+9dayson Shl0f
30
20
10
0
0.2
06
0.
0.4
0.3
0A
07
Figure 3-9: CPS disc centrifuge measurements of a sedimented slurry (5wt% silica,
pH2) at t = 0 and t = 9 days.
120
100
80
s o
--
---
--------
60
.pH2
-p16
*P"105
40
20-
-20
OA
0.3
-
-_-_
0.5
PwtUd Dmiw tikmr
--
0.9
0.8
0.7
0.6
-
-
-
-
1
-
0
Figure 3-10: Disc centrifuge particle size distribution measurements vs. pH variation,
for our systematic study slurry (5wt% of silica primary particles with diameter of
220nm and secondary particles with diameter of 370nm at a KCl concentration of IM)
sheared for 1000 turnovers or 125 minutes (an equivalent CMP time of 10 seconds).
82
Slurry Abrasive Particle Size Distribution: Disc Centrifuge
A CPS disc centrifuge is used in our work for the measurement of primary and large
particle size distributions as depicted in Figure 3-11. A disc centrifuge measurement,
though it requires significant per-use preparation, calibration and measurement time,
provides results that are more granular and reliable than that of quick, production
measurement tools. Disc centrifuges still use light scattering techniques for particle
detection as do conventional DLS tools, but they instead measure size based upon
sedimentation and known settling rates of particles in a given fluid under a gravitational field according to classic Stoke's Law relationship between sedimentation
velocity and particle diameter.
(b) Disc centrifuge schematic
(a) Disc centrifuge photograph
Figure 3-11: CPS Instruments: Disc centrifuge side view photograph and cross-section
schematic [25].
Another benefit of the use of the disc centrifuge is illustrated in Figure 3-10,
where we are able to distinctly observe the regimes of both slow reaction-limited
agglomeration (RLA) which is characterized in a slight Gaussian mean shift, and rapid
diffusion-limited agglomeration (DLA) [3] characterized by the growth of predicted
multiple-particle complexes seen as multi-modal peaks rather than a single peak.
These affirm CIS literature data and our initial experimental results in which we
observed two time-dependent regimes of agglomerate growth [30], the inflection point
of which is primarily determined by the pH tuning and not the shear forces. However,
83
in further shear variation experimentation we do observe that the inflection of deagglomeration at higher shear forces primarily is dependent on the shear forces and
not the pH tuning. When we repeat our initial experimental PSD measurements via
disc centrifuge, it is not possible to make this distinction using a DLS approach, as
shown in Figure 3-8. The main disadvantage of the use of the disc centrifuge is the
laborious nature of preparing, calibrating and cleaning the disc, as well as the length
of time it takes to perform one measurement (the time scales with desired accuracy
but is significantly longer than traditional DLS tools used in industry).
Slurry Electrolyte Characterization: Zeta Potential
Over the past decade as semiconductor process technology has become increasingly
more materials and chemistry focused in nature. CMP engineers have sought out
simple, easily measurable metrics by which to rule out certain defect-causing slurries
during process development for new devices and changes post-excursion, thus the
advent of the use of zeta potential . Zeta potential is not a direct measurement but it
is most commonly used in the Colloid and Interface Science community to characterize
stability because it is an indicator of the magnitude and nature of the electric potential
between the two particles approaching one another based on the particle constituent
state isoelectric pH (IEP). Our zeta potential measurements are taken on a DT-300
electroacoustic device as shown in Figure 3-12.
The use of electroacoustic effects
to derive zeta potential is a widely accepted practice that allows measurements to
be performed without the use of dilution and with high volume fractions (wt%).
The measurement involves inserting a probe-like device into the sample which emits
an electroacoustic (ultrasound) signal that propagates through the colloid causing a
perturbation in the diffuse part of the electric double layer causing the ions to drag
in excess to the particles negative pole causing a dipole moment. Dipole moments
generate an electric field with a current referred to as the colloid vibration current
(CVI) from which the zeta potential can be derived via Equation 3.5 [1021.
CVI(ESA) = A>EOCm K, p, - ps
71K.
PS
84
(3.5)
where c is dielectric permittivity, r is viscosity, p is density (medium-m, particle-p,
dispersion or whole system-s), q5 is volume fraction and ( is zeta potential.
Figure 3-12: Zeta potential measurement via electroacoustic phenomenon (DT-300
Electroacoustic device for zeta potential characterization) [26].
Slurry Abrasive Agglomerate (Quantitative) Characterization: Scanning
Electron Microscope (SEM)
As qualitative evidence of the agglomerates we seek to create, characterize and model,
we also employ similar filtration techniques as used in industrial fabs to capture the
agglomerates in slurry prior to point-of-use in our experimentally formulated slurry,
and to image them using scanning electron microscopy (SEM). These SEM images
visually confirm the formation of agglomerates and give us an understanding of their
configuration when pairing and morphological nature under various pH and shear
conditions for the purpose of modeling with proper physical intuition. For example,
the SEM image in Figure 3-13(a) from our systematic slurry at pH10.5, depicts the
case where an abrasive agglomerate of the same weight may be measured at different
diameters as an agglomerate of three primary particles could be more linear than a
cluster.
85
Figure 3-13:
filtration.
(a)
(b)
(c)
(d)
(e)
(f)
SEM images of systematic study slurry agglomerates after micro-
86
3.3
Comparison of Metrology and Characterization of Silica Agglomerates
3.3.1
Metrology for Particle Size
In the semiconductor process manufacturing, and specifically in the CMP community,
particle size analysis is typically performed to confirm a mean particle size for the
particle size distribution (PSD) of an incoming (slurry) colloidal suspension using dynamic light scattering (DLS) tools, or to confirm the presence of large particles using
single particle optical sensors (SPOS). As previously discussed, particle size distribution of CMP slurry abrasive particles is directly correlated to CMP performance
and thus the accurate measurement of any changes, even seemingly slight or nominal,
in the PSD is critical. There are many methodologies by which particle size can be
determined for a given colloid or colloidal suspension. Each measurement methodology uses a physical principle (e.g., light scattering, refraction, sedimentation, etc),
or a combination of multiple physics, which each have their respective limitations in
terms of size range they can detect under different conditions. Figure 3-14 illustrates
the primary particle size measurement methodologies used in experimental analysis,
with the red line delineating the detection of what we will refer to as "large particles"
(> 0.5pm) or agglomerates.
Only microscopy (electron) and sedimentation based (e.g., disc centrifuge) technologies enable researchers to concurrently measure both initial normal PSD and
possible agglomerated large particles.
However, there are significant trade-offs for
accuracy and dynamic range, which we discuss further in this section. Current literature empirical studies on CMP slurry abrasive nanoparticle agglomeration, including
that of Singh et al. [9, 36, 23], Babu et al. [7], and Talbot et al. [38], primarily
use dynamic light scattering based technologies for PSD and "large particle count"
(LPC) measurements (as represented in Figure 3-14).
Singh et al. primarily measure LPC using a single particle optical sensor (SPOS)
system and take the PSD and mean as a measurement from the consumable supplier.
87
PAN
-~
~-
------
OACROSCO'Y
"LECTRON
- --
-6OTICALWAVAY-Y1~
_
_
_
_
1JLThA CO?4TRWrUGE
_
-IAmoi1
Win
-
IL.
PARTIC
OiffRACTION ILAI
ilia
OYMAMK (PCS)
4g5
00001
0001
0 01
01
10
1
100
1000
10000
Figure 3-14: Particle size and PSD metrology methodologies with respect to the size
range they can accurately characterize. (Based on the work of Khanna et al. [23].)
Optical SPOS has a few significant limitations to its capacity to detect the presence
of an extremely small concentration of large particles. First, it requires significant
dilution (sometimes up to 100, 000x) such that individual particles can pass through
the optical sensing zone or view volume. When the particle suspended in the surrounding electrolyte passes through the flow channel through which a light beam is
being transmitted, it creates a decrease in the light intensity across the channel. Particles within the range of 1.3 to 400pm diameter cause the light rays that are incident
upon them to be refracted, or deflected away from the detector at the end of the
flow channel [23] taking a fraction of the incident light rays that would illuminate
the detector. The aforementioned physical phenomenon is known as light extinction
(LE) as shown in Figure 3-15. For particles smaller than 1.3pIm the increase in illumination is due to the phenomena of light scattering (LS), where the particle in the
flow channel causes the light to scatter thus increasing the intensity of the incident
light on the detector. The height of the signal pulse (AV) at the detector is a net
result of both of the aforementioned phenomenon, where VLE is a response dependent on the square of the particle diameter and VLS, is directly dependent on both the
size and composition of the particle (increasing monotonically with particle diameter).
88
I
A
Ri
Optical sensing tools, such as the PSS NiComp Accusizer@, can quickly provide
a cumulative concentration tail of large, or oversize, particles (0.5-200Pm) in-line on
CMP tools at the expense of non-specific dilution shifting the pH, and subsequently
shifting the surface of charge composition of the slurry sample, potentially giving an
inaccurate quantitative view of the size of agglomerates in the colloidal suspension.
Additionally, most primary CMP slurry abrasive particles are between 50-250nm in
diameter, so researchers are unable to use this tool to view both the mean particle
size, PSD and the LPC simultaneously.
In our investigation of PSD and LPC measurements we seek to study the simultaneous time- and condition-dependent changes happening to the mean and/or PSD,
and how they are contributing to the large particle tail of the size distribution. As
discussed in Section 3.1, this motivates our use of disc centrifuge (CPS Instruments
dilution
[25]) to provide a wider dynamic range of detectable particle sizes at lower
requirements.
Particles suspended
in tid hat will
pass through
N
N
*N
flow channel
ii
N
V
(1'
77
I~ W
.7
DLE, Light Ext*icion
'-I
thal
setiv(LE)
ApclSensing
ILL detecto
090
i
Zone or
Incident light beam
that will transmit across
a Now channel
one Of
View Volume
measures decrease
in ntensity of light
across
low channel
Figure 3-15: Side view schematic of single particle optical sensor (SPOS) [25].
89
As shown in Figure 3-15, the disc centrifuge measures via the physical phenomenon
of centrifugal sedimentation within a clear spinning disc where a light beam can be
transmitted and measured.
Essentially, particles that settle in a fluid via a gravi-
tational field are governed by Stoke's law. In order to stabilize this sedimentation,
a gradient fluid at a higher viscosity is put in the disc prior to that of the sample
[25]. As the particles 'sediment' they drift from the injection point, where the sample is injected using a syringe, to the outer edge of the disc passing through a light
detector beam and LS occurs, decreasing the intensity of the light reaching the detector. VLS again is used to calculate particle size based on the particle composition
(type/weight), elapsed sedimentation time, and efficiency of light scattering.
Simi-
larly to SPOS, sedimentation velocity increases as a square of the particle diameter,
so if the particles are all mono-sized they would settle at the same speed and arrive at
the detector in a thin circular band, but if not there is a broad distribution of narrow
distinct bands that each arrive at the detector at different times. Thus, a modified
Stoke's calculation is done to equate the particle diameter based on arrival time at
the detector,
-
(D 2 (pP _ pF )G)
18n
(3.6)
where D is the particle diameter(cm), pp is the particle density (g/ml), pF is the
fluid density (g/ml), G is the gravitational acceleration (cm/sec2 ) and n is the fluid
viscosity (poise). The accuracy of a particle sizes and calculation are insured through
the use of a known calibration standard with the same gradient measure before each
run or replicate.
3.3.2
Metrology for Stability: Surface Morphology Measurements
Multiple research groups also use morphological changes of the surface of un-patterned,
polished oxide monitor wafers to determine the stability of the slurry. Measurements
were taken using atomic force microscopy (AFM) and in-situ friction force measure-
90
ments to characterize the RMS (root mean squared) surface roughness of the oxide
layer. Various optical microscopy techniques are used typically to determine the presence, nature and number of scratches, pits and other macro-scale surface defects as
shown in Figures 2-15 and 2-16 are indicators of the formation of large slurry abrasive particle agglomerates. These are indirect indicators, though they do establish a
correlative relationship between defectivity and the presence of large, agglomerated
abrasive particles.
3.4
Comparison of Experimental Metrics and Results
Our specific aim in undertaking experimentation with colloidal silica abrasive particles, is to leverage the characterization of the slurry abrasive PSD at each individual
step in the slurry formulation process towards understanding the distinct contribution
of each slurry component with respect to the collective colloid of the accelerated (or
decelerated) process of abrasive agglomeration specific to silica. It is well understood
that commercial CMP slurry formulation is much more of an abstract art than an
exact science, however the most significant shifts in slurry behavior are due to the
additions of salt, surfactants and particles of specific sizes/compositions. Incongruency in reported shifts in slurry behavior arise due to the proprietary nature of this
art as the majority of empirical CMP studies use commercial slurries (as detailed in
Figure 2-17), of which consumable suppliers do not typically divulge the full slurry
composition. Moreover, silica specific phenomena have not been explored in existing
studies of slurry abrasive, shear-induced defectivity. In this thesis work, we focus on
filling key empirical holes in the CMP literature and understanding.
91
Archetype Study: Simple 50nm Silica Slurry Experi-
3.4.1
ments
In our first experimental investigation, or archetype study as we will refer to this
study for the remainder of the thesis, we begin at the minimum acceptable range of
CMP slurry abrasive particle sizes, 50nm as a means to leverage as well as test the
empirical conclusions reached in the most recent literature [9].
The slurry formulated for this study, consisted of 50nm colloidal silica particles
at 6wt% in DI water with 1.OM KCl that are cycled through our experimental high
shear slurry pumping system at a shear rate of ~47, 000 s--
for 10,000 turnovers (8
days). The slurry is prepared in three batches, adjusted to pH 2.2 (process-of-record,
POR), pH 6.66 and pH 9.1, respectively, using KOH or HNO 3 . The salt addition of
1.0M is used to promote rapid agglomeration as well as bring the zeta potential mea-
surements of each batch to pH 2.2 (-5.3mV), pH 6.66(-6mV), and pH 9.1 (-10.3mV).
As observed, each zeta potential regardless of the pH is nominally the same order of
magnitude. However, the zeta potential is significantly lower in magnitude than that
of the same slurry in the absence of salt (cations) due to the electric double layer
being suppressed, and by the salt's cations effectively reducing or screening the negative charges on the silica surface. Figure 3-16 shows DLS measurements of the mean
and median particle size of each slurry batch at specific time points during pumping.
The mean and median values for all three batches begin to plateau at around 100,000
turnovers.
The POR pH 2.2 slurry, representing the known IEP of silica, exhibits the most
stability with the largest particles produced having an average size of 66nm, whereas
the representative alkaline slurry (below pH 11) shows the most unstable behavior
generating particles up to an average size of 145.1nm. This is contrary to CMP experimental work reviewed in Chapter 2, where the advent of the largest silica abrasive
agglomerates typically occurs at the IEP (POR pH) similar to the traditional DVLO
92
behavior of other oxides. However, our result is in agreement with CIS experimental
work with colloidal silica which maintains that according to Carman-Iler theory, silica
is most stable at acidic pH as shown in Figure 3-18, due to the nature of siloxane
bonding [95, 28].
MPS vs. Time
145
135
125
Mean (pH=6.66)
Median pH=6.66)
--- Mean (POR pH)
- Median (POR pH)
-E- Mean (pH=9.1)
-*- Median (pH-=9.1)
I
-+-
115
---
E-- 105
95
(0
85
111/
75
1At
65
55
45
0
1I
2
3
4
Trne (days)
5
6
7
8
Figure 3-16: Archetype Study: Simple slurry of colloidal silica particles (50nm)
sheared in our experimental pumping system over 8 days and measured using a Horiba
DLS tool. Three batches of the slurry are represented based on their respective pH
values: (POR) pH 2.2, pH 6.66 and pH 9.1 with the corresponding values of their
mean and median for each time point [27].
93
LPCs vs. Time
9000000
8000000
7000000
000000
C
LPCs (pH=6.66)
--
-&--LPCs (POR pH)
4000000
3000000
2000000
1000000
0
-1000000.
8
7
6
5
4
3
2
1
0
Time (days)
silica
Figure 3-17: Archetype Study: Simple slurry of colloidal silica particles (50nm
at 6 wt%) sheared in our experimental pumping system over 8 days and measured
using a SPOS tool to assess the paired LPC. The two disperate batches of the slurry
are represented based on their respective pH values: (POR) pH 2.2 and pH 6.66 with
the corresponding values of their mean and median for each time point to highlight
the lack of large particles at the POR pH.
STABLE
SOLS
ZERO
CHANGE
PO
-I
8102 DISSOLVES
NEGATIV
DLVO
ZONE OF
INCREASING
STABILITY
4(1W
~
Hsio
Sam
SIO;1
Moj
Co
0LE
0
2
RA2
AGGREGA
4
6
8
10
12
14
pH
Figure 3-18: Integration of CIS experimental results (thick solid lines) and a modified DVLO model for silica particles which shows the effect of pH/zeta potential.
The shaded areas show where the approximate zones of DVLO stability/instability
behavior should occur, in contrast to the experimental data which is qualitatively
represented by the capitalized text and follows the trend of our experimental data
[28, 29].
94
3.4.2
Systematic Study: 220nm Silica Slurry Experiments
In our full systematic investigation, we use larger colloidal silica primary particles at a
proportionally lower wt%, in order to observe both size effects as well as the additive
effects of formulative slurry chemicals. We do, however, leverage the learning of prior
solid loading studies to stay below the wt% inflection point where slurry particle
kinetics would cause rapidly decreasing material removal rates or sedimentation [17].
The slurry formulated for this study consists of 220nm primary size (340nm secondary
size) colloidal silica particles at 5wt% in DI water at 1M KCl with the addition of
both anionic and cationic surfactants. We characterize the slurry at the same three
pH levels as the archetype study at each step of the formulation process prior to,
during, and after high shear pumping.
Zeta Potential-pH Study:
The slurry was prepared in three batches, adjusted
to pH 2 (POR), pH 6.5 and pH 10.5, respectively, using KOH or HNO 3 . The salt concentration, ionic strength is adjusted from 0 to 1M using KCl, and pH adjusted again
if a shift occurs prior to PSD measurement. The slurry is gently stirred in the carboy
(as mentioned in Section 3.2.1) from the point of dispersion into the water until the
sample is removed for measurement. This investigation of the magnitude of the zeta
potential with respect to KCl concentration is shown in Figure 3-19 again results in a
zeta potential magnitude reduction for neutral and alkaline pH, up to 1M, where the
zeta potential is almost indistinguishable between the three pH batches. This figure
thus shows that zeta potential alone cannot be used as a metric of stability for a CMP
slurry. Convention currently would have a CMP engineer look at all three batches
at the 1M KCl concentration, and determine from the singular measurement that
there would be fairly similar agglomeration due to the small and nominally identical
zeta potential magnitude, but the degree and/or extent of agglomeration is not the
same, it is also based on the pH and applied shear. These three batches will behave
very differently in the respective steps to follow. However it is important to validate
that even at different orders of magnitude, the zeta potential to pH relationship at
increasing KCl concentrations, as shown in Figure 3-20, trends proportionally in our
95
experimental slurry formulation.
Zeta Potential Measurements: 5 wt% PL-20 + KCI
20
10
0
2
E
6
10
12
0M
-10
--
-20
-
0
-wr-0.01M KCI
-30
---
KCI
0.001M KCI
0.1M KCI
-1M
KCI
-50
-60
-70
pH
Figure 3-19: Systematic Study: Simple slurry of colloidal silica particles (220nm
primary particle size) prior to shear application characterized using electro-acoustic
measurements of zeta potential - pH and conductivity in the presence of varying salt
(KCl) concentrations at pH 2 (POR), pH 6.5, and pH 10.5 respectively [30].
96
Zeta Potential Measurements, pH unadjusted
0.001
0.1
0.01
j-o--Pt-205wt%
PL-7 Swt%
-20
.
-30
-40
KC Ccentratmn
(M)
Figure 3-20: Systematic Study: Repeat of the measurement shown in Figure 3-19
with simple slurry of colloidal silica particles (220nm primary particle size) and another order of magnitude smaller particles (75nm primary particle size) prior to shear
application.
Zeta Potential-Surfactant Study:
The next step in our systematic study is to
observe how our silica slurry with a high concentration of salt additives (1M KCl),
where zeta potential magnitude no longer fully determines instability, shifts depending
on the addition of commonly used surfactants like Sodium dodecyl sulface (SDS) and
Tetramethylammonium hydroxide (TMAH). At this level of ionic screening, the delta
in zeta potential is negative for anionic surfactants (TMAH) and positive for cationic
surfactants (SDS) as expected although the delta value is less than 5mV.
97
Zeta Potential Measurements: Swt% PL-20 + 1M KCI + SLS/TMAH
20
10
0
2\
4
6
8
10
12
-10
--
OM
-20
- M KCI
2 -30
.
,4,-1M KCI + .001M SLS
'-*1M KCI +.001M TMAH
-40
-50
-60
-70
pH
Figure 3-21: Systematic Study: Simple slurry of colloidal silica particles (220nm
primary) at 1M KCl prior to shear application characterized using electro-acoustic
measurements of zeta potential - pH and conductivity in the presence of varying
surfactants (anionic (TMAH) and cationic (SDS)) at pH 2(POR), pH 6.5, and pH
10.5 respectively.
pH-Shear-Particle Size Study:
As aforementioned, the most significant ag-
glomeration observed under high shear in silica commercial slurries in pumping systems occurs at the same pH levels as that of those without shear. Our systematic
study observes both the mean and median, as well as the finely-grained PSD by
employing a disc centrifuge as opposed to the DLS tool as used in the archetype
study providing a rare and novel view into slurry composition morphological profile
changes in-situ. Figure 3-22 shows the relative weight distribution of the PSD, expressly showing the weight of the particles in the sample of each binned diameter
size with respective to the summed total weight of the PSD (thus the majority of
the relative weight lies at the mean or the gaussian's peak).
The individual PSD
measurements at specific time points during high shear application shows the slurry
98
abrasive particles beginning to grow into doublets and triplets in accordance with the
primary and secondary particle sizes in Figure 3-22. Again we confirm our assertion
from the archetype study that high shear causes the largest agglomerates to form at
more alkaline pH's (but still below pH 11 where the surface of the silica particles are
essentially covered with basic groups only agglomerating in the presence of certain
salts like KCl), plateauing at around 1.1pm in size and remaining relatively stable
at the POR pH as theoretically predicted by Iler. Additionally, observing the mean
particle size at each corresponding time step serves to show the rapid particle growth
of the pH 10.5 slurry batch, but due to the immense number of primary particles,
the large particles in the batch are not always evident in a mean particle size (MPS)
plot. At the POR pH, it is interesting to note, that the PSD stays relatively stable,
essentially growing the same number of particles as in the non-shear case at each time
step, whereas the pH 6 case grows rapidly in doublets and eventually triplets, even
prior to shear application.
99
Disc Centrifuge (Relative Weight) Measurements: pH2, Swt% PL-20
10-
~50
NO SHEAR
160
125 minutes (1000
-5SEARED
u
SHEAREDo 1250
--
20
--
(23136tumovers)
Das
SHEARED 67 30 rWnue%/A
days (694SO
turnovers)
0.9
O-s
0.7
0.6
0.5
0.4
0.3
turovers)
es (10000 turnovers)
2890 rinutes/2
-sSHEARED
0.2
mnut
PARTICLE DIAMETER (p)
(a)
Disc Centrifuge (Relative Weight) Measurements: pH6, Swt% PL-20
too
90
70
-
60
51EANEO
125 minuMs
(130090
35e)
30
-SHEARED
20
-SHEARED
1250 rninutes (10.00 turnovers)
2892 nmiutes/2 Days (23136 tumo ersi
730 "Fm.es/6 y. (69540 OumoVe) I
-SHEARED
10,000 nonutes7 Dos (00640 turnovers)
I0
.---5-S
1
0.7
0.5
0,4
0.3
ARED
0.9
08
0.7
0.6
PARTICLE DIAMETER (g)
(b)
Disc Centrifuge (Relative Weight) Measurements: pH10.5, Swt% PL-20
1W0
90
so70
50----
~40
125 .mfr..M. (1000 turn3owrsI
5HARED
125050919(00
3-SHEAED
10
20
Day
-514AR1D6
10
0.2
0A
9860W
SHEARED 2 Day (23136 turnl
-tuovers)
-SHEARED
(23136 turnovers)
2 Dwo (34160W
S"EAMED 1days
0.6
0.9
1.2
1
PARTICLE DIAMETER (p)
14
1.6
(6m40rnowers)
18
2
(c)
Figure 3-22: Systematic Study: Simple slurry of colloidal silica particles (primary
particles with diameter of 220nm and secondary particles with diameter of 370nm)
in DI water at 1M KCl sheared for 0, 1000, 10,000, 23,136, 698,840 and 80,640
turnovers, respectively. Measurements are taken on the CPS disc centrifuge and
show the relative weight of each particle diameter so that the reader can observe the
large particles as well as the primary particles in proportion to their contribution to
the overall concentration. (a) pH 2 (POR) batch; (b) pH 6.5 batch; and (c) pH 10.5
100
batch.
MPS vs Time
1.2
1
0.8
UpH2
0.6
--
pH6
-U-pH10.5
0.4
0.2
0
1000
2000
3000
4000
5000
6000
7000
8000
9000
10000
Time (minutes)
Figure 3-23: Systematic Study: Mean particle size of the simple slurry of colloidal
silica PSD from Figure 3-22 with respect to time in minutes over 6 days. The red line
is shown at the plateau time of the extended study of two days.
Shear Variation Study:
In a final step towards understanding the synergy be-
we
tween the chemical and mechanical mechanisms to increase the agglomerate size,
take our most unstable slurry batch, pH 10.5 (Figure 3-22(c)) and decrease the flow
1
shear
rate in the pumping system to create middle (56,127s- ) and low (28,063s-1)
environment, repeat the extended time experiments as shown in the experimental
of
run table in Figure 3-7 to observe the resultant behavior under varying degrees
shear. In Figure 3-24, although each slurry batch exhibits significant instability with
an eventual plateau in mean particle size, the lowest shear application batch produces
the
the largest MPS at 1.35pm. This supports our conjecture, and consistent with
work of Talbot et al., that there is some agglomerate breakup taking place at higher
work but
shear rates and the agglomerates formed under shear are not permanent
plateau of
there is in fact a maximum agglomerate size as evident in the consistent
MPS over time for each slurry batch. We hypothesize that no of all agglomerates,
on
as we believe there are definitely hard and soft agglomerates formed depending
101
the chemical composition of the colloid as supported by Basim and Moudgil [21].
Furthermore, we are able to delineate first and second order rate effects by observing
the overlapping PSD of the same test slurry batch at a high and low shear rate in
Figure 3-25, one can observe the transition of particles from one diameter bin to the
next shifted based on shear rate with a discriminant maximum agglomerate size.
1.4
1.2
E
0.8
HIGH SHEAR
-$-
0.6-
--
-
MIDSHEAR
LOW SHEAR
0.2
-----
-
0
0
1000
2000
3000
4000
5000
6000
7000
8000
9000
10000
Tim* (minutos)
Figure 3-24: Systematic Study: Shear variation study of simple slurry of colloidal
silica particles (primary particles with diameter of 220nm and secondary particles
with diameter of 370nm) in DI water at pH 10.5 at three different shear levels with
respect to time over 6 days.
102
100
S-
_
-
90
80
shear
at 2000
turnovers
-High
sheared 62.5 minutes
(500 turnovers)
-sheared
125 minutes
(2000turnovers)
70
-sheared
250 minutes
(2000turnovers)
60
I
50
Low shear
40
at 2000
30
---turnovers
-
--
20
-sheared 62.5 minutes
(500 turnovers)
-sheared
125 minutes
(1000 turnovers)
10
0
0.2
0.4
0.6
1
0.8
Partidle OIamterfMrons)
1.2
14
-sheared 250 minutes
(2000 turnovers)
Figure 3-25: Systematic Study: Shear variation study of simple slurry of colloidal
silica particles (primary particles with diameter of 220nm and secondary particles
with diameter of 370nm) in DI water at pH 10.5 at high shear (112,254s') in shades
of blue and low shear (28,063s-1) in shades of purple levels with respect to time over
6 days.
3.5
Summary: Experimental Contributions
This work is among the first to clarify via careful sequential experimentation the silica
abrasive-slurry chemistry behavior in all of the following interactions/relationships:
1) zeta potential - pH, 2) zeta potential - pH - additives (including salts and surfactants), 3) zeta potential - pH - shear and 4) shear - MPS/PSD, in both very small
and very large accepted CMP slurry abrasive particle sizes. We believe that our work
-
is consistent with current CIS understanding of siloxane bonding behavior of silica
water colloids at pH range from pH 2 to pH 10.5, above pH 11 we know that silica has
the potential to be even more stable than at the POR in the absence of certain salt
additives, but can no longer be explained by the same mechanisms of silanol dissociation. This work stands with the CIS community on a greater understanding of zeta
potential with the use of high concentrations of salts and surfactants, and shows that
the zeta potential metric is not applicable without the proper context of additive concentration and slurry pH. If sodium salt additives like KCl are used in concentrations
above 1M, the zeta potential is nominally the same at every pH, but the amount of
103
agglomeration is not represented as a direct correlation to the zeta potential; rather,
the pH of the slurry also determines the nature of the surface charge. In addition, agglomeration increases over time under shear, but with very high magnitude of applied
shear, a lower maximum mean particle and maximum agglomerate size, as breakup
of large particles is observed at the high shear rates (104
-
10- 6 s- 1).
In conclusion,
observing all of the data in both the archetype study and the systematic study, the
long range drivers of shear hydrodynamic collisions are most effective when the short
range drivers of surface charge are sufficient for attachment.
104
Chapter 4
Theoretical Agglomeration Model
Framework and Validation
This chapter lays out the theoretical framework for our CMP silica abrasive particle
agglomeration model. Our model is primarily focused on silica in order to leverage
existing understanding theoretically in the CIS literature coupled with our new experimental work. We realize that this is somewhat of a daunting undertaking but we
also appreciate that modeling has been and continues to be the only paradigm shifting mechanism for truly understanding and exercising the limits of CMP [41]. In this
chapter, the overall model framework is first introduced. Then, each of the components of the model is discussed, with model predictions compared to our experimental
results for each component respectively.
4.1
Colloidal Agglomeration Model Framework
The majority of the prior CMP abrasive particle agglomeration models originate, as
do many of the archetypal colloidal "diffusion-limited agglomeration" (DLA) models,
with a classic statistical particle physics model by Smoluchowski [6, 107, 108], as
previously described in Chapter 2. These models describe the mechanistic behavior
of colloidal agglomeration over time as a "second-order rate process in which the rate
of the collision is proportional to the product of concentrations, n, of two colliding
105
species" [6] as shown in Equation 4.1,
1
dnk
S
00
i=k-1
E
kinin -- nk
S
kikni
(4.1)
i=1
i+j-dk
i=1
where the first term represents the transport-dependent rate of growth of agglomerate
of concentration nk, created by the collision of two particles of concentrations ni
and nj, such that i + j = k . The second term represents the rate of loss of an
agglomerate of concentration nk, due to the collision with another particle of any size
forming a larger particle, k + i. This model does not account for the rate of loss of
agglomerates of concentration nk due to agglomerate break-up into smaller particles
caused by the collision. Additionally, kij and kik are agglomeration rate constants that
represent the assumed physical mechanism by which the collision occurs: perikinetic
(Brownian motion), orthokinetic (shear), or differential settling (sedimentation). We
will revisit how these constants are evaluated in Section 4.2.3. The common input
of Smoluchowski models is the initial particle size distribution (PSD) at time t = 0
either using volumetric-based concentration or mass-based concentration. As the key
goal of the model is to consider how the PSD changes over time, the final PSD is also
the common output of the model. For our model, the PSD is an experimental value
measured using a disc centrifuge that gives the concentration of primary particles in
the slurry and the concentration of subsequent agglomerates composed of multiple
primary particles as illustrated in Figure 4-1, in the form of number per sample which
can be converted easily to mass or volumetric concentration.
106
SE+17
------
4E+17
3E+17
_---
-- ---
-
2E+17
ni
1
1E+17
0
012
0.3
0.4
0.5
0.6
nk
-1E+17
Figure 4-1: In classical statistical particle physics models, primary particle concenthe
tration typically is considered to be the mean particle size of the slurry, under
as
mean
the
assumption of an ideally mono-disperse slurry with a tight range around
the
shown in the disc centrifuge measurement. Thus, for modeling purposes we take
conof
particle
concentration of particles of the mean size to represent the primary
centration, ni. Any larger particles (nj, nk, etc.) are thus assumed to be agglomerates
of multiple primary particles.
4.2
Theoretical Abrasive Agglomeration Model
rate
Our theoretical model uses fundamental empirical learning to assert that the
unof agglomeration of the silica CMP abrasive particles behaves reaction-limited
der certain conditions and diffusion-limited in others. Thus, we can use prototypical
parSmoluchowski colloidal rate equations to as a foundation to create a statistical
ticle physics model to describe and quantify the full scope of their behavior in-situ.
However in order to apply the model to CMP, significant extensions must be made.
elecTherefore, our model first addresses the prediction of the effect of the background
potential
trolyte chemical parameters on the abrasive particle surface properties (zeta
potenand surface charge density). The value of the zeta potential and surface charge
DVLO
tial is typically fitted or experimentally derived and used to feed a traditional
to
interaction potential models, whereas we use our theoretically derived potential
107
feed a modified DVLO and chemical activation energy model. This yields a stability
ratio, a statistical probability of two particles in collision having enough interaction
and/or chemical potential to attach to one another to form a non-permanent agglomerate up to a maximum size, a divergence from the prevailing model assumption of
the permanent aggregate. We then use that stability ratio as a "sticking coefficient"
in our statistical model, and a simple physical shear rate constant to incorporate the
hydrodynamic proximity probability induced by shear as well as the reciprocal effect
of hydrodynamic agglomerate break-up.
Due to the flexible nature of our model integration scheme, it can be extended
to model non-uniform shear cases and non-silica, mixed abrasive colloids for CMP.
Future work might also address CMP debris by including particle shape and charge
effect considerations. As mentioned, we are less interested in a statistical assumption
to validate existing data and fitting, and more focused on experimentally establishing
and theoretically predicting the particle size distribution (agglomeration rate) of a
silica colloidal system comparable to that of CMP slurry under high shear conditions.
In order to achieve this, our approach is to extend the classical model framework
Equation 4.1 to include the following components: surface and zeta potential (silica
capillary model) evaluation, bounded reaction limited agglomeration (RLA), modified
DVLO evaluation due to silica specific bonding behavior, consideration of non-uniform
shear application, and hydrodynamic agglomerate break-up evaluation.
These five model contributions, pictured in Figure 4-2, are critical to accurately
characterizing and predicting the behavior of silica slurries during the CMP process.
108
Extended SPP Model
Statistical Particle Physics Model
Epeimen t al
Stabili.
Data Values
G, it/i,
PSD)
(pH,
Orhointo-
aricene
kny d c
Distribution
k
APrection
Figure 4-2: Our theoretical model framework illustrating the five key components
(A-E) of our model as it extends the classical model framework to model a complex CMP system. Component A, the surface chemistry (zeta potential) model, is
added to theoretically model the abrasive particle's surface chemistry and evaluate
its properties (zeta potential (() and surface charge density/potential (l/o-)). Thus,
the input parameters require more detail about the slurry chemistry including pH,
in addition to the necessary physical parameters of shear rate, G and initial PSD.
Component B, the colloidal stability model, employs the surface interaction potential calculation to determine a, as opposed to fitting the value or assuming it to be
1. Component C, the orthokinetic uniform shear assumption, remains the same as
the traditional model. Component D, the siloxane bonding (chemical kinetic energy)
model introduces the silica-specific case of siloxane bonding which changes the scaling
of the sticking coefficient towards the pH most conducive to siloxane bond formation.
Component E, the hydrodynamic agglomerate break-up model, evaluates the degree
of shear versus the strength of attraction forces at the agglomerates interface which
could cause it to break up.
109
4.2.1
Surface Chemistry (Zeta Potential) Model
Thus far in classical model Equation 4.1, it is assumed that all collisions result in
an agglomerate; however, there are many factors that can reduce the "collision efficiency," or the likelihood of agglomerates being formed from a collision, including
surface electrostatic interactions (double layer repulsion), and fluid viscous interactions (hydrodynamics) [6], both of which we will account for in our models and discuss
further in Sections 4.2.2 and 4.2.3. Starting at the surface of the colliding particles
we will examine the gradual degradation of collision efficiency through the use of an
assumption of "diffusion limited agglomeration" (DLA) to a certain inflection point,
after which "reaction limited agglomeration" (RLA) takes over during the CMP process in its steady state. In the commonly assumed DLA, where there are no kinetic
barriers to agglomeration, the particles are assumed to be uncharged, the collision
efficiency a would be 1 (thus it is not shown in Equation 4.1), and the particles grow
relatively fast and are fractal in nature [76]. However, we know that most abrasive
particles, including Silica, are in fact charged and their surface charges serve to reduce
the collision efficiency [28, 14]. Therefore, in our model we take the RLA approach of
deriving a, but without the use of fitted experimental data as is typically performed
in previous work. Instead, in order to increase both theoretical understanding and
model flexibility, we theoretically predict the measurable chemical parameters of the
background electrolyte of the slurry, i.e, surface charge density/potential and zeta
potential, as they are modulated by the effects of pH, ionic strength, and specific particle surface chemistry. We are able to understand this relationship through a zeta
potential model of silica capillaries as proposed by Berli et al. as shown in Figure 4-3
below [31].
The model of Berli et al.
calculates the surface charge density and potential
through the use of a dissociation model of the Silanol groups on the surface of the
silica capillary walls, coupled with a capacitor model of their electric double layer. A
theoretical relationship expresses the electrostatic potential of particles as a function
of the separation distance in the presence of a background electrolyte. Consequently,
110
G
Sio
Sio
(b)
d!
VEO
Figure 4-3: Zeta potential model of silica capillaries from Berli et al.: ((a) Schematic
representation of the capillary wall with dissociated silanol groups containing negative
electrical charges. The charged surface induces the formation of a diffuse layer of
ions in the electrolyte solution. (b) Electrostatic potential I(x) as a function of
the distance x measured from the charged surface. The Debye length, 1, measures
the thickness of the diffuse double layer under typical conditions of CZE. (c) Fluid
velocity profile v(x) due to an electric field acting along the capillary. d, thickness of
the compact layer; co, surface potential; Cd, potential at the outer Helmholtz plane
(it is assumed z < cd); VEO, limiting electro-osmotic velocity. The whole diagram
emphasizes the solid-liquid interface [31].
we are able to use this calculation of surface charge density or surface potential as
input into our potential energy model discussed in the next section.
111
0 0
0 0
0
0
00
PG
00
90
Surfa
,
cePotential,Tob
.
. . . .
.. . . .
.
00
F*S
a3
... .z~
X
8
Figure 4-4: Schematic representation of a single primary silica abrasive particle with
dissociated silanol groups on the surface, which form its respective diffuse layer of
ions in the slurries electrolyte solution. Electrostatic potential, J(x), is also shown
as a function of the distance from the charged surface as it relates to both the surface
potential, To, and the Debye length, r, of its diffuse double layer, and zeta potential,
S[27].
The derivation of surface charge density according to the Berli et al. model is,
-en,
(4.2)
1
( ,)e
(+
cd
)
o-of(n,)
where 50 is the surface charge density as a function of (,
the zeta potential, and
input pathe ion densities in the background electrolyte bulk derived from our
rameter, nk. Here K, is the chemical equilibrium dissociation constant, Cin is the
ri
modeled capacitance of the Stern layer,
6
d
is the diffuse layer charge, and ens is the
total number of surface charges dependent on the ionic strength of the electrolyte.
Thus, we can theoretically determine the surface charge density and subsequently the
surface potential used in the DVLO calculation (surface interaction potential model)
in Section 4.2.2, from the input parameters of the background electrolyte.
In order to derive the surface charge density as described, we begin by examining
the system shown in Figure 4-3. The surface of the capillary plane, in our case the
112
surface of the spherical abrasive, is modeled with an infinite plane assumption; Figure 4-3 illustrates how the electrostatic potential, T, relates to separation distance,
x. The guiding assumption in the derivation of the system is specifically shown in
Figure 4-3(a), where one can decompose this system into three states:
1) x < d, Free of charge
=
d, 'I' = d
=
(
2) x
3) x > d, Poisson-Boltzmann relation for potential.
We assume in state 1 that the compact Stern layer is free of charge due to the
finite size of hydrated ions at the surface [31]. We also make the assumption that at
x = d the electrostatic potential is equal to the zeta potential, as it represents the
surface of the plane of shear as shown in Figure 4-3.
The derivation of our system with these assumptions initiates the superposition
of three models to find surface charge density at each state: the dissociation model
at the surface of the plane/particle, the capacitance model in the compact Stern
layer, and the Guoy-Chapman double layer model [104] at the separation distances
apart from the surface.
Beginning with state 1, at the particle surface we employ
the dissociation model to calculate the surface charge density, 60, using the chemical
equilibrium dissociation constant Ka.
=
"
[-SiO-][H+]
[-Si - (OH)]
Boltzmann's approximation of the concentration of protons at the dissociation
sites on the surface is
[H+]s = [H+]be(-eo/kT)
(4.4)
where To is the electrostatic potential at the surface [31]. Now we are able to define a
surface charge density oO as shown in Equation 4.5, assuming single site dissociation
where eN, is the total number of surface charges available with respect to the ionic
strength of the electrolyte.
113
-eN(
1 + ([H+]/Ka)e(~eIIo/kT)
(4.5)
Now in the separation distance from state 1 to state 2, there is no charge present,
which creates a behavior analogous to that of a capacitor, in that there are two charged
planes at x = 0 (Pd = To) and x = d (P = () creating some modeled capacitance,
Cim. Thus as shown in Figure 4-3(b), the potential drop across the compact Stern
layer exhibits a linear behavior yielding Equation 4.6.
00
(DO -
Cin
(4.6)
The third component is a Guoy-Chapman double layer model, employed to define the
surface charge density in the diffuse layer, or state 3, which we can derive from the
Poisson-Boltzmann relation for potential resulting in Equation 4.7,
Ud =
where the potential at x
=
zeP
012
-[8nokTE]1/2 sinh ( 2kT )
d is Pd
=
(4.7)
(, thus incorporating zeta potential into the
equation. Here no is ion concentration, c is the dielectric constant of the medium, z is
the ion valence, and kT is the product of the Boltzmann constant and temperature.
Combining the equations of all of the states requires careful consideration as to how
the models interact with one another inside the system. We know that the surface
charge density, ao, generated in the dissociation model in state 1 is balanced via the
system electro-neutrality with the total charge in the diffuse layer in state 3, Ocd.
0Q = -Od
(4.8)
Substituting Equation 4.5 into Equation 4.6, and then substituting the result into
Equation 4.8 as equality to the negative of Equation 4.7, we now have a surface charge
density relation with respect to zeta potential that incorporates pH (concentration of
dissolved hydrogen ions) as well as ionic strength (implicit to enk).
114
(4'9)
o-o(nk,)
-e
-ens
[H
00
+ (E
)
bs,)
-
Ci
.
k .d k
Most importantly we now have the flexibility to employ either the theoretically predicted surface charge density and potential or the experimentally extracted surface
potential to drive our surface interaction potential model (which we will explore next
in Section 4.2.2), with the ability to simulate with respect to the pH, zeta potential,
and ionic strength of the CMP slurry background electrolyte.
4.2.2
Colloidal Stability (Surface Interaction Potential) Model
Prototypically, particle agglomeration models that include electrostatic interactions
(double layer attraction and repulsion) as an assumed barrier to stability typically
start by employing the DVLO theory to calculate a "stability ratio," W, where W =
1/a and a is the aforementioned "collision efficiency" factor to scale the generic
rate equation, or Equation 4.1, yielding Equation 4.10.
Chandra et al.
Both Singh et al.
[9] and
[76] to some extent adopt this ratio to support a "reaction-limited
agglomeration" (RLA) model for silica abrasive agglomeration as shown in Equation
4.10 below,
1i=k-1
dnk
=ta
2
i+j->k
kijninj - nk E
kikni
(4.10)
k=1
i=1
where a is the reciprocal of W. Singh and Chandra affirm the assumption that an
irreversible "slow aggregation" process takes place where electrostatic interactions are
present between particles, like that between slurry abrasives, as opposed to the rapid
fractal agglomeration that takes place between their metal counterparts (metal debris
from the polished surface) in the absence of electrostatics. (Note: in Chandra's work
copper coated oxide debris is modeled independent of the presence of shear, while
Singh's work models oxides in the presence of shear.)
Classic DVLO theory states that the stability of colloidal particles is a function
of the total interaction energy, V, from the summation of the attractive Van der
115
Waals potential and repulsive electric double layer potential. The two particles in the
collision must have enough energy to overcome this total potential in order to stick
to one another, thus collision efficiency is often referred to as "sticking coefficient".
This energy barrier is illustrated in Figure 4-5, in the case of two primary particles
from our model within a given separation distance, H. We will further explore how
this distance is approximated in the next section.
4
AV
S .VA
Figure 4-5: DVLO theory is illustrated to demonstrate how the scaling of a represents
the physical phenomenon that occurs when a particle is able to overcome the potential
energy barrier VT to attach to another particle. (Note: Vmax in Equation 4.13 is
equivalent to VT noted in the figure.)
The Fuch's approach calculates W by treating the problem as "diffusion in a force
field" [6] as shown in Equation 4.11 below. We agree with Singh's work in the use of
this approach, but Chandra employs an approach which only considers the screened
Columbic repulsion for the effective interaction which would be appropriate for metal
versus that oxide particles
[76].
I'
(<p
/kT)
( .
)
00
0
where
#r
is the total interaction potential at a particle separation distance d (Vt in
Figure 4-5 and
derived in the surface chemistry model from Section 4.2.1), u is a
116
function of d and particle size, and kT is the product of the Boltzmann constant and
temperature. For spherical particles of different radii, ai and aj, u is given by [6]
u
=
2d
ai + a,
(4.12)
Equation 4.11 is then evaluated numerically with Equation 4.12 for u, using the simple
Overbeek approximations [104] which assumes that the majority of the area under
the integral comes from a region close to the maximum; thus Equation 4.11 becomes
W
(4.13)
1
K(ai + aj)
where r, is the Debye length and Vmax (or
0
S T
) is the maximum height of the total
interaction energy barrier. We are thus able to use the derived value from our surface
chemistry model as Vmax in Equation 4.13 and model how nominal changes in the
pH, zeta potential, and ionic strength of the background electrolyte will affect our
energy barrier. It should be noted, however, that from the form of the equation, even
relatively small energy barriers allow for a significant degree of stability.
It is also shown in much of the prior experimental work, as well as in our fundamental experiments, that changes in the background electrolyte such as the addition
of salt, do not change the stability ratio indefinitely, but suggest rather that at a
specific concentration the potential energy barrier is effectively eliminated and the
collision efficiency is then determined solely by the mechanistic collision frequency of
the particle's transport mechanism (as aptly described by DLA). We are able to substantiate this assumption not only in the agglomerate studies where we see particle
size distribution converge at a certain salt or surfactant concentration, but also by
observing the zeta potential behavior of the background electrolyte prior to shear as
well. We will briefly explore the addition of a proper transport rate constant, k, in
the next section.
117
Zeta Potential Measurements: 5 wt% PL-20 + KCI
20
10
0
2
6
10
12
---
-10
0M KCI
-"0"0.001M KCI
-20
----
S-30
0.01M KC1
-0.1M KCI
-40
1M KC
-50
-60
-70
pH
Figure 4-6: The relationship between zeta potential and salt concentration in a simple
slurry finds a critical concentration at which stability is no longer able to be determined solely by the value of the zeta potential. At 1M KCl concentration, the zeta
potential is nominally the same but slurry agglomeration behavior is quite different at
the three values of pH; Consideration of transport-limited rate cases is also required.
4.2.3
Orthokinetic Uniform Shear Model Assumption
In Equation 4.13 we have addressed the short range contributions of the composition
of the abrasive particles, their solid-liquid interface (diffuse double layer) in a specific
background electrolyte, and the interaction potential within a separation distance
between the two particles. Now, to address much longer range effects, we will revisit
the generic Smoluchowski equation, Equation 4.1, turning our focus from the chemical
limitations) of
(reaction rate limitations) to the mechanical drivers (transport rate
instability.
The second order rate constant, k, typically attributes collisions to one of three
mechanisms: perikinetic aggregation (Brownian motion), orthokinetic aggregation
(shear), or differential sedimentation (settling). In the case of in-situ CMP modeling,
we focus primarily on orthokinetic aggregation, or shear, as shown in Figure 4-7, but
we also realize that much of the slurry's lifetime is spent in storage as well as in bulk
118
chemical delivery (BCD) systems to the tool where lower shear conditions, differential
sedimentation and/or settling occurs. This dichotomy will be addressed in the thesis
further but, for the purpose of the model we will focus on the orthokinetic uniform
shear assumption to calculate our transport rate constant, k.
Z
ajI
aI+ aj
G du/dz
Figure 4-7: A "model for orthokinetic collision of spheres in a uniform shear field
from Gregory et al. [6]. The particles are on streamlines which are separated by a
distance equal to the collision radius, ai + a3 ." The model use case shown in the
figure is that of "uniform laminar" shear flow which is a normalized assumption for
both existing experimental shear studies which use pumps that apply non-uniform
shear via pumping systems, as well as CMP shear studies which have high shear cases
which may, albeit briefly, enter into the turbulent shear regime.
As shown in Figure 4-7, and applied in Figure 4-8 below for the use case of CMP
abrasive particle collisions in-situ, the orthokinetic aggregation, or shear, model makes
a few guiding assumptions in order to calculate k. The model assumes the following:
1) Uniform, laminar shear;
2) Ideal, spherical particles: a fixed sphere of radius a3 and flowing sphere of radius aj;
3) Linear flow.
Thus, the increased frequency of collision is calculated by considering the flux of
the concentration of flowing particles through a theoretical cylinder of radius, ai + aj,
as shown in Figure 4-8, the axis of which passes through the fixed central particle J,
119
....
.......
...
...............
..
.... ...
....................
....
.
..........
..
z
000
-------- ----- Uo ------- 7
.
0-0ai
0
0
0
0 CIO
0
00 0
(D
at + a1
0
0
#0
- 0
ni
ni
C
G
-
0
du/dz
0C)o
Figure 4-8: Our use of the orthokinetic model of collision (shown in Figure 4-7)
based on the fundamental assumption of the classic Smoluchowski equation which
states that "aggregation is a second-order rate process, in which the rate of collision
is proportional to the product of concentrations of two colliding species" [6]. Our
system dictates that the collision mechanism is that of high shear, at a shear rate G.
involved in the collision expressed in Equation 4.14,
4
f
J =4GnI z} (Ry
-
z 2 )dz = -GniRM.
(4.14)
0
where RIj is the collision radius and G is the velocity gradient or shear rate. Therefore,
it is seen that the total number of particle collisions that occurs between i and j
particles per unit volume and unit time is
J4j = gnin3 G(ai+ aj) 3
(4.15)
making our transport rate constant for orthokinetic, or shear-induced agglomeration
to be
120
kij = -G(aj + a) 3
(
(4.16)
3
However, approximation of radii for clusters of particles ai and a3 is a model
assumption similarly made in dynamic light scattering measurements that considers
multiple primary particles forming one agglomerate as a spherically approximated
new particle.
We make this assumption as well, noting that it can approximate
multiple different possible multi-particle configurations, as shown in Figure 4-9.
z
UON
so
00
0
4+
0
-----------
ig = inj\inG(aj
nk
+ aj)3
(
10 *
71i
-----0
--0
ni
r-LJij = 1 ninj G(-"- + aj)3
000
0 00
3
Jij = 1njnjG(ji + a1 )
IG
.nk
= du/dz
Figure 4-9: Examples of possible combinatorial cluster arrangements versus particle
sizes. The dashed lines illustrate sphericity approximations made both in the theoretical model and physical toolsets, for large agglomerates composed of multiple primary
particles to a spherical particle of an equivalent radius [25].
Furthermore, in our systematic study we choose to use pumping as our mechanism
of introducing comparable shear G to that of CMP, since it remains the only valid
121
mechanism with the capacity for generating significantly sized samples that can be
measured in repeatable time steps to match with model results that does not introduce
additional nanoparticle debris. However, we explore linear vs. non-linear shear effects
on model accuracy of the rate of shear-induced agglomerate growth as well.
Non-linear Shear Application
Our orthokinetic shear assumption considers the
This is a simplified
case of uniform laminar shear, with a constant shear rate G.
primary treatment of an actual shear environment, which in our specific case refers to
the less than 1pim cavity between the wafer and pad. The assumption is sufficient for
CMP in-situ; however, in our experimental shear pumping loop, the slurry is being
distributed in a relatively low shear environment (10', 1
-
10 4 s- 1 ) for the majority
of the experiment, spending only a small fraction (t') of the turnover time in the
pump's orifice which creates a high shear environment (y, 104
to CMP, as previously discussed in Chapter 3.
-
106s-1) analogous
Thus, previous published research
and our initial work also used the orthokinetic shear assumption: they take the high
shear value and model over the equivalent CMP time to constitute the total uniform
shear time, thus requiring extended experimental time spans. This is despite the fact
that, as shown in Figure 4-10, the slurry spends the majority of its time in low shear
conditions in HVM slurry handling, where the slurry is pumped through lengthy bulk
chemical distribution (BCD) piping prior to entering the tool for use.
One exercise we found useful was to model both cases: simple uniform high shear
represented by the black dotted line in Figure 4-10, and low shear with discrete
pulses of high shear at regular intervals of duration 0.01s. Ultimately, we found that
outside of using an equivalent constant shear
-Yq
for the two shear cases, there is no
significant difference in using either modeling approach for the high to low shear cases
as typically the equivalent shear is closer in magnitude to the low shear case if we use
Equation 4.17, thus making the linear approximation suitable with the proper time
adjustment in the model calculation. This is also confirmed by the matching of our
122
experimentally observed and model predicted Dmx from Table 4.1.
*
Yeq
GA
At' + -At
A =A,
At' + At
(4.17)
L
C akcu I i:ed H,.2h
Shear Rat,
............. ........................ .........
'V.
At
Figure 4-10: Comparison of high (y) and low shear (y') rates as realistically presented
in our experimental pumping loop and pump orifice (thick gray line) with respect to
the uniform high shear rate (dotted black line) as modeled in the literature.
Thus in our integrated model simulations, G employs the value of the equivalent
constant shear, 'Yqe.
4.2.4
Siloxane Bonding (Chemical Kinetic Energy) Model
The classic Smoluchowski approach of having a second-order transport rate constant
and a first-order DVLO sticking coefficient W, or Fuchs stability ratio, confirms some
experimental data as shown in the top result of Figure 4-11.
This supports the
intuitive practice of pH adjusting slurries away from their isoelectric point, where
presumably they would have the least amount of surface charge (in agreement with
zeta potential magnitude measurements), and thus their interaction energy barrier
be the
(sum of attractive Van der Waals and repulsive electrostatic forces) would
123
I.5xIO
-o-pH 2+0.1M KC1
(b)
11+0.IM KC
-A-AS-ffteiVed shiy with 0, M KC
t.h10'
-,
-a-pH
90z10
EOIWO'
0x10
.
1
10
Size (iwa)
Piie
140
IA
120
Mc45
1S2
14
a-Ajuqnka
C10U
-40
u
20
0
2
6SA
10
12
14
pH
Figure 4-11: Silica CMP abrasive particle agglomerate studies: (Top: [9] Bottom: [7]).
These published results in the CMP literature (suggesting instability or agglomeration
near the IEP) and conflicting data in the CIS literature (suggesting stability and lack
of agglomeration near the IEP of 2.2) cause us to re-examine the design of experiments
of prior work.
lowest, allowing for the most instability.
However, there are also conflicting and
seemingly contradictory results in experiments using non-commercial, experimentally
formulated slurries (bottom result of Figure 4-11) that agree with our initial archetype
study results. These conflicting results are reconciled as follows: 1) use of commercial slurries with many additives whose composition and/or concentration are not
disclosed; 2) the use of atypical conditions to the CMP environment being mimicked,
i.e., non-uniform, low shear, smaller/larger primary particle sizes, etc.; and 3) use of
averaging metrology methods to characterize agglomerates.
It is well established that DVLO theory is able to accurately account for the re124
duction in collision efficiency and subsequent colloidal instability at or near the IEP
of most oxide abrasive particles (as shown in Figure 2-8), but not in the case of silica.
Historically, after DVLO theory was introduced to successfully model the "complex
phenomena involved in aggregative stability on the basis of forces of interaction between colloidal particles" and mono-dispersed silica sols were created as a prototype
for round robin validation, a large volume of CIS studies were performed from the
1950's onward. Findings of these empirical studies are shown in Figure 4-12, confirming to the CIS community that in fact silica-aqueous electrolyte colloid systems
exhibit complex, non-DVLO behavior, the only known oxide to do so [51, 52, 53, 54].
It is important to note that the seminal text on silica definitively states that "the
difference between silica and other oxides is emphasized by the fact that oxides like
TiO and A10 3 are flocculated by salts and pH in a manner that can be satisfactorily
explained by the DVLO theory when the zeta potential falls below 14mV [107]."
Moving forward, the majority of the 1960's and 1970's were spent attempting to
understand the molecular reactions at the silica surface, with no real advancements
toward a viable non-DVLO model. In the past two decades, however more mature
metrology and extensive experimentation have stimulated some proposed theoretical methods to modify DVLO and/or idealize a new theory specific to the known
properties of colloidal silica [107, 28, 120].
There now are options for reconciling what we see experimentally with a theoretical model. We find that we can add a chemical kinetic energy term to our modified
DVLO model explored in Section 4.2.2, either as an added kinetic energy term to
the DVLO summation or as an exponential term that will be a multiplicative rate
constant on the stability ratio, W. In order to inform this choice we appeal further to
the theory on silica-specific behavior. Since we are focused primarily on silica, we are
most concerned with the surface hydroxyl bonds, starting with a silica particle that
is not dehydrated or fully hydroxylated. If silica behaved similarly to other oxides,
125
STABLE
SOLS
ZERO
CHANG E
I
S102 DISSOLVES
NEGATIV4
DLVO
ZONE OF
INCREASING
STABILITY
/
Ui
I
HSIO
I-j
U)
SI0-
2
M
TY
RAPID
AGGREGA1
I
.
0
2
4
8
6
10
12
.
BLE
14
pH
Figure 4-12: Integration of CIS experimental results (thick solid lines) and a traditional DVLO model for colloidal oxide particles which shows the effect of pH/zeta
potential [28]. The shaded areas show where the approximate zones of DVLO stability/instability behavior should occur, indicated in the observed ranges of agglomeration behavior (metastable, rapid agglomeration, and particle growth).
the traditional DVLO assumption would be as follows:
SSi - OH2 e
Si - OH +4= Si - 0-
(4.18)
DVLO theory would semi-quantitatively predict the stability of the colloid based on
the magnitude of the total interaction energy barrier resulting from the summation
of attractive van der Waals forces and repulsive electrical double layer forces. Thus
following this intuition, one would expect to see increased colloidal instability, or
agglomeration, close to the isoelectric point of the oxide where presumably there
would be the least amount of repulsive surface charge, lowering the energy barrier
and allowing for rapid agglomeration [6]. However, silica-to-silica interfacial interaction behavior diverges as illustrated in Figure 4-13. First, the calculation of the
126
magnitude of attraction energy present being on the order of 101
-
102 times less
than with other common oxides. Second, we see that the stability of silica in the
presence of electrolytes behaves much like Figure 4-12 predicts, corresponding to the
Carmen-Iler theory which states that the stability of silica sols is related to the presence of electrical surface charges not the lack thereof. Additionally, in the case of
silica, agglomerates form due to the advent of interparticle acid-base bonds which are
subsequently transformed into siloxane bonds at pH 7 or higher. Accordingly in our
experimental results, in CIS literature results, and in the theoretical model, we find
that silica slurries are most stable around their IEP unlike other oxide slurries.
-SI&
Dissocktfon
& -SIH present
(acid-base bondformation)
increasingcharge & hydroxyls (dissodation
slktane bonding)
Relatively
&
Low charge
00e
MIN0
S
0
St~0..31
OS
0-
-ono
2
0
6
0
0-
~C
C
0-
90-
10.5*0*
-- 0
Deav ein Iions)
aa
bheap*oegtosps
Or bximes
Figure 4-13: Siloxane bonding condition illustration with corresponding SEM images
of our experimental silica slurry post shear application.
First, we consider modifying DVLO to more accurately account for Vatt with the
Israelachvili expression for the Hamaker constant AH, or A 13 , which is the result of
127
Vmx+kc
I
two distinct environments, static A8 t and dispersive Adip, adjusting both Equations
4.13 and 4.23 [107] as follows.
Typically, the potential attraction energy for two
identical spherical particles (medium 1) dispersed in an aqueous electrolyte (medium
3) is calculated using the following expression for Vatt:
Vatt
=
-A aia
6(a11 3+ a2)2
(4.19)
where according to the Israelachvili approximation A 1 3 is calculated as being close to
0.6510-
20
J via Equation 4.20 using the spectral properties of both the particle and
electrolyte.
2
A 13
= -kT
4
-
C1
+ 63.
+
(4.20)
16v/
Thus the attraction energy for silica is substantially smaller than in most other oxides;
for example, Vatt of SiO 2 is 35 times smaller than that of TiO 2 .
It is noted by
Dumont that proper calculation of the Hamaker constant of silica exposes the inherent
weakness of the attraction energy between two silica particles and enhancing the role
of short-range structural forces like those created by adsorption and surface chemical
bonding which scale the interaction energy barrier, thus the need to also extend the
traditional DVLO theory to include a chemical kinetic energy term [107].
Next, we can add to our DVLO model an additional term for chemical activation
energy due to bonding and adsorption beyond dissociation, which we will refer to as
kc, reflecting the ratio of SiO- to SiOH present on the particle surface at a given pH.
This term will modify our DVLO summation of the Vatt and Vrep which gives us the
total interaction energy VT, or Vmax in Equation 4.13, with an additional term to the
summation. However, since we bring Vmax from the surface chemistry model, k, will
be added to the value brought in from the previous model, making the third term in
the summation for VT when added to Equation 4.13 as shown below:
1
K,(ai + a )C
128
T(.1
4.2.5
Hydrodynamic Agglomerate Breakup Model
Finally, our slurry agglomeration model also hinges on the introduction of a breakup
condition of agglomerates formed in-situ, as illustrated in Figure 4-14. An inherent
condition of the Smoluchowski model is the permanence of aggregate formation, primary particles that collide and form larger particles which cannot be broken up. In
the case of silica under certain high shear conditions, this is a physically unrealistic
assumption as seen in much of the experimental data shown in Figure 4-15, as well
as under the theoretical understanding of pH-controlled siloxane bonds, as shown in
Figure 4-13.
One motivation for pursuing our systematic set of experiments was to be able to
observe this breakup phenomenon in actual CMP-like conditions, as shown in the
experimental example in Figure 4-15. Figure 4-14 is a qualitative confirmation of the
break up of silica agglomerates in the initial particle size distribution (t=0) because of
either shear-induced agglomerates formed during slurry handling, delivery to the tool
or in the early seconds of pump priming. This break up phenomenon is seen in Figure 4-15 as in that the larger particle sizes do not grow infinitely large, but converge
after a certain time under high shear oscillating around a maximum agglomerate size
we refer to as
Dmax,
causing particles formed larger than
Dmax
to immediately break
up. In addition, for long shear times, the PSD distribution for large particle sizes can
even shift to a smaller mean size. Torres et al. have found that one can qualitatively
predict the breakup condition of these silica abrasive agglomerates with respect to
size by comparing the "maximum hydrodynamic forces pulling the particles apart to
the Van der Waals forces that attract them to attach and/or remain attached to one
another" [93]. This is demonstrated in a simple comparative equation which we have
modified and incorporated into our model as a limit
3
13brp(RH,+ RH.-) 2 < Fatt
2
(4.22)
We substitute for the attractive Van der Waals force Fatt in Equation 4.22 with the
same calculation previously used in our modified DVLO derivation, and thus the full
129
.
.....................
..........
. ..
-.....
..
...
......
--_.....
.........
......
. . . . . . . . . . ...
. .. ......
. ...
z
4e
-
FATT.
ni
0
na
b
Figure 4-14: Schematic illustration, with allusion to the shear-induced agglomeration
counter case, of the breakup phenomenon that we believe occurs during CMP when
large silica abrasive particle agglomerates are form under high shear conditions and
almost immediately totally broken up.
limit expressed,
(RH, +
2
RHI) <
+
(4.23)
187rpvyJ2
where a is the primary particle size (nm), A is the Hamaker's constant (here we use
1
6
the Israelachvili est. value, 0.6510- 2 0 J), -y is the shear rate (104 - 10 s- ), 6 is the
reasonable separation distance of particles in the primary minimum (1nm) and y is
the viscosity of the aqueous electrolyte (10- 3 kg/m -s). We recreated the table shown
in the work of Talbot et al. for our modified A and given typical CMP abrasive sizes
as well as assumed shear rates during CMP in Table 4.1.
It is important to note that this model of breakup of agglomerates pairs nicely
with and is experimentally supported by our prolonged shear studies where we see
a constant D,,,, or plateau in the MPS, as modeled by the limit in Equation 4.23.
In our systematic study, agglomerate breakup is observed as tightly overlapping bell
curves around the average maximum particle size, as shown in Figure 4-15 from our
disc centrifuge measurements over long periods of shear application. In dynamic
light scattering (DLS) measurements from the CMP literature, a plateau in the mean
130
....
........
...................
Primary Particle Diameter (nm)
100
200
300
400
500
Maximum Agglomerate Diameter, Dmax (nm)
for Abrasives under Shear
106
105
104
75.9
239.9
758.7
107.3
339.3
1072.9
131.4
415.6
1314.1
151.7
479.84
1517.4
169.6
536.5
1696.5
Table 4.1: Model calculations of maximum agglomerate diameter, Dma, for abrasives
under shear with the Torres et al. approximation from Equation 4.23. The red
values represent where the estimated value of the diameter is smaller than that of the
primary particle diameter and thus the presence of immediate, total aggregate break
5 1
up. Moreover, the model suggests that at a shear rate of 10 s- or higher there is
immediate, total break up of particles, i.e., no agglomeration.
particle size is observed during shear application times comparable to that of CMP
run time. If we compare this experimentally observed Dmax, from primary particle
of size 220nm and secondary size of size 370nm, we can attribute the magnitude of
Dmax to the equivalent shear rate versus the high shear rate, which is on the order of
104s--1, yielding large particles on the order of l1pm in diameter. Thus for a model to
accurately predict slurry agglomeration, there must be an extension of Smoluchowski's
model to account for breakup that occurs during CMP as well as a proper evaluation
of the shear rate at all times.
This also underscores the importance of including
PSD in addition to the presence or count of large particle agglomerates (> 0.5pm or
an order of magnitude larger than that of the primary particle) in commonly used
removal rate equations like that of Luo and Dornfeld [2].
131
100
90
so
10
no-ArW
-Shmw
-shwAed
140
30
d125 mMA1 (1000tuotOwr)
INy (11920turn"osr
sheared 2 Ds(313*twovrs)
-shredo0eys(7600t
-
20
t0
0
0.2
OA
0.
0.9
12
1
V__r
WWO10
1.4
1t
o
2
waerms"n)
Figure 4-15: Disc centrifuge measurements of our prolonged systematic shear study
demonstrate a maximum agglomerate size, Dmax, is achieved for a slurry under shear.
It also implies through the oscillation of the Gaussian at longer time scales (Day 1-6),
that there break-up of the micron-size particles causing a shift in the peak MPS.
132
movrs)
shetrtd 60y Dro984W tm#erO
Model Results and Comparison
4.3
In our work we use a modular modeling approach to include parameters that can be
either physically measured or theoretically predicted. Our fully integrated particle
level model formulation is as follows:
dn
d
kI
1(a+
Vm x+kc\
v23
I
#k1 (
G(ai +a+j)
300
nin - nk E
ai+-k
43n
G(aj + ak)r]
k=3
i=1-
aj,a 3 <
(ai8 "y A,
(4.24)
Our primary contributions to the theoretical framework and modeling of chemicalabrasive interactions in oxide CMP slurries under high shear, primarily lie in model
components A and E, where we feed in a surface chemistry model that can account
for changes in ionic strength of the electrolyte and the subsequent effects of those
changes on surface potential/charge density, as well as through the integration of a
hydrodynamic break up limit for large abrasive agglomerates.
Model Component A: Surface Chemistry (Zeta Potential) Model
In model
component A of our model, we focus on a rigorous theoretical understanding and
calculation of the zeta potential and surface charge of the abrasive versus a manual
measurement, in order to characterize the surface charge distribution of slurries with
varying ionic constituents (i.e, the addition of KCl). As seen in Figures 4-16 and
4-17, we are able to replicate the trends fairly well, with the exception of the case of
the systematic study data in Figure 4-17 (b) when the zeta potential flips positive
at the critical concentration value. This small positive zeta potential serves as an
interesting experimental point of reference for future modeling work with high ionic
strength slurries, and likely relates to effects suggested by Bazant et al [58].
133
0
-
------- ------ --- ---- --- ----- - - --- = 0.O1M
-----0.02
-
--- -
I = 0.01M
=-=0.1M
I= 1.GM
-I = 10.0M
-0 .04
-0 .06
.
-
-
............
0)
0CU -0.08
0)4
-0.1
-0.12
r
-0.14
2
....... ........... .....................
.. . .
8
6
4
14
12
10
pH
Figure 4-16: Modeling results for component A, where the trend previously observed
in experimental data is reflected in the model, of zeta potential lowering in magnitude
as the concentration of KCl (I) is increased until at each pH the value is nominally
the same.
002
0"
MOM
- - -l=0.01M
-0.02
---
-
l=0.1M
----
-o o4r
--
0
-
-
I=01M
-1=0.IM
I
-------
-10
.0.02-
10.0
4104
-0.06
.. . . . . . .
C
a.
0
0
. .. . . .. .
.
-008
4) 08
-0.1
-012
-0,14
2
.012
4,14
4
6
8
pH
10
12
14
2
4
6
8
10
pH
(b)
(a)
Figure 4-17: Modeling results for component A (from Figure 4-16) in blue vs. data
from: (a) Archetype study measurements (50nm silica at 6 wt%); (b) Systematic
study measurements (220nm/340nm silica at 5 wt%) both in magenta with markers
and the corresponding line styles of their respective concentrations.
134
L
)
---- =
12
14
Model Components B - D: Statistical Particle Physics Model w/silica specific term
In this portion of the model results, we revisit the core statistical particle
physics model. Although there are two particular terms included here that are silicaspecific, those can be removed for the purposes of comparison, reducing the model to
the typical DVLO contribution for any other oxide abrasive particle type. In Figure
4-18 (b), we compare the shear, or orthokinetic, rate constant used in the model
to that of the other possible transport regimes, perikinetic (Brownian motion) and
differential settling (sedimentation), to determine if the larger particle agglomerates
that we are modeling would have rates dominated by shear transport mechanism.
7
From approximately 10
m and above, we see that orthokinetic rate constant values
are much larger and will dominate the transport of those particles.
atom rte wnsnts br drent Shtw
Comparison ofAggregation Rate Constants
Ruja"
10
-
o ason of Orthokinetic &a
-- orthokinetic
-
- -
Ws PrnrTexq
_difrentiaI
settling
10
E-0'
M
0
10
MP
-.
- - --
E
-------------
10
P
a)e dismeter (m}
10
10
Particle diam eter (m)
10
(b)
(a)
Figure 4-18: Modular modeling results for component C: (a) Orthokinetic shear relationships based on literature data [6], the archetype study and actual CMP shear
rates; (b) Comparison of model transport aggregation rate constant, k.
135
10-!
Model Component E: Hydrodynamic Break up Model
In component E of
our model, we consider the inevitable break up of large abrasive particle agglomerates
at an inflected time point during shear application, yielding a shear rate and size
dependent maximum particle size, Dmax. In order to properly determine this Dmax
for each pH batch, we use the aforementioned limit Equation 4.23 with our equivalent
shear assumption. Model predictions fit reasonably well with the trend and the time
point at which the maximum particle size is achieved; mean particle size predictions
have a margin of error of approximately +100nm.
This inflection time point could
potentially be more finely resolved, given more accurate experimental characterization
of surface level adsorption of the fully agglomerated particles.
Orthokinetic (Shear-Induced) Model: Mean Particle Size v.
Time
1200
1100
1000
I
0----
900
N
800
-
U
Model pH10.5
Model pH2
Data pH10.5
Dda pH2
/-B
4)
C
700
600
500
400
1
2
3
4
5
6
7
lime (days)
Figure 4-19: Modular modeling results for integrated model with component E addressing hydrodynamic break up. Systematic study experimental data from Figure
3-23 in comparison with our fully integrated particle level model is shown. The POR
pH (2) and alkaline pH 10.5 are shown as representative of the most and least stable
slurry batches, respectively.
136
Orthokinetic (Shear-Induced) Model: Mean Particle Size v. Time
1400
1200
-
a - model Glo
a - Model G.
---
1000
Data GM
- - - - -"--*-Data G h
-
- M
W
C,
800
S600
~
400
200
2
4
3
5
6
7
Time (days)
Figure 4-20: Modular modeling results for integrated model/model component E.
Systematic study experimental data from Figure 3-24's shear variation study in comparison with our fully integrated particle level model when a slurry batch of the same
chemical composition is sheared at low, middle and high shear rates for the same
extended time study.
137
138
Chapter 5
Implications to CMP Users and
Implementation Methodologies
This chapter will discuss the need for congruent characterization of the constituent
state of slurries when being supplied, distributed and immediately prior to pointof-use by high volume semiconductor manufacturers who use CMP extensively on
their production lines. CMP slurry instability, or abrasive particle agglomeration, is
a detrimental side effect of using CMP for planarization, because slurry instability
significantly lowers the cost effectiveness of semiconductor fabrication consumables,
the reliability of both FEOL and BEOL yield, as well as the ability to tightly control
process variation. Typically, larger semiconductor manufacturers have groups within
their production manufacturing organizations who are responsible for vetting and
buying consumables from suppliers.
These buyers may or may not be metrology
or material characterization experts, but they are able to reach out to each of the
process groups for their combined expertise in vetting new materials and solutions for
use based on an industry standard set of empirical metrics. The reported constituent
state metrics for CMP slurry are generally expressed as mean particle size (MPS),
pH/zeta potential, and particle composition.
These metrics are often reported by
suppliers with little regard for disclosing the accuracy of representation (i.e., margins
of error for wide mono-dispersions, bi-modal PSDs, nominal proprietary additives
(< 3wt%), agglomeration during handling, etc.)
139
[33].
The discrepancy between
reported and as-received slurry states oftentimes leads into the loss in ROI, as it is
costly to re-characterize, repeatedly refresh and run polishing tests on multiple slurry
batches to achieve expected yield and planarity.
Our secondary aim in this chapter is to suggest better ways characterize, test, develop and distribute, and use defect-free slurries in a most cost-effective way, for both
industrial and academic users with limited use of batch testing and more intelligent
design of experiments. As outlined by many seminal texts on CMP, the fact remains
that issues with CMP slurry abrasive particle growth begin at the moment of arrival
to the plant and continue to the platen [29, 103, 32, 14]. Bulk chemical distribution
(BCD), as shown in Figure 5-1, in most HVM fabrication facilities use peristaltic and
PDP pumps for distributing the slurry from massive 200L drums and 1000L totes to
the CMP tools for use, further deviating the as-received slurry from its initial state
and at times causing costly excursions. We will present how our theoretical model
and experimental methodology can be used to practically supplement approaches to
CMP slurry defect mitigation during both characterization and preparation for use,
in both small-scale research and HVM production-scale CMP.
140
FMMcW6
Pau""
. U
IAC si
C,
OR" I
DMI
PA
32.
V-"
ON TSK
P40
CO*Ws.oC Edmmds
iN, AN ute Mund
Figure 5-1: Generalized CMP slurry distribution system with metrology, assay control
and point-of-use filtration. The red boxes highlight current techniques employed to
maintain slurry stability during the life cycle of slurry from the point at which it
arrives from the consumable supplier to the point at which it enters the CMP tool for
use. The first box highlights the preventative use of propeller-like mechanical stirrers
used to agitate slurries while sitting in large supply drums and/or day tanks. The
second box highlights the palliative use of a microfilter to filter out the agglomerates
already formed during BCD in the fab. However, the figure is conservative in its
implementation of filtration, and the yellow boxes represent other possible locations
for filtration. Figure courtesy of BOC Edwards [32].
141
5.1
Implications to CMP Consumable Suppliers
and Semiconductor Manufacturers
Communication between consumable suppliers and semiconductor manufacturing buyers typically involves the use of a limited number of mutually accepted metrics (MPS,
pH, etc.) that speak to the reliability and quality of the consumable in-situ, in our case
colloidal oxide slurries used during CMP. However, material scientists and metrology
experts in the CMP industry continue to express concerns about the reporting of
slurry abrasive particle states, both dry and suspended, in formulated slurries using
the generic metrics of MPS and pH-zeta potential.
Each industrial supplier, just as each academic research group, tends to use unique
characterization techniques for the same metrics, as shown in Table 5.1, yielding conflicting and/or misleading results as described in Figure 5-2. Herein lies one large
issue in particular, as previously discussed in Figure 3-14: an MPS measurement
from a DLS tool in the case of a wide gaussian distribution or a bi-modal PSD is oftentimes a poor representation of the actual physical situation. In contrast, the use of
a weighted MPS from a disc centrifuge tool or a full PSD measurement that identifies
both primary particle concentrations and sparse large particle (> .5pm) concentrations is more meaningful in understanding the slurry propensity for instability. Most
frequently, in the case of investigating an excursion or defect-causing slurry as well
as in-line with the tool, Single Particle Optical Sizing (SPOS) is used to quantify the
presence and nature of a few large particles in CMP slurries through careful and sensitive individual measurement. The accuracy of SPOS will contradict the as-reported
size distribution of a slurry due to its excellent ability to single out and accurately
size trace quantities of large particles in the PSD, but SPOS requires a significant
amount of dilution in order to take the measurement and thus characterizes the slurry
based on a non-representative sample.
In addition to tool differences, conflicting metrics are also caused by the diverse
methods required in preparation for metrology, which can alter the measurement result, including agitation, dilution and sample size as alluded to in the SPOS example.
142
Summary of CMP Slurry Abrasive Particle Metrology Methods
Surface
Die/Wafer
Particle
LPC/SPOS/FFF, PSD/DLS,
Stability
Zeta potential, pH
(pre-CMP)
In-Situ
Defectivity
(post-CMP)
Zeta potential, pH
Zeta potential, pH,
Friction-force, DNMR
PSD/Disc Centrifuge,
Nanoindentation/AFM,
Turbidimeters,
Rheometry
SPOS
LPC/SPOS/FFF, PSD/DLS,
PSD/Disc Centrifuge
RMS/AFM, SEM,
Optical Scratch Count
Table 5.1: A survey of industry standard measurements and state of the art metrology
used to characterize the stability of CMP slurry abrasives and electrolyte as a colloid
at various stages of the slurry life cycle.
There are many experimental tactics to avoid shifts in the measurement data, or
the accidental agglomeration of certain preparatory techniques cited in the literature
including, but not limited to: use of pH adjusted water or supernatent for diluting
samples, continuous stirring/blending of slurries and filtering [125, 29].
The outcomes of our experimental work paired with literature evidence of discrepancy, support the assertion that metrics should be performed in a more holistic fashion
through multiple measurements (as demonstrated in Appendix A and B), which can
together form a more accurate, complete understanding of the entire slurry composition and subsequent behavior. There are obviously resource and time constraints
on both the consumer and supplier which prohibit extensive characterization of every
slurry that enters the fabrication facility or laboratory.
Thus we suggest a testing
methodology for incoming formulated and commercial as-received slurries that optimizes both time, consumable cost and metrics to build a DoE specific to the needs
of either the researcher or the process engineer.
5.2
Implications for CMP Engineers
One thing we advised the CMP engineers who we worked alongside during our systematic study is that slurry stability is a holistic process, not a singular measurement.
As they watched us perform extensive, lengthy disc centrifuge measurements, they
143
(a)
(b)
Figure 5-2: Impact of the improper use of MPS as a general characterization or metric of slurry stability. (a) Example of misleading monomodal distribution. Three
slurry batches with very similar reported MPS are shown, though their PSD have
significantly different widths which will result in different slurry agglomerate growth
and subsequent CMP performance/yield. (b) Example of misleading bi-modal distribution. In the bi-modal PSD, the red arrow shown is the reported MPS, but it does
not represent the extent of particle and agglomerate sizes, and could mislead CMP
engineers/production groups into using this slurry which has a significant number of
larger particles in the second modality [33].
took the analogous, quick DLS measurements for comparison, only to discover that
many of the excursion or defect-causing slurries do not have appreciable differences
in a Gaussian fitted MPS, and thus are not able to reliably predict defectivity. An
accurate, granular view of the entire PSD is not only interesting but necessary in
assessing the attributable risk that a slurry poses to a new process. Moreover, zeta
potential can describe the nature and magnitude of charges on the surface of a particle
144
under ideal conditions, but it cannot qualitatively give a relative nature of particles
in certain conditions (i.e., high ionic strength at different pH) in realistic process conditions. Thus, it has to be considered holistically and paired with more refined direct
macro-scale measurements such as PSD and turbidity that will magnify the nominal
changes seen in surface nano-scale measurements.
5.2.1
Consumable Metrology Challenges
Both our archetype and systematic studies, which were primarily to build physical
intuition for our slurry abrasive particle agglomeration model, also brought to light
useful recommendations to combat common metrology challenges for CMP engineers
and material technologists, when receiving, preparing and/or testing slurries for a
new process or for an existing process change as detailed in our proposed methodology. There are many metrology workarounds that CMP engineers can employ during
slurry preparation, formulation and distribution. Here we discuss a few challenges,
and their respective workarounds, from our own experimental data that will alter
both model efficacy and physical CMP performance if not addressed for the duration
of a slurry's pre-point-of-use life cycle.
First, we deal with initial slurry constituency characterization, which presents its own
set of challenges for those attempting to model slurry abrasive behavior as shown
in Figure 5-2 and 5-3.
Prior to shear application, or initial MPS/PSD measure-
ments, one would typically look to the supplier to provide an in-spec slurry with a
tight mono-dispersed particle distribution (in this case, as reported: 220nm primary
particle/370nm secondary particle size).
However, physical transport phenomena
like sedimentation are inevitable, especially when slurries are stored in specifically
non-cylindrically shaped containers which facilitate the settling of larger particles in
its corners, virtually untouched by the agitation of stirrers (magnetic or mechanical) typically used to break up agglomerates formed during prolonged storage.
In
Figure 5-3, we confirm that sedimentation alone can yield large (> 0.5pm), potentially defect-causing agglomerates in our most stable slurries. In our study, we split
the sedimented slurry into multiple smaller 5L, cylindrical carboys and carefully used
145
magnetic stirrers to breakup the agglomerates (approximately 5-10 minutes with temperature monitoring) to return the slurry closer to its advertised tight PSD for use in
our experimental runs.
Disc Centrifuge Results, .15wt% PL-20 + pH2 UPW, Sonication Sedimentation Study:
Relative Weight
100
- ----
so-9
------
70
-------- -
------------
-
---
I--------- ----
0
--- ------------ ---
_ _
- --- -------------
_ _
_
_
--------
-------------------------
---------- ---
-
_
_-- -------
----------
--
Sonlcaed 7.26.11
-Remseasured
8.421 (49 days on Shelf)
40
10
0
0.2
0.3
0A
0.6
0.5
ft
0.7
0.8
dgwnwr( mm)
Figure 5-3: Systematic study: Sedimentation experiment. We took our most stable slurry formulation (slurry with least agglomeration, tightest distribution prior to
shear application) and allowed it to sit in conventional, temperature-controlled storage carboys for the duration of the shear studies (9 days) with no active mixing,
blending or agitation. The batch was then measured again using both the disc centrifuge and DLS tools in pH-adjusted samples. We observe that large (> 0.5pm),
potentially defect-causing agglomerates are formed, even outside of the application of
high shear forces.
Beyond physical state changes prior to shear application or CMP, resolving the
aforementioned CMP slurry health metric measurements is the next hurdle in assessing an incoming slurry for modeling or use in a CMP process run. In our systematic
study, we observe the stable slurry at its initial, as-received distribution via disc centrifuge, DLS and SPOS measurements as shown in Figure 5-4. It is clear that viewing
the MPS as a metric of slurry stability could lead to conflicting results once a few
large particles are introduced into the slurry, as the mean shift is not indicative of the
agglomerated state of the slurry. Only through the use of a weighted mean or the relative weight percent, is the presence of some large, defect-causing particles apparent,
as it only takes a few of these particles to have a major effect on process variation.
Therefore in our systematic study, we perform disc centrifuge measurements for every
146
slurry batch at each respective time step. However, we realize that the time cost associated with this practice is not always advantageous, even for the level of accuracy
achieved in viewing both the majority primary and secondary particle PSD and the
sparse minority of large particles concurrently. Thus we suggest that our theoretical
model can be used in conjunction with more limited metrology to help identify the
conditions for the onset of LPCs, to engage quicker characterization and development.
DistcCenlolug Results, .15wt% PL-20 . p112 UPW Shsear Stud Relatve M~t
Arithmetic Mean of Particle Diameter (microns)
41.000000I0C0~,
0.ss
0
a.4
93
04
OA
010
0V
a
of9
low
M
3000
4000
M~
60D
7000
M0
0000
10000
(b)
(a)
I0
I
Am
W,
0w
M
(d)
(c)
Figure 5-4: Systematic Study: Characterization of MPS vs PSD vs Cumulative LPC.
A slurry may appear to be stable in MPS over the course of an extended experiment,
typically changing only t20nm even when there is obviously an advent of particles +200nm to the as-received or advertised primary particle size, typically rapid
growth to the secondary size that many suppliers provide. (a)/(b) MPS of 0.3535m
75
(c)Diluted MPS of 0.3589pm (median of 0.3560Mm) (d)Raw MPS of 0.35 bpm (median of 0.3548pm).
147
5.3
Implications for CMP Researchers
CMP researchers have the benefit of avoiding the distribution overhead that HVM
handling presents as detailed in the previous sections, as there is no need for a BCD,
large slurry pails/totes, nor the requirement to use commercial, proprietary slurries.
Rather, a simple 5 - 20L pail/carboy for each CMP tool run eliminates much of
the bulk sedimentation and slurry distribution pump shear-induced agglomerates of
unknown constituents. Researchers are tasked more with understanding CMP behavior versus industrial users who are focused on CMP slurry health and performance
for production. To this end, we have recommendations for CMP researchers to produce less conflicted results and comparable, continuous study at the particle-scale in
the following section as researchers typically do not have identical, state-of-the-art
metrology tools used in industry for characterization.
Our proposed framework towards CMP slurry stability characterization and modeling is not meant to replace pre-production polishing of un-patterned monitor wafers,
integrated in-situ (inline) monitoring or post-process defect mitigation statistical
methodologies. The latter approaches vary greatly and serve different purposes in the
overall goal of process control and resulting product yield [61]. Typically, monitor
wafer runs establish within wafer non-uniformity (WIWNU), integrated metrology
provides crucial endpoint detection, and process quality feedback in real-time and
post-process defectivity studies characterize excursions post-production.
The framework below focuses on CMP slurry health and stability preemptively
as well as in-situ, to either prevent issues found and/or address existing defectivity
and abrasive-related yield issues in current in-line metrology which dramatically effect CMP tool utilization and consumable cost effectiveness. Each CMP user group
(consumable suppliers, manufacturer material technologists, engineers, researchers)
can optimize the following: accessible measurement tools, desired depth/congruency
of result (e. g., round robin experiments, supplier-buyer specs), number of chemical additives, and time and resources allocated to CMP slurry health and stability
assessment.
148
5.3.1
Initial Measurements for As-Received Slurry
It is critical to highlight that prior to monitor wafer runs or initial product wafer
runs to verify WIWNU and/or MRR, slurries should all be characterized by their
full, non-Gaussian fitted PSD and not just their MPS or LPC, individually in order
to properly model and prevent excursions due to the sparse presence of large abrasive
agglomerates. There are obvious trade-offs amongst the potential methodologies used
to obtain this initial, full PSD measurement (as particle size ranges with respect to
available toolsets are described in Chapter 3, Figure 3-14).
Here we recommend
optimizing upfront for accuracy, absorbing the time cost of a lengthy disc centrifuge
or a paired DLS and LPC (via SPOS) measurement set for the advantage of a reliable
input, or initial, slurry distribution for future modeling, in-situ detection, and/or
micro-filtration design. (This also applies to initial measurements of experimentally
or on-site formulated slurries upon completion of formulation.)
5.3.2
Batch Slurry Testing Measurement
As shown in Figure 5-5, when using commercial or pre-formulated slurries, there is
usually a batch testing procedure where blanket or pattern wafers are polished in
batches for each test slurry, optically measured for WIWNU and/or MRR to make
a determination for use.
This procedure can be performed relatively quickly with
larger toolsets and optical measurement techniques, however it is costly in wafers
and shift time. We broach this in our framework to integrate the model in order to
attempt to quantify Dm,, and the inflection time point at which this large particle
will potentially be first created.
If each of the test slurries are measured prior to
just broadly running polishing experiments on each, we can optimize cost with PSD
measurements on all the slurries as opposed to entire monitor wafer lots for polishing
tests for each which can cut consumable preparation cost by at a minimum of one
third of the traditional cost. Additionally, formulated slurries also have polishing test
runs performed and that number can be reduced by about a quarter of the cost, as
formulations deemed defect-causing will be eliminated prior to costly and unnecessary
149
__ = ::_ __ -- -__
..-_.- ....
......................
.......
................
.......
..................
..........
-
-
I
.
_=
-
-
-
. .
..:,,-. _.- ...
.................
.....
. . ....
wafer polishing test runs as measurements cost only a small fraction of what monitor
wafers costs the fabrication facility.
formu lated slurry:
blend slurry
measure PSD
additives
(
mod.l PSD/LPC
XX< Di~t
model PSD/LPC
Dlimit?
>
pH
frormulation
model PSD/LPC
co.n
w/tgntca
-
ers
pH adjust + measure PSD
-
n ercial slurry:
W
Dinax <Dimt
Polishing Test Runs
___9
-s+model
PSD/LPC
-additive
concentrated?
test slurry batches
pH adjust + measure PS
stirr
NoW
dilution
*
.
:..
+
-..-
D..
fiLter*
Figure 5-5: Visual comparison of the research/experimental slurry selection process
to either: (1) formulate a slurry on-site, or (2) select a commercial slurry via batch
testing for use.
5.3.3
Point-of-use Measurement
In this framework, whether a slurry was formulated on-site or commercially prepared,
at the point-of-use, i.e., immediately prior to distribution into the CMP tool, in-line
technologies aimed at slurry agglomerate control can be used. One popular technology
is micro-filtration, similar to that used to capture agglomerates for the SEM images
shown in Chapter 3 [29, 103]. In many cases, micro-filtration is either too conservative
to catch abrasive agglomerates approaching the dangerous range of 0.5ptm, or too
stringent and likely to cause agglomeration during filtration by increasing the shear
experienced by the particles as they are being pumped into the tool. By having the
150
..
.
.
.
......
.
.........
..
...
< Duimd?
model predict Dmax and the respective PSD, not only can point-of-use filtration be
better designed to only address the trace amount of Dmax sized agglomerates, but
the model can also determine whether filtration is necessary at all. We would also
suggest small samples by check valve be taken at point-of-use for ease of post-run
assessment.
5.3.4
Post-Defect/Excursion Measurement
At the point that a debilitating defect or widespread excursion is found post-CMP to
potentially be a result of an instable slurry, we recommend a sequential systematic
study for formulated slurries to increase the efficacy of the model by reestablishing
the model parameters based on successive additive effects.
However, in the case of
a commercially supplied or prepared slurry, a fundamental, sequential study as used
in this thesis is not possible. In this case, then the point-of-use samples will provide
additional PSD data with which to use to refine the model to attempt to partially
validate the presence of a particular size and to assess to the stability of abrasives in
the slurry.
5.4
Summary: CMP (Community) Contributions
The model and experimental framework in this thesis features a holistic approach to
characterizing CMP slurry health and stability which is theoretically guided for empirical efficiency. In addition to providing an adaptive, cost-effective way to test and
develop slurries. The theoretical model predictions help to build an intelligent, efficient DoE both pre-production and in-situ/in-line towards better process control. Our
suggested experimental and measurement approaches reduce the number of experiments (especially monitor polishing runs), measurements and subsequent consumable
costs to introduce a stable slurry into production or round robin testing.
151
152
Chapter 6
Conclusion and Future Work
6.1
Conclusion
Our work seeks to be a catalyst for further exploration of CMP abrasive particle
agglomeration, including the theoretical understanding and predictability of slurry
stability in-situ, as well as towards more rigorous fundamental experiments. Our specific aim in embarking on particle-scale research has been to develop and contribute
to a more fundamental understanding of the mechanisms of planarization in CMP
which continue to elude the CMP community, but may have answers in decades of
work undertaken by the colloid and interface science (CIS) community. This thesis
has involved adapting a classic CIS statistical particle physics model, which provides
an initial framework for predicting the agglomeration behavior of colloidal particles
under shear, to the problem of the CMP abrasives suspended in an aqueous electrolyte
subjected to high shear forces in-situ. Work on silica-specific behavior in the aforementioned conditions has also informed model extensions to account for non-DVLO
behavior and large agglomerate breakup.
Silica colloids have broad application in
several process industries, as they are used in everything from semiconductor fabrication to wastewater treatment to thickening agents for manufacturing foods and
drug coatings. While the experiments and models included in this thesis contribute
to a strong, foundational understanding of the abrasive particle-chemical interactions
under shear which create defect-causing agglomerates in traditional CMP, and pro-
153
vide a foundation for the creation of non-traditional particle-based solutions for novel
planarization technologies. The ability to predict the formation as well as critical
maximum agglomerate size can also be applied to other applications where controlled
large agglomerate growth is a desired outcome.
The art of formulating, detecting, and mitigating the effects of defect-causing
abrasive slurries for CMP is well established as just that, art. Metrics commonly
used to delineate defect-causing versus defect-free slurries routinely include LPC (via
SPOS techniques), MPS and zeta potential-pH curves. This thesis shows that these
measurements are not appropriate metrics when used individually, at times are indistinguishable in the most catastrophic cases. Rather, a holistic set of measurements
and models is necessary to understand slurry abrasive particle agglomeration. The
systematic study undertaken in this work has contributed one of the first silica based
systematic experimental studies of slurry abrasive agglomeration. This work does not
use commercial slurries or proprietary additives, and thus gives the CMP community
a window through which to clearly examine and understand the demodulated effects
of pH, salts, surfactants and shear in two disparate size regimes. Furthermore, we
characterize our experimental slurry using both traditional DLS measurement and
the more detailed disc centrifuge measurement tool. Our disc centrifuge measurements further strengthen arguments against the use of MPS and zeta potential as
stand-alone stability metrics. In addition, our results are the first CMP formulated
slurry experimental results in agreement with the known chemical-abrasive particle
behavior specific to silica, but also have the ability to fully explain both the deviations in the CMP literatures and the similarities in the CIS literature, bridging the
gap between the two communities toward better understanding of CMP particle-scale
interactions.
Our modeling work pushes the theoretical arguments forward to a place of mature
integration of the typical colloidal agglomeration rate models with physical intuition.
Previous work focused on empirical models of certain relationships used for stability
metrics (zeta potential-pH) or fitting classic CIS rate equations (Smoluchowski's).
We have extended the classic framework by integrating first principle theoretical
154
models for the following: zeta potential-pH, hydrodynamic agglomerate break-up,
modified DVLO due to siloxane bonding, and bounding the RLA assumptions as well
as proposing methods for understanding non-linear shear application. Our proposed
model puts to use inputs that are both direct and non-direct physical measurements
which are already accepted in both research and industrial CMP environments. The
output of the model focuses on the particle size distribution, not the mean, as in its
entirety the PSD must be understood to identify agglomerates which that a significant
impact on die and wafer-scale model accuracy as well as impact yield. By avoiding
yield and performance degradation, which ultimately effects the cost of manufacturing
and subsequently the cost of all semiconductor products can continue to improve in
the future.
Ultimately, in CMP what is needed in order to understand the relationship between slurry health and yield is not simply more defectivity and yield studies, but
theoretical and experimental exercises for practical pre-validation of key drivers, relationships and models at a bench top as well as in HVM production.
Although
outside of the scope of this dissertation, there are many areas of research that could
be extended from this thesis both theoretically and empirically. Directions for future
work in theoretical extensions for the purposes of modeling are first discussed. Then,
further experimental work (some in parallel with theoretical work) that should be
undertaken is described.
6.2
Future Work: Theoretical Modeling and Extension of Model
In this work, we have made as few simplifying assumptions about the nature of CMP
slurry abrasive particles and slurry electrolyte behavior as possible. However, some
of the assumptions we have made in our model derivation open up the opportunity
for bodies of work on each specific assumption, as that assumption relates to particle behavior and eventual catastrophic agglomeration of the slurry in-situ. Although,
155
beyond the scope of this work, we have some preliminary understanding of how to create a theoretical framework that leverages our existing model to pursue the empirical
understanding and models for these effects on colloidal behavior.
6.2.1
Shape Dependent Agglomeration
The morphology of CMP slurry abrasives varies even within the same particle type
and electrolyte constituency. By convention spherically shaped colloidal silica is used
due to ease of formulation and stable use; however, typically cerium oxide, alumina
and irregularly shaped fumed silica are more flat or plate-like in structure. In Figure 61 below, one can observe that there are trade-offs physically to the morphological
differences in fumed versus colloidal abrasive particles. However, even some colloidal
Figure 6-1: Four images of silica particles, each created by different techniques (sol
gel, fumed, colloidal). The most common are the colloidal particles shown in subFigure D, for the uniformity of size, hardness, spherical nature and general stability
[28].
silica abrasives are believed to not be perfectly spherical but irregular or bumpy
156
on their surface.
There is some preliminary work in the CMP literature on the
effect of bumpy abrasive particles in MRR and polishing defectivity [34]. One could
describe superficial variances as bumpy, where there is one primary spherical particle
with smaller particles attached to its surface, as shown in Figure 6-2. An equivalent
diameter might suffice, much like our equivalent diameter for agglomerates where the
particle diameter is extrapolated and approximated into a sphere.
+
.
2d
+
FPS-P
+
Figure 6-2: Schematics of bumpy colloidal abrasives with respect to surface charge,
diameter, and force contact with the wafer surface and pad [34].
There has been little, if any theoretical work done on how to handle the unstable
behavior of fumed particles which are non-spherical, irregular, and easily broken into
jagged flat pieces. CMP polishing studies have shown that fumed abrasive particles
can cause more surface defects and lower MRR [66, 67], but with this non-spherical
shape one loses the ability to use equations governing the calculation of contact mechanics, surface charge/potential and orthokinetic shear rate to properly predict such
growth results via existing theoretical and semi-empirical models. Moreover, the majority of particle characterization metrology is comprised of indirect measurements
which generally assume spherically shaped particles (with the exception of tools with
non-sphericity detection factors like the disc centrifuge, which attempt to approximate irregular shapes as spherical) and would require some approximation for the
assessment of fumed silica PSD and MPS as well as zeta potential.
We can revisit our comprehensive agglomeration model in Figure 6-3, to consider
157
calculations where the theoretical basis is conditioned upon a general assumption of
a regular, spherical particle.
Extended SPP Model
Statistical Particle Physics Model
Eperi,
enGal
DatVales
/kParticle
Size
r.W , SadtPSD)
PSD)
Distribution
Sttcr
Modedncgiod
Figure 6-3: Our slurry abrasive particle model for agglomeration rate. There are
assumptions as to the shape and/or concentration of the abrasive particle(s), and
subsequent significant dependency on the slury abrasives being both spherical and
regular.
In model component C, we examine the physical equations which govern orthokinetic shear aggregation rates as illustrated in Figure 6-4. One major assumption in
particle contact via laminar shear forces is the use of parameter Ri, or the collision
radius which is calculated by summing the radii of the two colliding regular, spherical
particles. In the case of fumed silica as well as ceria and alumina, all which have irregular, non-spherical shapes, it is difficult not only to determine the collision radius
but to estimate the frequency of collisions, due to the approach distance varying for
each particle based on its orientation, in addition to its equivalent radius. As shown
in the illustration, there are many different configurations of irregular particles which
might be approximated by the same collision radius but that would have very different
behavior upon contact. Although agglomerates in certain orientations would measure
the same in size, in actuality the same particle in a different orientation would have
at as little as half or as much as double the surface area as another similarly sized
particlep. Any theoretical model addresing such irregular morphologies may need to
characterize irregular particles in terms of orientation as well as equivalent spherical
diameter (ai,0 ).
158
PS)Dintk
-
do
nil
ni~
lk]
G9 = dnindG(az+aL- 3
g00 0*
0W
I
1'2
00~
n.
0000.rI~
OQbao
01 0
In model component E, there is a significant amount of diameter dependence and
aspect ratio fit in determining the maximum hydrodynamic radius of an agglomerate,
using the balance between the hydrodynamic force required to pull two particles
apart and the attractive forces at play to draw them into contact upon collision.
The assumptions in the guiding equations are shown in Figure 6-5; these rest in
the base assumption that we have particles in streamlines that are composite in
nature (singlets, doublets, etc.). In the case of irregular, non-spherical particles, we
would likely have a randomized grouping of irregular particles, and we cannot easily
put theseinto a population density model or rate equation like Smoluchowski's that
assumes as much. Furthermore, there are nominal differences in particle motion and
transport due to the shape, size and fragility of fumed or irregularly shaped oxide
abrasives that must be explored physically and accounted for theoretically in future
work.
159
U3
z
Z'
-
'ILWj
FHT
FATT'.
Figure 6-5: Model component E, hydrodynamic agglomerate breakup model. Visual
representation of break up model issues including irregular affluents unaccounted
for in break-up models and re-agglomerate rates. Fumed silica and cerium oxide
abrasives are more fragile and easily irregularly broken in-situ under both high shear
and relatively low pressure.
6.2.2
Multi-particle (type) Agglomeration Modeling
An interesting extension of our model would involve the introduction of other nanoparticles into the slurry and model, in order to physically understand elusive artifacts
that effect CMP reliability and slurry consumable efficiency. Such particles might
represent worn pad debris, or by-products from the wafer surface. Alternatively, such
particles might be intentionally introduced to form novel mixed-abrasive slurries.
Questions that would be interesting to investigate include the following:
" Do non-colloidal CMP consumable nanoparticles become a constituent of larger
abrasive particle agglomerates?
" At what rate do the individual abrasive nanoparticles agglomerate in the presence of other non-agglomerated particles of a different compositions?
" Do multi-abrasive particle type agglomerates have the same ability and/or rate
of agglomeration once formed a do single-abrasive type agglomerates? Is this
efficiency dependent upon shape (fumed vs. colloidal) or composition?
" For non-abrasive particles, at what time point and concentration are they introduced into the slurry?
160
As we survey the questions that a multi-particle agglomeration model could answer,
it becomes more and more apparent that this model extension will necessitates further fundamental experiments to confirm the physical intuition involved in predicting
the various particle(s) present, their respective concentrations and their subsequent
interactions.
*F
a
1*
$Po09 9*
Oro
849 909 0;$ ,1 o0
09
"Pot
P9
p *9
wV99 P1VP3
surements of our time dependent particle size distribution, we've illustrated the complexity of introducing multiple particle types into our PSD calculation. The abrasive
particle composition and concentration grows in complexity over time from left (t = 0)
to right (t = T) as new particles are introduced to an initial mixed slurry abrasive
system.
6.2.3
Further Exploration of Non-Uniform Shear in-Situ
As illustrated in Figures 6-6 and 6-7 there is significant complexity in understanding the multi-particle, non-monodispersed system that CMP becomes as consumable
nanoparticle debris enter the slurry in-situ including but not limited to: pad asperities, wafer affluents, broken diamond disc fragments, etc. However, in research we
can contain this system by using shear-inducing applications other than the messy
CMP benchtop and production tools like rheometers, viscometers and pumps as discussed in Chapter 3. The issues with each of the aforementioned research tools is
the fact that each provide an imperfect shear application that is non-uniform and
at times turbulent. There are existing fluid flow and shear models to better predict
the non-linear, non-laminar shear behavior of the slurry in these environments which
would aid in parity of results between these tools and that of actual CMP.
161
**S
4 40 g
-
0-
*-...
ln
* ws9
Vair
---
or
.--
Figure 6-7: Non-monodispersed particle size distribution model.
6.3
Future Work: Experimental Design and Implementation
In the literature, experimentally, there are been very few focused slurry particle agglomeration studies, in industry or academia, that do not use commercial slurries
[38, 37] and that use shear forces the order of those generated during actual CMP, to
characterize the affinity of abrasive particles to agglomerate with one another.
It is important to note that it is only through the implementation of more systematic
and/or round robin studies will the CMP community be able to definitively build a
congruent understanding on CAI behavior. Much of what is currently in the literature
162
as discussed in Chapter 2, is simply not analogous data thus the conflicting results
and general confusion when it comes to particle and chemical level metrics remains.
There are studies where similar slurries are sheared but with tools of different orders
of magnitude of shear application, or studies of proprietary commercial slurries which
exhibit opposite effects of those seen in other experimentally formulated slurries, all
of which may have varying particles sizes, chemical additives and concentration differences. Thus, there is a need for a round robin study of non-proprietary, experimentally
formulated slurries of the same order of magnitude sized particles with streamlined
concentrations of additives and abrasives, as the various metrology techniques would
only serve to better understand the same initial sample slurry. If performed this work
will not only support our theoretical framework, but push forward an accepted CAI
model of behavior in slurry abrasives-slurry electrolytes within the CMP community
that is well-informed and consistently supported with experimental data for practical
use.
The remainder of this section details experimental investigations which could validate the significance of, or inform the physical behavior of, the model extensions
mentioned in Section 6.2.
6.3.1
Experimental DoE with Fumed Silica Abrasive Particles
In order to gain some physical intuition into the behavior of irregularly shaped silica
abrasive particles and their interaction with the slurry electrolyte and one another,
it would be an excellent follow-on study to adjust the wt% with respect to accepted
values for that of fumed silica and repeat the systematic study from Chapter 3. Initially, there will be significant discrepancies as many of the characterization metrology
tools are indirect measurements (i.e., zeta potential, particle size) that rely on first
principles which assume that the particles being measured are of a certain regular
shape (typically spherical or cylindrical). Accurate PSD will require careful calibration and/or supplemental optical measurements, like AFM or TEM, where the size
163
will be characterized a posteriori. There are currently no published studies on the
effects of fumed silica abrasive agglomeration with respect to slurry additives, pH and
shear. The majority of studies survey the defectivity (analysis of RMS and scratch defects) as opposed to more fundamental investigations into how the shape affects the
known physical phenomena observed in their spherical counterparts.
For example,
the differences in surface charge modulation when chemical additives are introduced,
or the amount of potential required to break up these non-spherical particles upon
collision in a shear environment, are interesting questions for further study.
6.3.2
Experimental DoE with Ceria or Mixed Abrasive Slurries
Our experimental work focused strictly on monolithic, uniform silica slurries; however,
it is standard convention in BEOL metal polishing to use abrasive slurries consisting
of alumina or ceria, or in some cases, mixed systems of silica with these abrasives.Some
exploratory work has been performed on mixed abrasive compositions as a byproduct
of chemical characterization for copper slurries in the presence of Alumina [861 as well
as for understanding the role of Aluminum contaminants on oxide films [125, 60]. In
addition to exploratory work on mixed abrasive slurries with alumina, ceria, and silica
coated abrasives for oxide polishing MRR and planarization improvement [62, 63].
There is a significant lack of understanding in the CMP community as it pertains to
agglomerate composition when there are multiple CMP slurry abrasive particle types.
Thus, another DoE approach would be required as shown in Figure 6-8 which would
demodulate the effects of the changes in each particle's volumetric concentration,
to those of the introduction of the other abrasive particle composition/type to the
corresponding measurements done in our systematic study.
Notwithstanding the substantial modeling effort required, as mentioned in the
previous section, particle-scale understanding of mixed abrasive slurries has an even
more compelling research agenda. Beyond attempts to understand interactions taking
place between various abrasive particle types with respect to the slurry electrolyte
164
m
I
aw
Sluny Caiboy
Chct Van ---
t- -
--
- -
-
U
--
-
P I
I
--
Rhtne
Abrasives
DI
Ate
-a cte
abrasive type
a
+
aying
KOHjHVO3
Cailzg jHl
site concentrationai
pH
KCI
rarying I;
jait vncentration
additives
+ TM AFH/SDS
,Uinq
fatan&s
+ Shear
Ivaoying sha.aptiecation
inwgnitude!
shear
Tunable
Parameters
Figure 6-8: Extended design of experiments for mixed abrasive slurries, as an extension of the systematic study shown in Figure 3-1.
chemistry during conventional CMP, such experiments and models might lay the
foundations of a particle-scale understanding of non-conventional planarization technologies like "Pad-in-a-bottle (PiB)" CMP [42, 47].
165
6.3.3
Experimental Round Robin with Various Shear-Inducing
Apparatus
In Chapter 3, we surveyed various apparatus for applying shear to our sample slurries
during our systematic study.
As previously explained, rheometry and viscometry
provide linear shear at high shear rates in one tool, but have very small allowable
sample sizes. Pumping provides high shear for large sample sizes, but requires external
setup for the pumping loop which results in a non-linear shear environment where
the desired level of shear is experienced for a fraction of the turnover time. If a model
slurry could be evaluated using the various different shear apparatus, one could verify
these effects of non-linear shear. This would not only help in model validation for the
pumping experiments, but if successful, the study could also provide a framework for
a model of slurry distribution global loop shear variation, as these loops use many
of the same apparatuses in order to move the slurry from storage to day tanks to
platen, as shown in Figure 5-1. The disc centrifuge, despite its unparalleled accuracy
in the widest size range, is rarely used for particle size characterization due to the
disproportionate amount of time necessary to prepare samples. However, in a round
robin test, it would be essential to completely understand every aspect of the PSD,
not just the MPS, so we would recommend its use in a study of this magnitude.
6.3.4
Experimental DoE with Significant Slurry Additive Chemical Groups
In this work, we considered only a limited range of slurry additives. The additives in
our systematic study are salts and surfactants typical of those used for STI or oxide
slurries as shown in our preliminary results in Appendix B, Figures B-1 and B-2.
In metal polishing, slurry additives play an even larger role, and there is much work
to be explored here with simple, experimentally formulated slurries. The groundwork
necessary for understanding the effects of oxide abrasive slurries in the presence of
various chemical additive groups for copper polishing is well underway, with work
by Talbot et al.
[37, 78, 82] , Babu et al.
166
[7, 64, 65], Doyle et al.
[68, 69], and
others. These and future studies, we hope, will consider the effects of slurry particle
agglomeration in particular, and benefit from the contributions of this thesis.
167
168
Appendix A
Archetype Study: Supplemental
Plots
135
-- Mm @H*66)
-v- Man 0*66)
-A en(POR pM)
0
anMen(POR pH)
125
--
MPgvs.lb"
LPCs vs. Tie
90000DO
8000000_
78000000
Meai
(pH=9 1)
115
E 5000000
-a- LPCs 04H6.68)
4000000
-A-LPCs (POR pH)
~3000000
105
85
2000000
75
65
0
55
-10
0
1
2
4
3
Tkwe
5
8
7
8
45
0
(days)
1
2
3
4
5
6
7
8
(b)
(a)
Figure A-1: Archetype Study (6wt% 50nm silica at pH 3.69, shear rate of 47Ks- 1):
(a) LPC v.s shear time (days) for pH 6.66 and pH 3.69 taken via SPOS. (b) MPS vs.
shear time (days) for pH 3.69, 6.66 and 9.1 taken via DLS.
169
MnIMddlan PS mnd Zp vs
Time
PCS V& lIM
00
-1
70.00
500
-3
.A.
+3.3-piln
3
g ,OMMO
-2 OD
OD
>n.Sum
3,5000
2,000,000
3 4
0
6 9400
7
1
2
0
1
2
3
4
5
6
1
8
9
lmidyts)
woo
(a)
Median PS
-
0 mean PS
-SO
00
.0
6 5-Z
MeanhMeianm
0
1
2
3
4
PS
.nd Zp v
6
G
-1 -00
Tim.
7
(b)
6
Cwiaawm-
LPC
dkO
9
T%-M0
W*
-.
(c)
0
(d)
Figure A-2: Archetype Study (6wt% 50nm silica at pH 3.69, shear rate of 47Ks- 1 ):
(a) Mean and median particle size (nm) and zeta potential vs. shear time (days). (b)
Calculated LPC in particles/ml vs. time (days). (c) Mean and median particle size
(nm) and LPC vs. shear time (days). (d) Cumulative LPC in particles/ml vs. time
into shear experiment.
170
.. ..................
................
.
........
..
....
Appendix B
Systematic Study: Supplemental
Plots
M0
10
10
10
2
0
4
6
9
10
1?
-10
I.20
-0-1M.
-G- IMW AJ0MSS
50
-a-MKa#IMO
'30
-*-MW~mMMW
-.*-.IM Ka +.OMMMW
1.40
-
40
.50
-10
-
-10
.70i
p
(a)
(b)
Figure B-1: Preliminary results on the effects of anionic and cationic surfactants
in experimentally formulated silica slurry at pH 10.5: zeta potential vs. pH in the
presence of anionic and cationic surfactants. (a) 1M KCl; (b) 0.1M KCl.
171
.
..
........
.
.. .
.. ...........
......
I-
_- -- =..
-
:'
-
'
=
- - :
i :: ....
..........
.-
-
- -1
2
='
-
-
-
='-
-
-
-
IIII.- -- --. - -- - ---
-
1.2
10.8
s
0.6
-+-pH10.5
-0-
'osuWfctant
pHI10.5
W/factant
01
0
-
-
200
400
600
800
-
0.2
-
0
1000
1200
1400
1600
10
20
Tmh (MkM")
(a)
Figure B-2: MPS vs. Time (minutes) of the systematic slurry batch (pH 10.5, 1M
KCl) with and without 0.001M of an anionic surfactant.
wcc.phw.1sn~uuP~Urasei.RIensdvmw
to
I
-----------
- ----
--
-*d1~vpflNa..4
-'-ills--
-a-rn-
12
U
-
l U t UZ +12 IU UM%
I
a
(a)
DCMWV
u 101 A
yb+kU U%
a
e
(b)
W.
W
--
------------
-
---- ------ --
ur
I - ---------------- ----- - - - - - - - - - -- - - - - - - - - - -- - - - - - - -
- - --
- -
- -
-
-
--
- --
-
-a-----
- -
- -
- -
-
- -
-
(d)
(c)
Figure B-3: Low shear: (a) relative weight vs. particle size (microns), (b) MPS vs.
(microns), (d) MPS
time (minutes). High shear: (c) relative weight vs. particle size
vs. time (minutes).
172
.
...
.............
. ......
. . ....
.
. ............
-
- -
-
- -
. ......
....
....
W@hVwpQWjOWMMdhr"CI
DiK CentrftP WAN -1SWt% PL-20 + PH2 UPIK Shur Sk* WWn WeWd
-
...
. . .........
..
too
go
LM ----------------------------------
so
70
W
--------------
- -----------------------------------------------------------------------
so - -----------40
-- ------------------------------------------------------------------------------
-----------------------------------
----------- -- --- -- -- ---- -- -- ---- --- ------- ---------------------------------------------
30 --------------
--------------------- -- ------- -------------
OA
---------- ---------------------------------------
IA
01
03
OA
03
0.6
03
04
04
0
M
W
to
M
M
P ddok"".0M.W4
I
W
M
OW
W
i
(a)
ft COWNW ROOK -HWMPL-20 4 016 UPW AM Sh* kWW W*M
-- - -----
--------------
----------------
-----------
--------------------- -
----------MAW
----
- ---- -- - --
--- -----------
--------------- ------
-----
---
-- #A&WM-b"kOfW*
------- -- AMWVN
boom
-------
----------
---
-
i
-----
------- -------- ----
--- ---------------------- --- ------
----
----
- --------------
---------------------- -------------
-------
------------
-
I -,,.-,'--,, --------------
----
------
.- AMMEAMMMUNOWW"W
------
--------
-----------------------
----
----------
-
- ------------------------
---- --- ---
---- - -------
-----
------
-----------
------ - ----------- - --------
-
- - ---- --------------------
-
....
-
-----------------
M
---------- --- - --- -----
OA
0
017
a
#1
1
M
10
---
------------------- -- M
in
-
...
WX
I?
h"AbMWWMW4
(C)
----
W**WOMW*WM-OIft"
-
-. +- -1-
-- ------------ -------- -----
-------------
-------------- --
--- ----------------- - ---------
- -----
------------------ I -------------
----------------------
-------------------
----------- - ----------------------
------------------------
----------
--------------------------------------
------------------------------------------------------------------
---
20 -------
--
--
---------- ------ ----
-------- ------ ----
-----------------
---
------
--- ------- ----
-
--- -------------------
-*--MOW
--
-----------
-------------
-----
ON
-----------
IA
IS
(e)
Figure B-4: Relative weight vs. particle size (microns): (a) pH 2; (c) pH 6; (e) pH
10.5. MPS vs. time (minutes): (b) pH 2; (d) pH 6; (f) pH 10.5.
173
.
.....
.........
..
.....
......
....
....
Disc Centrifuge Results,.1Swt% PL-20 + pH10.5 UPW, Shear Study: Relative Weight
100
to
---
-
so
60
s
20
20
---- - --- - -- ----- ---
0
0.2
0
04
0.5
03
0.7
61
Oa
Figure B-5: Disc centrifuge measurements in early time-adjusted steps where breakup
and subsequent re-formation of agglomerates is observed. Each blue line represents
the relative weight of particles in the sample of the corresponding particle diameter.
The color gets progressively darker with the amount of time shear has been applied
(i.e.- the lightest blue represents pre-shear application(t=O) and the color gradually
gets darker chronologically, with the darkest blue representing (t=20 s)). As illustrated, from t=O to t=2.5 the weight of 0.43pm particles is reduced while the weight
of primary particles (0.35[pm) increases, but from t=2.5 to t=5 those same 0.43pm
particles increase while primary particles decrease.
174
...........
.........
. ......
..
..
(a)
(b)
(c)
(d)
(e)
(f)
Figure B-6: Systematic Study (5wt% 220nm silica at pH 2, shear rate of 47Ks~ 1 ):
additional SEM images of various size micro-filtered agglomerates.
175
(a)
(b)
(c)
(d)
(e)
(f)
Figure B-7: Systematic Study (5wt% 220nm silica at pH 10.5, shear rate of 47Ks- 1 ):
additional SEM images of various size micro-filtered agglomerates.
176
Bibliography
[1] Computer History Museum. (2013) American Experience: Silicon Valley. [On-
line]. Available: http://www.pbs.org/wgbh/americanexperience/films/silicon
[2] J. Luo and D. Dornfeld, Integrated Modeling of Chemical Mechanical Planarization for Sub-Micron IC Fabrication. Springer, 2004.
[3] Saint-Gobain Performance Plastics, "Meldin @Retaining Rings," SaintGobain,
http://pdf.directindustry.com/pdf/saint-gobain-performanceplastics/meldin-cmp-retaining-rings/ 17912-7108.html, Tech. Rep., 2015.
[4] M. Moinpour, A. Tregub, A. Oehler, and K.Cadien, "Advances in Characterization of CMP Consumables," Materials Research Society Bulletin, October
2002.
[5] Dow Electronic Materials, "Meldin @Retaining Rings," The Dow Chemical
Company, http://www.dowelectronicmaterials.com/products/semiconductors/cmp/,
Tech. Rep., 2015.
[6] M. Elimelech, X. Jia, R. Williams, and J. Gregory, ParticleDeposition and Aggregation: Measurement, Modelling and Simulation. Butterworth-Heinemann,
1998.
[7] S. Ramarajan, Y. Li, M. Hariharaputhiran, Y.-S. Her, and S. V. Babu, "Effect
of pH and Ionic Strength on Chemical Mechanical Polishing of Tantalum,"
Electrochemical and Solid-State Letters, vol. 3, no. 5, pp. 232-234, 2000.
[8] A. R. Mazaheri and G. Ahmadi, "A Model for Effect of Colloidal Forces on
Chemical Mechanical Polishing," Journal of the Electrochemical Society, vol.
150, no. 4, pp. G233-G239, 2002.
[9] F.-C. Chang, "Externally-Induced Agglomeration during Chemical Mechanical
Planarization of Metals and Dielectrics," Ph.D. Thesis, University of Florida,
Department of Materials Science and Engineering, December 2008.
[10] R. Singh and F.-C. Chang, "Method for Quantifying the Degree of Agglomeration in Highly Stable Chemical Mechanical Polishing Slurries," Electrochemical
and Solid-State Letters, vol. 12, no. 4, pp. H127-H130, 2009.
177
[11] J. Newman and K. Thomas-Alyea, Electrochemical Systems, 3rd ed.
John Wiley and Sons, 2004.
[12] R. J. Hunter, Zeta Potentialin Colloid Science.
Berkeley:
Academic Press, 1981.
[13] K. Estel, U. Kunzelmann, J. Bartha, E.-P. Mayer, and H. Barthel, "Influence
of Ionic Strength and pH-Value on the Silicon Dioxide Polishing Behavior of
Slurries based on Pure Silica Suspensions," in Proceedings of Materials Research
San Francisco, CA: Materials Research
Society Spring Meeting, no. 1249.
Society, 2010.
[14] R. Gutmann, S. P. Murarka, and J. M. Steigerwald, Chemical Planarizationof
Microelectronic Materials. Wiley Interscience, 1996.
[15] A. Kaller and M. Feinmech,
"-,"
u Optik, vol. 79(5), no. 135, 1962.
[16] W. Choi, U. Mahajan, S.-M. Lee, J. Abiade, and R. K. Singh, "Effect of Slurry
Ionic Salts at Dielectric Silica CMP," Journal of the Electrochemical Society,
vol. 151, no. 3, pp. G185-G189, 2009.
[17] W. Choi, S.-M. Lee, J. Abiade, and R. K. Singh, "Effects of Slurry Particles on
Silicon Dioxide CMP," Journal of the Electrochemical Society, vol. 151, no. 8,
pp. G512-G522, 2004.
Pump
(2010)
[18] Pump Fundamentals.
http://www.pumpfundamentals.com
Glossary.
[Online].
Available:
[19] M. Litchy, D. Grant, and R. Schoeb, CMP Users Group Meeting, San Francisco,
California, 2007.
[20] R. K. Singh, S. Tanawade, and C. F. Chang, "Effect of Pump Induced Particles
Affect Low-k CMP Defectivity," Semiconductor International,2008.
[21] G. Basim and B. M. Moudgil, "Effect of Soft Agglomerates on CMP Slurry
Performance," Journal of Colloid and Interface Science, vol. 256, pp. 137-142,
2002.
[22] Fuso Chemical Co., LTD, "Ultra High Purity Colloidal Silica," Fuso Chemical Co., LTD, http://www.fusokk.jp/eng/electronicmaterials/electronic.html,
Tech. Rep., 2010.
[23] A. Khanna, "Quantification of Particle Agglomeration During Chemical Mechanical Polishing of Metals and Dielectrics," Ph.D. Thesis, University of
Florida, Department of Materials Science and Engineering, December 2010.
[24] A. Brown, "Flat, cheap, and under control [electrochemical mechanical planarization]," Spectrum, IEEE, vol. 42, no. 1, pp. 40-45, Jan 2005.
178
[25] CPS Instruments, "CPS Disc Centrifuge Operator's Manual," CPS Instruments, Inc., http://www.cpsinstruments.com/linked/cpsmanual.pdf, Tech.
Rep., 2007.
Electroacoustic
"DT-300
[26] LMS Dispersion Technologies,
Instruments,
CPS
Characterization,"
Potential
Zeta
for
http://www.lmsscientific.com/products, Tech. Rep., 1995.
Device
Inc.,
[27] D. Boning, J. Johnson, G.-S. Kim, and K. Knutson, "Slurry Particle Model
for Chemical Mechanical Planarization (CMP)," in Proceedings of Materials
Research Society Spring Meeting. San Francisco, CA: Materials Research So-
ciety, 2010.
[28] R. Iler, The Chemistry of Silica.
John Wiley and Sons, 1979.
[29] Y. Li, Microelectronic Applications of Chemical Mechanical Planarization.
Hoboken, NJ: Wiley-Interscience, 2008, vol. 60.
[30] K. Knutson, G.-S. Kim, P. Safier, D. Boning, and J. Johnson, "Slurry Particle
Agglomeration Model for Chemical Mechanical Planarization (CMP)," in Proceedings of the InternationalConference on PlanarizationTechnologies. Seoul,
Korea: International Conference on Planarization Technologies, 2011.
[31] C. L. Berli, M. V. Piaggio, and J. A. Deiber, "Modeling the zeta potential of
silica capillaries in relation to the background electrolye composition," Elec-
trophoresis, vol. 24, no. 10, pp. 1587-1595, 2003.
[32] S. H. Li and R. 0. Miller, Chemical Mechanical Polishing in Silicon Processing.
San Diego: Academic Press, 2000, vol. 63.
[33] A. Tregub and A. Rawat, "Challenges of CMP Consumable Metrology," in Proceedings of the InternationalConference on PlanarizationTechnologies. Grenoble, France: International Conference on Planarization Technologies, 2012.
[34] A. R. Mazaheri and G. Ahmadi, "Modeling the Effect of Bumpy Abrasive Particles on Chemical Mechanical Polishing," Journal of the ElectrochemicalSociety,
vol. 149, no. 7, pp. G370-G375, 2002.
[35] W. Fan, "Advanced Modeling of Planarization Processes for Integrated Circuit
Fabrication," Ph.D. Thesis, Massachusetts Institute of Technology, Department
of Electrical Engineering and Computer Science, 2012.
[36] U. Mahajan, "Fundamental Studies of Silicon Dioxide Chemical Mechanical
Polishing," Ph.D. Thesis, University of Florida, Department of Materials Science and Engineering, 2000.
[37] R. Ihnfeldt, "Modeling of Copper CMP Using the Colloidal Behavior of an Alumina Slurry with Copper Nanoparticles," Ph.D. Thesis, University of California,
San Diego, Department of Chemical Engineering, December 2008.
179
[38] T. Gopal, "Colloidal Aspects of Chemical Mechanical Polishing (CMP)," Ph.D.
Thesis, University of California, San Diego, Department of Chemical Engineering, 2004.
[39] L. Borucki and Y. Sampurno, "Method for cmp using pad in a bottle,"
Nov. 17 2011, patent App. PCT/US2010/034,975. [Online]. Available:
https://www.google.com/patents/W02011142764A1?cl=en
[40] B. Roberts, Axus Technology, Lake Placid, NY, 2011.
[41] K. Cadien, Center for Advanced Materials Processing (CAMP), Lake Placid,
NY, 2014.
[42] L. Borucki, Y. Sampurno, and A. Philipossian, "Method and apparatus for
chemical-mechanical planarization," Dec. 22 2011, uS Patent App. 13/225,086.
2 182
[Online]. Available: http://www.google.com/patents/US2011031
[43] D. Truque, X. Xie, and D. Boning, "Fundamentals of Slurry Design for CMP of
Metal and Dielectric Materials," in Materials Research Society Bulletin. San
Francisco, CA: Materials Research Society, October 2002, pp. 752-760.
[44] R. Jairath, M. Desai, M. Stell, and R. Tolles, "Consumables for the Chemical
Mechanical Polishing (CMP) of Dielectrics and Conductors," in Proceedings
San Francisco, CA:
of Materials Research Society Spring Meeting, no. 337.
Materials Research Society, 1994.
[45] D. Truque, X. Xie, and D. Boning, "Wafer Level Modeling of ElectrochemicalMechanical Polishing (ECMP)," in Proceedings of Materials Research Society
Spring Meeting. San Francisco, CA: Materials Research Society, 2007.
[46] W. Fan, J. Johnson, and D. Boning, "Non-Ohmic Wafer Level Modeling of
Electrochemical-Mechanical Polishing (ECMP)," in Proceedings of the International Conference on Planarization Technologies. Phoenix, AZ: International
Conference on Planarization Technologies, 2010.
A
[47] W. Fan and J. Johnson and D. Boning, "Modeling of 'Pad-in-a-Bottle':
Proceedings
in
Beads,"
Polymer
Suspended
Using
Novel Planarization Process
of Materials Research Society Spring Meeting. San Francisco, CA: Materials
Research Society, 2013.
[48] F. Chang, S. Tanawade, and R. Singh, "How pump-induced particles affect
low-k cmp defectivity," Semiconductor International,2008.
[49] J. Lyklema, "On some trends in colloid stability," Croatica Chemica Acta,
vol. 50, no. 1-4, pp. 77-82, 1977.
[50] E. Matijevin, "Colloid stability and complex chemistry," Journal of Colloid and
Interface Science, vol. 43, no. 2, pp. 217-245, 1973.
180
[51] L. H. Allen and E. Matijevin , "Stability of colloidal silica. i. effect of simple
electrolytes," Journal of Colloid and Interface Science, vol. 31, no. 3, pp. 287296, 1969.
[52]
L. H. Allen and E. Matijevie , "Stability of colloidal silica. ii. ion exchange,"
Journal of Colloid and Interface Science, vol. 33, no. 3, pp. 420-429, 1970.
[53] L. H. Allen and E. Matijevie , "Stability of colloidal silica. iii. effect of hydrolyzable cations," Journal of Colloid and Interface Science, vol. 35, no. 1,
pp. 66-76, 1971.
[54] S. K. Milonjic, "A relation between the amounts of sorbed alkali cations and the
stability of colloidal silica," Colloids and Surfaces, vol. 63, pp. 113-119, 1992.
[55] M. Y. Lin, H. M. Lindsay, D. A. Weitz, R. C. Ball, R. Klein, and P. Meakin,
"Universality of fractal aggregates as probed by light scattering," Proceedings
of the Royal Society of London A: Mathematical, Physical and Engineering
Sciences, vol. 423, no. 1864, pp. 71-87, 1989.
[56] I. Langmuir, "The Role of Attractive and Repulsive Forces in the Formation
of Tactoids, Thixotropic Gels, Protein Crystals and Coacervates," Journal of
Chemical Physics, vol. 6, pp. 873-896, 1938.
[57] T. Goloub, L. Koopal, B. Bijsterbosch, and M. Sidorova, "Adsorption of
Cationic Surfactants on Silica. Surface Charge Effects," Langmuir, vol. 12,
no. 13, pp. 3188-3194, 1996.
[58] D. Deng, E. V. Dydek, J.-H. Han, S. Schlumpberger, A. M. and? B. Zaltzman,
and M. Z. Bazant, "Overlimiting Current and Shock Electrodialysis in Porous
Media," Langmuir, vol. 29, pp. 16 167-16 177, 2013.
[59] G. Parks, "The Isoelectric Points of Oxides, Solid Hydroxides, and Aqueous
Hydroxo Complex Systems," Chemical Reviews, vol. 65, pp. 177-198, 1965.
[60] Z. Lu, S.-H. Lee, V. Gorantla, S. Babu, and E. Matijevin, "Effects of Mixed
Abrasives in Chemical Mechanical Polishing of Oxide Films," Journal of Materials Research, vol. 18, no. 3, pp. 2323-2330, October 2003.
[61] T. Bibby and K. Holland, "Endpoint Detection for CMP," Journal of Electronic
Materials, vol. 27, no. 10, pp. 1073-1081, 1998.
[62] A. Jindal and S. Hegde and S. V. Babu, "Chemical Mechanical Polishing of Dielectric Films Using Mixed Abrasive Slurries," Journal of the Electrochemical
Society, vol. 150, no. 5, pp. G314 - G318, 2003.
[63] S.-H. Lee and Z.Lu and S.V. Babu and E. Matijevie, "Chemical mechanical
polishing of thermal oxide films using silica particles coated with ceria," Journal
of Materials Research, vol. 17, no. 10, pp. 2744 - 2749, 2002.
181
[64] S.-H. Lee and Z.Lu, S.V. Babu and E. Matijevie, "Role of the Functional
Groups of Complexing Agents in Copper Slurries," Journal of the Electrochemical Society, vol. 153, no. 7, pp. G650 - G659, 2006.
[65] J. Lu and J. E. Garland and C. M. Pettit and S. V. Babu and D. Roy, "Role
of the Functional Groups of Complexing Agents in Copper Slurries," Journal
of the Electrochemical Society, vol. 151, no. 10, pp. G717 - G722, 2004.
[66] G. B. Basim, J. J. Adler, U. Mahajan, R. K. Singh, and B. M. Moudgil, "Effect
of Particle Size of Chemical Mechanical Polishing Slurries for Enhanced Polishing with Minimal Defects," Journal of the Electrochemical Society, vol. 147,
no. 9, pp. 3523-3528, 2000.
[67] E. Remsen, S. Anjur, D. Boldridge, M. Kamiti, S. Li, T. Johns, C. Dowell,
J. Kasthurirangan, and P. Feeney, "Analysis of Large Particle Count in Fumed
Silica Slurries and Its Correlation with Scratch Defects Generated by CMP,"
Journal of the Electrochemical Society, vol. 153, no. 5, pp. G453-G461, 2006.
[68] S. Choi, S. Tripathi, D. Dornfeld, and F. Doyle, "Copper CMP Modeling: Millisecond Scale Adsorption Kinetics of BTA in Glycine-Containing Solutions at
pH 4," Journal of the Electrochemical Society, vol. 157, no. 12, pp. H1153H1159, 2010.
[69] S. Asku, L. Wang, and F. Doyle, "Effect of Hydrogen Peroxide of Oxidation of
Copper in CMP Slurries Containing Glycine," Journal of the Electrochemical
Society, vol. 150, no. 11, pp. G718-G723, 2003.
[70] G. Ahmadi and X. Xia, "A Model for Mechanical Wear and Abrasive Particle
Adhesion during the Chemcial Mechanical Polishing Process," Journal of the
Electrochemical Society, vol. 148, no. 3, pp. G99-G109, 2001.
[71] D. J. Stein, D. L. Hetherington, and J. L. Cecchi, "Investigation of the Kinetics fo Tungsten Chemical Mechanical Polishing in Potassium Iodate-Based
Slurries." Journal of the ElectrochemicalSociety, vol. 146, no. 5, pp. 1934-1938,
1999.
[72] W. Fan, D. Boning, L. Charns, H. Miyauchi, H. Tano, and S. Tsuji, "Study
on Stiffness and Conditioning Effects of CMP Pad Based on Physical Die-Level
CMP Model," Journal of the Electrochemical Society, vol. 157, no. 5, pp. H526H533, 2010.
[73] D. Thakurta, C. Borst, D. Schwendemen, R. Gutmann, and W. Gill, "ThreeDimensional Chemical Mechanical Planarization Slurry Flow Model Based on
Lubrication Theory," Journal of the Electrochemical Society, 2005.
[74] P. R. D. Veera, A. Natarajan, S. Hedge, and S. V. Babu, "Selective Polishing of
Polysilicon during Fabrication of Micromechanical Systems Devices," Journal
of the Electrochemical Society, vol. 156, no. 6, pp. H487-H494, 2009.
182
[75] M. Bastaninejad and G. Ahmadi, "Modeling the Effects of Abrasive Size Distribution, Adhesion, and Surface Plastic Deformation on Chemical-Mechanical
Polishing," Journal of the Electrochemical Society, vol. 152, no. 9, pp. G720G730, 2005.
[76] R. Biswas, Y. Han, P. Karra, P. Sherman, and A. Chandra, "Diffusion-Limited
Agglomeration and Defect Generation during Chemical Mechanical Planarization ," Journal of the Electrochemical Society, vol. 155, no. 8, pp. D534-D537,
2008.
[77] F. Chang, S. Tanawande, and R. K. Singh, "Effects of Stress-Induced Particle
Agglomeration on Defectivity during CMP of Low-k Dielectrics," Journal of the
Electrochemical Society, vol. 156, no. 1, pp. H39-H42, 2009.
[78] R. Ihnfeldt and J. B. Talbot, "Effects of CMP Slurry Chemistry on Agglomeration of Alumina and Copper Surface Hardness," Journal of the Electrochemical
Society, vol. 3, no. 41, pp. 21-30, 2007.
[79] T. Gopal and J. B. Talbot, "Effects of CMP Slurry Chemistry on the Zeta Potential of Alumina Abrasives," Journal of the Electrochemical Society, vol. 153,
no. 7, pp. G622-G625, 2006.
[80]
, "Use of Slurry Colloidal Behavior in Modeling of Material Removal Rates
for Copper CMP," Journal of the Electrochemical Society, vol. 154, no. 6, pp.
H507-H511, 2007.
[81] A. R. Mazaheri and G. Ahmadi, "A Model for Mechanical Wear and Abrasive
Particle Adhesion during the Chemical Mechanical Polishing Process," Journal
of the Electrochemical Society, vol. 148, no. 3, pp. G99-G109, 2001.
[82] M. C. C. N. Brahma and J. B. Talbot, "Effects of Copper CMP Slurry Chemistry on the Rate of Agglomeration of Alumina Particles," Journal of the Electrochemical Society, vol. 19, no. 7, pp. 25-30, 2009.
[83] D. A. Dornfeld and J. Luo, "Effects of Abrasive Size Distribution in Chemical
Mechanical Planarization: Modeling and Verification," IEEE Transactions on
Semiconductor Manufacturing, vol. 14, no. 12, pp. 469-476, 2001.
[84] S. Kim, N. S. Saka, and J.-H. Chun, "Scratching of Patterned Composite Surfaces by Pad Asperities in Chemical-Mechanical Polishing," ECS Transactions,
vol. 50, no. 39, pp. 35-49, 2013.
[85] J. Jing, Z. Ma, P. Li, C. Lu, P. Lin, J. Zhang, and X. Cai, "Study of Inhibition
effects on Copper CMP Slurry Performance," ECS Transactions, vol. 34, no. 1,
pp. 711-715, 2011.
[86] R. Ihnfeldt and J. B. Talbot, "Effects of CMP Slurry Chemistry on Agglomeration of Alumina and Copper Surface Hardness," ECS Transactions, 2006.
183
[87] L. Cook, "Chemical Processes in Glass Polishing." Journal of Non-Crystalline
Solids, vol. 120, pp. 152-171, 1990.
[88] A. Meled, Y. Sampurno, Y. Zhuang, and A. Philipossian, "Slurry-Induced Pad
Wear Rate in Chemical Mechanical Planarization," Electrochemical and SolidState Letters, vol. 13, no. 3, pp. H52-H54, 2010.
[89] T. G. M. van de Ven and S. G. Mason, "Effect of pH of Ionic and Strength
on Chemical Mechanical Polishing of Tantalum," Colloid and Polymer Science,
vol. 255, pp. 468-479, 1977.
[90] E. P. Honigg, J. Roeberson, and P. I.-I. Wierse, "Effect of Hydrodynamic Interaction on the Coagulation Rate of Hydrophobic Colloids," Journal of Colloid
and Interface Science, vol. 36, no. 1, 1971.
[91] T. W. Healy and L. R. White, "Ionizable Surface Group Models of Aqueaous
Interfaces," Advances in Colloid and Interface Science, vol. 9, pp. 303-345,
1978.
[92] E. Matijivid and S. Babu, "Colloid aspects of chemical-mechanical planarization," Journal of Colloid and Interface Science, vol. 320, pp. 219-237, 2008.
[93] F. Torres, W. Russel, and W. Schowalter, "Floc Structure and Growth Kinetics
for Rapid Shear Coagulation of Polystyrene Colloids," Journal of Colloid and
Interface Science, vol. 142, no. 2, pp. 554-574, 1991.
[94] G. Basim, I. Vakarelski, and B. M. Moudgil, "Role of interaction forces in
controlling the stability and polishing performance of CMP slurries," Journal
of Colloid and Interface Science, vol. 263, pp. 506-515, 2003.
[95] A. Watillon and J. Depasse, "The Stability of Amorphous Colloidal Silica,"
Journal of Colloid and Interface Science, vol. 33, no. 3, pp. 430-438, 1970.
[96] D. D. Kee, "Yield Stress of Complex Fluids: A Numerical Study for
a Concentric Cylinder Geometry with Slotted Rotor," American Chemical
Society, https://acswebcontent.acs.org/prfar/2010/reports/P10689.html, Tech.
Rep. AC9, 2010.
[97] PCI Magazine, "Physica MCR: The Modular Rheometer Series," Physica,
http://www.pcimag.com/ext/resources/PCI/Home/Files
/PDFs/VirtualSupplierBrochures/AntonPaar.pdf, Tech. Rep., 2010.
[98] ITRS, "Increasingly, planarization has become the enabling step for interconnect solutions," International Technology Roadmap for Semiconductors, Tech.
Rep., 2003.
[99] ITRS, "2008 Updates," International Technology Roadmap for Semiconductors,
Tech. Rep., 2008.
184
[100] ITRS, "2013 Updates," International Technology Roadmap for Semiconductors,
Tech. Rep., 2013.
Online
Revolution
(1996)
[101] Computer History Museum.
[Online].
Computing.
of
Years
2000
First
The
http://www.computerhistory.org/revolution/digital-logic/
Exhibit:
Available:
[102] A. S. Dukhin and P. Goetz, Ultrasoundfor Characterizing Colloids. Elsevier,
202.
[103] M. R. Oliver, Chemical Mechanical Planarizationof Semiconductor Materials,
ser. 69. Heidelberg: Springer-Verlag, 2004.
[104] E. J. W. Verwey and J. T. G. Overbeek, Theory of Lyphobic Colloids.
York: Dover Publications, Inc., 1999.
New
[105] G. Prentice, Electrochemical Engineering Principles. Englewood Cliffs: Prentice Hall, 10 Jan. 2001.
[106] A. Bard and L. Faulkner, Electrochemical Methods: Fundamentals and Applications. New York: John C. Wiley and Sons, 10 Jan. 1991.
[107] W. 0. Roberts and H. Bergna, ColloidalSilica: Fundamentals and Applications.
CRC Press, 2006.
[108] D. H. Everett, Basic Principles of Colloid Science. Royal Society of Chemistry,
1988.
[109] R. Hunter, Foundations of Colloid Science. Oxford Science Publications, 1987,
vol. 1.
[110] X. Xie, "Physical Understanding and Modeling of Chemical Mechanical Planarization in Dielectric Materials," Ph.D. Thesis, Massachusetts Institute of
Technology, Department of Physics, May 2007.
[111] D. Ouma, "Modeling of Chemical Mechanical Planarization for Dielectric Planarization," Ph.D. Thesis, Massachusetts Institute of Technology, Department
of Electrical Engineering and Computer Science, November 1998.
[112] H. Cai, "Modeling of Pattern Denpendencies in the Fabrication of Multilevel
Copper Metallization," Ph.D. Thesis, Massachusetts Institute of Technology,
Department of Material Science and Engineering, June 2007.
[113] T. Smith, "Device Independent Process Control of Dielectric Chemical Mechanical Polishing," Ph.D. Thesis, Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, June 1999.
185
[114] B. Stine, "A General Methodology for Assessing and Characterizing Variation
in Semiconductor Manufacturing," Ph.D. Thesis, Massachusetts Institute of
Technology, Department of Electrical Engineering and Computer Science, June
1997.
[115] B. Lee, "Modeling of CMP for STI," Ph.D. Thesis, Massachusetts Institute of
Technology, Department of Electrical Engineering and Computer Science, June
2000.
[116] T. Gbondo-Tugbawa, "Chip-Scale Modeling of Pattern Dependencies in Copper Chemical Mechanical Polishing Processes," Ph.D. Thesis, Massachusetts
Institute of Technology, Department of Electrical Engineering and Computer
Science, June 2002.
[117] T. Gan, "Modeling of CMP for STI," MIT Master of Engineering Thesis,, Massachusetts Institute of Technology, Department of Electrical Engineering and
Computer Science, June 2000.
[118] D. Truque, "Modeling of Planarization Technologies," MIT Master of Science
Thesis, Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, June 2007.
[119] J. Johnson, "Modeling of Advanced Integrated Circuit Planarization Processes: Electrochemical-Mechanical Planarization (eCMP), STI CMP using
Non-conventional Slurries," MIT Master of Science Thesis, Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, June 2009.
[120] P. C. Yates, "Stabilization of silica and other colloids by ion adsorption," in
Proc. ACS National Meeting. American Chemical Society, 1990, p. 235.
[121] S. Kondo, S. Tominaga, A. Namiki, K. Yamada, D. Abe, K. Fukaya, M. Shimada, and N. Kobayashi, "Novel electro-chemical mechanical planarization using carbon polishing pad to achieve robust ultra low-k/Cu integration," in Proc.
InternationalInterconnect Technology Conference. IEEE, 2005, pp. 203- 205.
[122] G. Park, Y. Oh, and C. Chung, "Planarization of Copper Layer for Damascene Interconnection by Electrochemical Polishing in Alkali-Based Solution,"
in Proc. of The InternationalSymposium on Copper Interconnects, Low-k Interlevel Dielectrics, and New Contact Metallurgies/Structures. Electrochemical
Society, January 2003.
[123] K. Smekalin, "ECMP: Novel Planarization Solution for 65nm and Below," in
International Semiconductor Technology Conference Proceedings on Semiconductor Technology. IEEE, 2005.
[124] D. Boning, J. Chung, D. Ouma, and R. Divecha, "Spatial Variation in Semiconductor Processes: Modeling for Control," in Electrochemical Society Meeting,
186
ser. Proceedings Process Control, Diagnostics, and Modeling in Semiconductor
Manufacturing II, no. 17, Electrochemical Society. Boston: Academic Press,
May 1997, pp. 133-139.
[125] N. DeNardis, H. Choi, M. Moinpour, and A. Oehler, "Investigating the Effects
of Diluting Solutions and Trace," in Proceedings of Materials Research Society
Spring Meeting, no. 867. San Francisco, CA: Materials Research Society, 2005.
[126] R. Jaraith, M. Desai, M. Stell, R. Tolles, and D. Scherber-Brewer, "Consumables for the Chemical Mechnical Polishing (CMP) of Dielectrics and Conductors," in Proceedings of Materials Research Society Spring Meeting, ser. 121, no.
337. San Francisco, CA: Materials Research Society, 2004.
[127] G. P. Muldowney, "Modeling CMP Transport and Kinetics at the Pad Groove
Scale," in Proceedings of Materials Research Society Spring Meeting. San Francisco, CA: Materials Research Society, 2004.
[128] T. Kwon, I. Kim, and J. Park, "Effects of Additives in KOH Based Electrolytes
on Cu ECMP," in Proceedings of Materials Research Society Spring Meeting.
San Francisco, CA: Materials Research Society, 2007.
[129] F. Liu, W. Hsu, A. Duboust, and L. Chen, "ECMP Enhances the Planarization Efficiency: a Phenomenon of Reverse Topography during Cu Removal," in
Proceedings in Materials Research Society Symposium, ser. 991. San Francisco,
CA: Materials Research Society, 2007.
[130] D. Boning, B. Lee, C. Oji, D. Ouma, T. Park, T. Smith, and T. Tugbawa,
"Pattern Dependent Modeling for CMP Optimization and Control," in Proceedings of Materials Research Society Spring Meeting. San Francisco, CA:
CMP Symposium, Apr. 1999.
[131] P. Burke, "Semi-Empirical Modeling of Si02 Chemical-Mechanical Polishing:
Planarization," in Proceedings of VMIC Conference. Santa-Clara, CA: VMIC
Conference, June 1991, p. 379384.
[132] D. Evans, "The Future of CMP," Materials Research Society Bulletin, Oct.
2002.
[133] D. Evans, "CMP Integration," Materials Research Society Tutorial, 2005.
[134] L. Chen, "Breakthrough Technology for CMP," Semiconductor Fabtech, 24th
Edition, pp. 137-141, Oct. 2005.
[135] F. Liu, L. Chen, A. Duboust, S. Tsai, A. Manens, Y. Wang, and W. Hsu, "High
Planarization Efficiency and Wide Process Window Using Electro-chemical Mechanical Planarization (Ecmp)," Materials Research Society Symposium Proceedings, vol. 867, pp. 137-141, Oct. 2005.
187
[136] D. Ouma, D. Boning, J. Chung, W. Easter, V. Saxena, S. Misra, and
A. Crevasse, "Characterization and Modeling of Oxide CMP Using Planarization Length and Pattern Density Concepts," IEEE Transactions on Semiconductor Manufacturing, vol. 15, no. 2, pp. 232-244, May 2002.
[137] I. Suni and B. Du, "Cu Planarization for ULSI Processing by Electrochemical
Methods: A Review," IEEE Transactions on Semiconductor Manufacturing,
vol. 18, pp. 341-349, August 2005.
[138] P. Goonetilleke, V. Babu, and D. Roy, "Voltage-Induced Material Removal for
Electrochemical Mechanical Planarization of Copper in Electrolytes Containing
NO 3 , Glycine, and H 2 0 2 ," Electrochemical and Solid-State Letters, vol. 8, pp.
G190-G193, June 2005.
[139] B. Stine, D. Ouma, R. Divecha, D. Boning, J. Chung, D. Hetherington, C. Harwood, 0. Nakagawa, and S. Oh, "Rapid Characterization and Modeling of Pattern-Dependent Variation in CMP,"
IEEE Transactions on Semiconductor Manufacturing, vol. 11, no. 1, pp. 129140, February 1998.
[140] J. Grillaert, M. Meuris, N. Heyley, K. Devriendt, E. Vrancken, and M. Heyns,
"Modelling Step Height Reduction and Local Removal Rates Based on PadSubstrate Interactions," CMP-MIC, pp. 79-86, February 1998.
[141] L. Economikos, X. Wang, X. Sakamoto, P. Ong, M. Naujok, R. Knarr, L. Chen,
Y. Moon, S. Neo, J. Salfelder, A. Duboust, A. Manens, W. Lu, S. Shrauti,
F. Liu, S. Tsai, and W. Swart, "Integrated Electrochemical Mechanical Planarization (Ecmp) for Future Generation Device Technology," CMP-MIC, pp.
233-235, February 2004.
[142] B. Stine, D. Ouma, R. Divecha, D. Boning, J. Chung, D. Hetherington, I. Ali,
G. Shinn, J. Clark, 0. Nakagawa, and S. Oh, "A Closed Form Analytic Model
for ILD Thickness Variation in CMP Processes," CMP-MIC, pp. 266-273,
February 1997.
[143] T. Smith, S. Fang, D. Boning, G. Shinn, and J. Stefani, "A CMP Model Combining Density and Time Dependencies," CMP-MIC, pp. 97-104, February
1999.
[144] W. Han, J. Yu, and Q. Wang, "Modeling the dynamics of Si wafer bonding
during annealing," Journal of Applied Physics, vol. 88, no. 7, pp. 4404-4407,
October 2000.
[145] S. Chimmili, D. Doraiswamy, and R. Gupta, "Shear-Induced Agglomeration
fo Particulate Suspensions," Industrial and Engineering Chemistry Research,
vol. 37, pp. 2073-2077, October 1998.
188