Lab Capability - Nanolab

advertisement
UCLA Nanoelectronics Research Facility (NRF)
www.nanolab.ucla.edu
Prof Rob Candler, Director
Steve Franz, NRF Manager
franz@nanolab.ucla.edu
Tel: 310-206-8923
The NRF is a recharge center within HSSEAS under the auspices of the UCLA
Electrical Engineering Department.
UCLA NRF CHARTER
NRF MEMBER PROFILE
• Establish an interdisciplinary Center of
Excellence for nanoscale fabrication among
UCLA researchers, other universities and
industry
• ~ 75 Faculty Users
• Graduate UCLA students with relevant
fabrication experience useful to industry and
further advanced research
• >250 Student users (primarily graduate
researchers)
• Share a common-use facility to reduce research
costs and foster intergroup cooperation
• Provide a professionally-managed facility which
keeps current with process trends and
equipment advances
• Support UCLA’s education and community
service mission
• 9 Departments including Bioengineering, Physics
Chemistry, EE, MAE, Material Science etc.
• Users from >20 Universities such as Cal Tech,
UC Riverside, UC Irvine and USC
• >45 industry users
• NRF supports 2 undergraduate fabrication courses
(MEMs and MOS processing classes)
• Undergraduates from its “NanoScholars” program
NRF OPERATIONS
• Lab online for over 20 years
• 7 Full Time Professional Engineers with over 100 years experience and a
full-time business analyst
• Hourly Lab Use Fee to cover general operating cost (see Lab Manager
for rate sheet)
• Additional hourly charge for use of more costly equipment eg
vacuum deposition equipment, SEM, furnaces, ICP etchers etc.
• Online written procedures, calendar, reservation system, training
lists, email, lab access etc. managed via custom software (LabRunner)
• Superusers and staff training system with 2 step certification for
complex equipment and training coordinator
• Lab available 7 days/week, 16 hours/day
• CNID-funded ($3M) equipment upgrades completed in ‘07
• Lab supports CNSI, FENA, DARPA NSF, WIN among others
NRF STATISTICS
• Annual revenue (2012):
$1.8 M
• Equipment assets:
$ 10 M
• Capital Expenditures/
Lab upgrades (2011-12)
$ 931K
• External income (2012): 39% of total
• Lab Usage (2012):
1990 hrs./mo.
145 users/mo.
• Student helpers supported:
10
Hrs/month
FY 95 FY 96 FY 97 FY 98 FY 99 FY 00 FY 01 FY 02 FY 03 FY 04 FY 05 FY 06 FY 07 FY 08 FY 09 FY 10 FY 11 FY 12
# Users/month
#Users/Mo
Hrs/mo
NRF FACILITY
• ~8000 sq. ft. class 1000 + 500 sq. ft. class 100 vertical flow clean room
• Vibration-isolated floor, independently suspended from building
• Yellow room lithography area for processing photosensitive materials
• High purity de-ionized water system (18MΩ-cm) on tap at each hood
• 7 facilitized hoods for safe wet chemical processing
• High purity (5 9
s) bulk nitrogen gas delivery system
• In-situ real time toxic gas monitoring system with remote toxic gas
bunker and state-of-the-art safety features
UCLA Nanoelectronics Research Facility
EST 1992
UNDERGRADUATE
TEACHING LAB
UNDERGRADUATE
TEACHING LAB
HYBRID
LAB
UNDERGRADUATE
TEACHING LAB
HYBRID
LAB
FURNACE CHASE
Oxidation
Badge
Entrance
MEASUREMENT
Unaxis SLR 770
DRIE
STS AOE
Etcher
LT
Storage
Hi Temp
Ox/Annl
III-V/METAL
Dry Etch
Matrix
Stripper
Porous Si
Etch
PARYLENE
COATER
Chem
CVC
SPUTTER
Bonder
LT
Spin
Coat
Develop
R/D Chem
Wet Etch
VEECO AFM
SOPRA
ELLIPS
WYCO
NANOSPEC
Dektaks
Chem
Logitech CDP
CMP
Prefurnace
Clean
LT
R/D
III-V RTA
Ulvac
Etcher
Filmtek 2000
S
4 Pt Probe
Fast Si
DRIE
HW
FE
FE
LAB OFFICE
14-131
Plating Hood
F
Si RTA
W
E
T
P
R
O
C
E
S
S
Wet Si Etch
Nanospec
Unaxis 790
PECVD STS PECVD
ES
Chem
ES
CHA
Evap
DENTON
SPUTTER
CHA
Evap
LT
P
ES
Oxford
RIE
TEGAL
Chem
Chem
Suss
Aligners
Chem
Inspect
NIL 6
ES
F
Technics
RIE
F
Bake
HW
M
E
T
A
L
D
E
P
FURNACE
PROCESSING
ICP
Deposition
P
M
E
T
A
L
D
E
P
ALD
Storage
P
XeF2
ETCH HF ACID HOOD
Fridge
Bake
Sloan Ebeam
Evaporator
S FE
Fridge
L
I
T
H
O
G
R
A
P
H
Y
Storage
CP Dryer
MASK
WRITER
P
Burn
Box
GOWNING ROOM
ResMap
4 pt probe
Staging
Inspection
SEM
LT P
Flexus
Prober
SEM
SPUTTER
LPCVD
Oxidation
Lab Main Entrance
18-132
Servers
Chem Chem Chem Chem Chem
Lab Director:
Lab Manager:
Engineers:
Admin Analyst
IT & Programming
Prof Rob Candler
Steve Franz
Noah Bodzin, Huynh Do, Max Ho,
Tom Lee, Wilson Lin, Hoc Ngo,
Joe Zendejas
Jamie Kahng
Mohammad Shahangian
www.nanolab.ucla.edu
8000 sq ft Class 1000 & 500 sq ft Class 100 clean space
18 Megohm DI water
99.999% pure gaseous nitrogen
7 fully facilitized fume hoods
5 ICP deep etchers Si, III-V, metals and oxides
3 Electron Beam Deposition and 2 sputtering systems
~2000 sq ft dedicated yellow room
2 4 stack LPCVD/Oxide furnaces with doping
3 PECVD systems for oxide, nitride & silicon deposition
1 ICP PECVD system for low temperature oxide & nitride Pieces to 4 inch wafer processing with 6 inch capability
2 Suss MA6 top and bottom aligners & 1 Obducat Imprinter
Hitachi S4700 Field Emission SEM with EDAX attachment
FEI Nova 600 Dual Beam FIB (located in Rm 1129, EV Bldg)
SUPPORTS:
>250 grad students
~ 75 faculty
9 Departments
>40 companies
OTHER FACTS:
Annual Budget~ $2M
$10M equipment
Main funding: • Recharge
REV 01/10/11
LEGEND
LT
Lab Log-in Terminal
PM5
Lapper
Chem
HSSEAS recharge center is open to UCLA faculty, other
University faculty and industry and is under the auspices
of the Electrical Engineering Dept
STAGING AREA &
CHEMICAL STORAGE
Chem Chem
Emergency Exit
Emergency Evacuation Button
Chem
ES
F
HW
P
S
FE
ES
LAB VITALS
Chemical Storage
Emergency Shower
First Aid
Hazardous Waste
Phone
Spill Kit
Fire Extinguisher
ELEVATORS
NRF IMAGING AT THE NANO-SCALE
Hitachi S4700 SEM with EDX probe
Features:
• Field Emission SEM.
• KEV from 0.5 up to 30 KEV.
• EDX elemental analysis. --------->
• 1 nm spec resolution.
• Load-locked for quick pump down
• Low current mode for reduced
sample charging
Metal stack EDX Spectrum
Nova 600
SEM / FIB
A state-of-the-art
scanning electron microscope,
focused ion beam
and nanofabrication tool.
•
•
•
•
•
•
•
•
•
•
•
1.1 nm SEM Resolution
10 nm FIB Resolution
Pt., W, and C Deposition
5-axis Stage with 150 mm Travel
Wafer Handling Up To 6”
TEM Sample Preparation
Cross-Sectional Imaging
Nanoscale Patterning
Basic Circuit Edits
Material Deposition
High Resolution SEM Imaging
Located in Rm 1129, EV
NRF THERMAL PROCESSING CAPABILITIES
• 2 Modular Process Rapid Thermal
Annealers with pyro or TC control –––>
• Temperatures to 1200°C
• Ramp rates to 200 °C/sec
• 2- 4 tube furnace banks:
• Dry & wet (steam) oxidation
• Phos. doped, undoped & low stress polysilicon,
• Standard & low stress silicon nitride
• Low Temp Oxide (Phos. doped & undoped)
• Sintering/Annealing with forming gas or N2
• High temperature oxidation/anneal to 1300 °C
NRF METAL EVAPORATION CAPABILITIES
• 2 CHA Mark 40, e-beam evaporators
•
•
•
•
Cryo-pumped, with 22, 4” wafer capacity and rotating lift-off
dome, substrate heat and 6 crucible pockets
Thermal deposition, and carousel planetary capability
Inficon rate control with Xtal thickness monitor.
Multiple films of up to 6 different materials can be deposited
sequentially in a single pump down
• Sloan 1800-electron-beam evaporator with
•
•
•
Substrate heat, and cryo-pumped chamber
Closed loop deposition control
4 crucible pockets
• Evaporated films include:
• Ti, Al, Au, Pt., Ni, Cr, Pd,
Al2O3, SiO2, Ta, NiFe, Cu,
Au-Ge and Zn
• Thicknesses 5 nm to 1 μm
NRF METAL SPUTTER CAPABILITIES
• Denton Discovery 4 target sputter system
•
•
•
•
•
•
2 RF and 2 DC targets (dielectrics as well as metals)
3 inch quick-change targets for economy and flexibility
High temperature heater for in-situ anneal
Reactive sputter capability for metal oxide or nitride formation
and stoichiometry control
Co-sputter capability for unique alloy formation
Substrate table capable of multiple size and shapes
• CVC 601 3 target sputter deposition with RF etch capability
• High throughput, 10, 4 inch wafers/run
Denton Films Include:
ITO, SiO2, Al, Cu, Cr,
Ti, Ni, Nb, SnO2, W, Ru
Al2O3, In2O3, Hf, TiO2,
Zr, ZrO2
Denton Discovery Sputterer
CVC 601 Sputter Deposition System
NRF DRY ETCH CAPABILITIES
• 3 ICP Silicon/Metal / III-V Deep Etchers:
• PlasmaTherm DSE2 fast silicon etcher
•
•
•
•
•
Si Etch rates to >8 μm/min
Selectivities to 100:1
Etch depth>200 μm with aspect ratio >20:1
Profile control >85° slope
Proprietary notch reduction (undercut)@ dielectric interface
• SLR 770 Bosch Si etcher using SF6 and C4F8
• SLR 770 Metal and III-V etcher using Cl2, BCl3 and CH4
• Si test structures etched
with DSE2
NRF DRY ETCH CAPABILITIES
• 2 ICP Dielectric Etchers:
•
Ulvac NLD 570 Oxide Etcher
• Etch rate to 0.3μm/min and
depth to 100μm
• Load-locked and turbo-pumped
with heated chamber for etch
repeatability
• Reduced heating for better
process control using B field
• Uses Ar and C3F8 for straight
sidewalls
• Etch substrates include glass,
quartz, silicon nitride
Ulvac Oxide Etcher
STS Advanced Oxide Etcher
• Etch rate to 1 μm/min and
STS AOE ICP Oxide Etcher
depths to 50 μm
• 2 RIE Etchers: Oxford 80+ and Technics RIE 800 using F
chemistry for Si oxide and nitride etching
• 2 Tegal barrel strippers and 1 Matrix 105 low damage asher
Ulvac-etched glass Microfluidic
Channel
•
Student loads Tegal stripper
NRF PLASMA DEPOSITION CAPABILITIES
• 3 Low Temperature PECVD Dielectric Deposition Tools
for depositing oxides and nitrides of Si:
• STS Multiplex PECVD Deposition load-locked system
• Stress control (eg SixNy < 5 MPa for low stress and
> 1.3GPa for high stress)
• Refractive index control (eg 1.9-2.2 for nitride)
• Phos doping from 0-4 wt%
• Films of oxide, nitride, oxynitride and -Si
• Deposition rate control from 10-500 Å/min
STS Multiplex PECVD • Deposition temperature from 200-400 °C
• Thicknesses from 100 Å to 10 μm with high conformality
• PlasmaTherm 790 manual load system
• Pieces to 4 inch wafers
• Oxide, nitride and oxynitride films
Unaxis 790 PECVD system
NRF PLASMA DEPOSITION CAPABILITIES
• BMR ICP Low Temperature PECVD Deposition
Substrates: pieces to 6 inch
High density films at < 50°C
High conformality, films of oxide, nitride &
oxynitride can now be deposited on polymers Low H2 concentration for device stability
σ on 6 in. wafer
Good thickness uniformity < 2.5%, 3σ
•
•
•
•
•
Wet Etch Rate (A/min)
* Nitride Etchant 6:1 NH4F:HF
PECVD @
200oC
1000
800
PECVD @
300oC
600
400
PECVD @
400oC
200
0
0
50
100
150
Chuck Temperature
200
NRF Lapping and Polishing Capability
Logitech CDP Polisher/de-planarizer
• Programmable polisher
• Can use alkaline or acid slurries
• Sub 100 nm removal uniformity
• Ra in the sub-nanometer range
• Control of a wide range of process
parameters including: plate temperature,
carrier speed and force, plate rotation,
slurry dispense rate
• In-situ pad conditioner
• Pieces up to 8 inch substrates
Logitech PM5 Lapper
• Used to thin dielectric, semiconductor and
metal substrates
• Samples can be thinned to tens of microns
with one micron accuracy
• Semiautomatic operation insures uniform
flatness with minimal scratching
NRF LITHOGRAPHY CAPABILITIES
Optical Lithography
Lithography
2 Suss MA 6 aligners • Top and bottom side alignment alignment
• Bond alignment
• Resolution to 0.8 microns
microns
Lithography Support Equipment
•
•
Programmable spin coaters, bake ovens and
programmable hot plates, solvent & acid wet
benches
Suss SB6 Bonder with anodic and thermocomp-
ression bond capability and substrate heating to 450°C
Nano-imprint Lithography
Lithography
Obducat NIL 6
6
• Substrates: pieces to 6 inch, hard or soft
soft
• Thermal cure with PMMA, MR I 7010 etc.
etc.
microns
• Alignment to about 3 microns
°C
• Temperature to 300 °C
bars
• Pressure 15 to 80 bars
• Resolution < 50 nm possible
possible
• Up to 160 step recipes for precise process control
control
Photomask Fabrication at the NRF
Heidelberg DWL 66 LaserWriter
Loading a mask plate
Inspecting the mask plate
Resolution Test Pattern
Low-cost, quick turn around mask writing system
Supports common CAD programs L-Edit, LinkCAD, AutoCad
Patterns 4 -7 inch, Cr/glass substrates Effective write grid: 40 nm
Minimal structure size: 1 μm
Active write area: 140 mm x 140 mm
Positional resolution: 10 nm
Exposure Wavelength: 442 nm
Supports gray-scale lithography
Data Conversion Formats: CIF, GDSII, Gerber, DXF
Integrated metrology and alignment system for multi-layer
exposures and metrology measurements System can scale and invert polarity of pattern file and can
combine files from different layout Exchangeable write heads for resolution/print area trade-off
Plates wet-developed and wet-etched using standard NRF wet
benches and chemical processes
Over 2500 photomasks made to date
ATOMIC LAYER DEPOSITION
Ultratech/Cambridge Nanotech - Savannah 100 •Materials: Al2O3, HfO2, AlxHfyO
• Substrate: Pieces to 4 inch
• High conformality • Highly scalable process
• High aspect ratio structure (50:1)
• Excellent uniformity across the wafer; < 1% • Deposition Temperature: 25 to 250°
• Monolayer film thickness control -6
1.6x10
As-Deposited
Annealed
HfO2~ 110 A
-6
1.4x10
0
10
-6
1.2x10
As-Deposited
Annealed
-1
10
-2
10
-6
1.0x10
-3
TEM Image of As-deposited HfO2
Al2O3 n~1.7
HfO2 n ~ 1.9
AlxHfyOz n ~ 1.6
0
20
40
60
80
100
120
# of cycles
140
160
180
200
2
Jg(A/cm )
10
2
RMS=1.2
RMS
S=1.2 Å
Å
C(F/cm )
Thickness(nm)
AFM Image of As-deposited HfO2
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2
0
-7
8.0x10
-7
6.0x10
-4
10
-5
10
-6
10
-7
10
-7
4.0x10
3.2 nm
nm
2.1 nm
nm
220
-8
10
-2
HfOxx
-1
0
1
2
Vg(V)
-7
2.0x10
Si
0.0
-2
-1
0
Vg(V)
1
2
Plasma Atomic Layer Deposition
Ultratech/Cambridge Nanotech – Fiji F200 •Substrate: Pieces to 8 inch (4 inch is the standard size)
• Load-lock with motorized transfer arm
• High conformality and highly scalable process
• Excellent uniformity across the wafer; < 1.5%
• Ultra high aspect ratio structure (up to 450:1)
• Deposition temperature: 25 to 500 °C
• Deposition films:
•Oxide: Al2O3, HfO2, La2O5, SiO2, TiO2, ZnO,
ZrO2, Ta2O5, SnO2, ITO, Fe2O3, Nb2O5
• Nitride: WN, Hf2N4, Zr3N4, AlN
• Metal: Ru, Pt., W, Ni, Fe, Co
•Plasma Mode:
• For difficult nitrides and metals
• Enables low temperature process
• Ability to control stoichiometry
• Plasma surface treatment
• Faster deposition rate than Thermal ALD
• Plasma Source: Ar, N2, O2, H2, NH3, CH4
NRF METROLOGY CAPABILITIES
• SCI Filmtek 2000 spectrophotometer for measuring thickness
ess
and refractive index of multiple films including SOI, porous
silicon and III-V heterostructures etc..
• Flexus 2320A film stress measuring system.
• Nanospec 210 spectrophotometer for measuring film thicknesses of known refractive index.
• M & M 6400 probe station with HP 4145 parametric analyzer
Filmtek Reflectance curve
for LPCVD nitride film
• Miscellaneous microscopes, video cameras etc.
• CDE ResMap 4 point probe for mapping sheet resistances.
• Dektak 6 and 8 surface profilometers with extended
vertical range and topology mapping capability (Dektak 8)
ResMap resistivity contours
NRF METROLOGY CAPABILITIES
• Veeco Dimension 3100 Atomic Force Microscope
NanoScope IV SPM Control Station supports all AFM /STM scanning
techniques. Up to 10X faster topographical scanning, plus phase imaging in air with Tapping
Mode. Includes Quadrex Extender Electronics and Q-Control for improved phase, sensitivity and
image contrast; high-speed DSP and SPM computer interface electronics; ten micro-actuated
probes (Model DMASP)
SPECS:
X-Y scan range: ~50 μm square
Z (vertical) range: ~8 μm
Vertical noise floor: <0.05 nm RMS
Non-linearity (X-Y) <1%
X-Y noise level:
<2 nm RMS, 6 nm peak-to-peak (closed-loop feedback)
Dimension CL, XY Closed-Loop SPM Scan Head
Integrated Vibration Isolation Table & Acoustic Enclosure
Dimension 3100 Scanning Probe Microscope performs all major AFM imaging techniques on
samples up to 200mm in diameter / 12mm thick. Stage resolution: 2μm; bidirectional (x-axis:
4μm, Y-axis: 6μm), video optics (zoom w/ 150-675μm viewing area), Nanolithography Software allows user to build custom lithography programs to control the
NanoScope and includes a lithography support note, a compiler, function libraries and sample
programs.
NRF OPTICAL METROLOGY
Sopra GES5 Spectroscopic Ellipsometer
• Spectral Range: 210 – 900 nm; upgradable for wider spectral range in
DUV, NIR & IR
• Spectrometer Accuracy .16 nm
• Double Prism & Grating for stray light rejection & more accurate signal
capture
• PMT Detection + Photon counting method: better SNR (signal-to-noise
ratio) eliminated need to converts signal with A/D converters & loss of
signal
• Photon Counting: SNR is constant over whole spectral range & does not
interfere with measurement signal
• Optical Fiber connects direct to spectrometer for reduced signal loss
• Microspot formation by lens focusing for measuring pattern samples as
small as 150 μm
• Goniometer: variable angle from 7°to 90°, variable ± 0.01°
• Typical Applications:
• High-k: SiO2, Si3N4, SiNx, HfO2, Ta2O5,
• Low-k: SiOC, SOG, Polymers…
• Metals & Interconnects: Al, Cu, Cr, Mo, W, Pt., TiN, TaN, WSix,
AlCu…
• Lithography: AR coatings, BARC, SiOxNy
• Semiconductors & Epi-layers: SOI, SiGe, Strained Si, SiC, Poly-Si,
a-Si, HSG, III-V: AsGa, InP, GaN…, II-VI: CdTe, ZnSe…
NRF Optical Metrology
Wyco NT 3300 Optical Profiler
•
•
•
•
•
•
•
•
•
•
Micro Connector
Used for 3-dimensional, non-contact, surface profile measurements
Vertical Measurement Range 0.1 nm to 1 mm;
Vertical Resolution < 1 Å Ra, RMS Repeatability 0.01 nm
Patterned films of 160 nm and up and thick films ≥ 3 μm
Lateral spatial sampling 0.08 to 13.1 μm, vertical scan speed up to 7.2
μm/sec;
Field-of-View 8.24 mm to 0.05 mm, reflectivity 1% to 100%
Uses optical phase-shifting and white light vertical scanning
interferometry
Objectives 5.0X, 20X, 50X with 0.5, 1 and 2X extended magnification
Uses a tungsten halogen lamp and automated filter selection
Automated stage; ± 50.8 mm (±2 in.) X/Y translation, ±90° rotation,
±6° tip/tilt
MEMS Heater
Simultaneous measurement of
X and Y profiles for contact holes
NRF Custom Processing Capability
Researcher loads parylene
coater prior to deposition
Automated custom XeF2 etcher
for isotropic Si etching
AMMT HF Vapor Etcher with electrostatic
chuck for MEMS etching of SiO2 films on
pieces to 6 inch substrates
AMMT Porous Silicon Etcher
NRF Custom Processing Capability
Critical point dryer for cleaning
delicate MEMs structures using
liquid CO2
Researcher loads high temperature
oxidation/anneal Furnace (1325 Deg C
Denton Desk II Sputter Coater
for SEM preparation of insulating
samples
Download