Extreme UV (EUV) Lithography - Electrical Engineering & Computer

advertisement
Bobby Schneider
5/12/2011
EE243 Term Paper
Extreme UV (EUV) Lithography
Section 1: Statement of problem and background
Statement of problem and introduction:
Extreme UV lithography is a next generation lithography technology using a far smaller
wavelength than that of deep UV technology for improved resolution. Unfortunately, EUV
lithography (EUVL) is currently not yet used in mass-production despite its apparent advantage
over 193 nm lithography due to a number of engineering challenges and costs, though this is
changing. EUVL is not yet considered ready for high volume manufacturing as of 2011. This
paper will report on the state of the art of EUVL and will provide a critical review of the
challenges facing EUVL and hope for the future.
Lithography basics:
Photolithography is the use of a light source and a photomask to selectively expose and define
features in photoresist. To define ever smaller feature-sizes (e.g. 10 μm, 1 μm, 100 nm, 10 nm),
photolithographic technology has been under heavy development for the last six decades and
continues to dictate the semiconductor industry’s adherence to Gordon Moore’s famous
prediction made in 1965 (i.e. Moore’s Law) that transistor counts inside CPU’s would double
every 18 months. This prediction has held true for almost 50 years now and seems on track to
remain valid for at least another 10 years.
EUVL is the use of light in the extreme UV range (e.g. 13.5 nm) to selectively expose photoresist
to define feature sizes smaller than those achievable with systems utilizing a longer wavelength
(i.e. 193 nm). The minimum printable feature size of a lithographic system is given by:
𝑙𝑚 =
𝑘1 𝜆
𝑁𝐴
Where k1 is defined as the technology factor, λ is the wavelength of light used, and NA is the
numerical aperture of the optical system. The technology factor accounts for resolution
enhancement techniques including phase shift masks, off-axis illumination, optical proximity
correction and double patterning. Over the past decade, all of these techniques have been
utilized (alongside immersion lithography) at a fixed λ of 193 nm, the output of an ArF excimer
laser, to ultimately realize an lm of 32 nm. The numerical aperture is the sine of the half angle of
the maximum cone of light that can enter or exit the objective lens divided by the index of
refraction of the medium in which the lens is working. Recently, immersion lithography using
water has been adopted by industry to achieve an increase of a factor of 1.44 in resolution (due
to the higher index of refraction) using a 193 nm source over the previous technology in which
the system was operated in air. “193i”, as this technology is referred, is currently the de facto
standard in semiconductor manufacturing and is slated to be used until the 22 nm node of
CMOS and perhaps beyond.
EUV radiation:
EUV radiation is the band of the electromagnetic spectrum ranging from 120 nm down to 10
nm with corresponding photon energies ranging from 10 to 124 eV. 13.5 nm radiation has a
corresponding photon energy of 91.8 eV and is 40.7 times more energetic than average (yellow)
visible light. Since EUV is so much more energetic than visible radiation, it does not interact
with matter in the same way with regard to absorption and reflection and in addition is more
difficult to generate than visible light. To produce EUV radiation, multi-charged ions are needed
which are generally producible only in a very hot and dense plasma. To emit an EUV photon, a
strongly positively-charged ion must attract a free electron into transitioning down to one of
the ion’s inner orbitals. This process releases a photon far more energetic than could be
emitted by a neutral (uncharged) atom. Two possible sources of EUV radiation at 13.5 nm are
xenon and tin plasmas, the spectra for which are included in Figure 1 [1]. Tin plasma is an
excellent EUV source but introduces the challenge of debris mitigation.
Figure 1: Spectra for xenon and tin
Another interesting aspect of EUV radiation is that it cannot be efficiently manipulated using
refractive optics due to both absorption in materials and a lower index of refraction. Therefore
all EUVL systems must use reflective optics as opposed to refractive optics. An EUV mirror can
be constructed out of a stack of 50 or so sputtered silicon and molybdenum bilayers of 6.88 nm
in thickness as shown in Figure 2 [2]. As also shown in Figure 2, these EUV mirrors have
reflectivities of about 70% [2]. Heat dissipation (cooling) systems are therefore required due to
the absorption of EUV radiation by the optics.
Figure 2: (Left) A high reflectivity, thermally and environmentally robust multilayer coating for
high throughput EUV lithography. (Right) Reflectivity plot with respect to wavelength [2].
Lastly, EUV radiation is the most highly absorbed band of the electromagnetic spectrum in air
[3]. Therefore an EUVL system must be operated in vacuum for efficient transmission. Since the
rate of absorption depends on the number of air molecules present, it is only necessary to
operate at low vacuum (perhaps 1 mTorr) to achieve >99.9% of the benefit gained from
switching from operating at 1 atmosphere down to a perfect vacuum.
Building an EUVL system is challenging due to the aforementioned properties of EUV radiation.
In the following section, the state-of-the-art of EUVL technology will be examined.
Section 2: State of the art and critical review
First things first: Cost comparison of EUVL and 193i lithography:
Before delving into the details of the operation of the lithographic systems, it is instructive to
examine the relative costs of EUVL and 193 lithography with double patterning, as is needed at
the 32 nm node and beyond for an optical system, with regard to cost of ownership. Included in
Figure 3 is a chart generated by the International Roadmap for Semiconductors in their 2009
biannual report showing the total costs of ownership for producing 5000 wafers per mask at
different technology nodes and using different fabrication methods [4]. The interesting parts of
this chart are the costs illustrated at the 32 and 22 nm nodes—it was projected that it would be
significantly cheaper to produce wafers using EUV rather than double patterning, and definitely
cheaper than triple patterning. The big assumption here was that EUV technology would
provide the needed throughput. Unfortunately for EUVL and semiconductor manufacturers
alike, EUVL tools do not yet have the needed throughput (100 wafers per hour) for high-volume
manufacturing as will be discussed shortly.
Figure 3: Relative Cost of Ownership for the critical level of a 5000 wafer run device [4].
It is evident from Figure 3 that EUVL is desirable to the semiconductor manufacturing
community for cost reasons. Next we will examine all the components of an EUVL system to
better understand the state-of-the-art of EUVL.
Basic components of a photolithography system
Any photolithographic system has the same basic common elements. These common elements
include a light source, a mask, light guiding optics, and photoresist. In this section, each of these
elements of EUVL will be examined and compared to the competing 193i technology with
regard to throughput, cost, and performance.
EUVL source
Creating a sufficient number of EUVL photons for high-volume manufacturing, about 200W to
achieve 100 wafers per hour according to the International Roadmap for Semiconductors 2009
(ITRS), is one of the most difficult challenges facing EUVL [4]. Thus the design of the EUV source
is very important. The choice of EUV source technology can be broken into two major decisions:
the method of plasma excitation (Discharge Produced Plasma (DPP) or Laser Produced Plasma
(LPP) and the source element (Xe, Sn, In, Li) [5]. Modern EUV sources are made using tin (Sn) as
a source material due to its high conversion efficiency despite its disadvantage of condensation
of source material on the optics[6][7][8]. Significant problems for any EUV source include EUV
power scaling, collector lifetime (100 billion shots) and system affordability. For DPP systems,
the major costly components are the grazing incidence collector, the source vacuum vessel
system, electrodes with cooling, source element delivery, and SSPPM; with consumables
consisting of the collector, electrodes and debris trap. For LPP systems, the costly components
include the multi-layer mirror collector, the source vacuum vessel system, beam delivery,
source element delivery, and laser source delivery, with consumables consisting of the
collector, pump diodes/laser chamber, and laser optics [5]. In 2005, Cymer was investigating
both systems and eventually settled on development of LPP systems for high volume
manufacturing [5][7]. Although Cymer settled on LPP, this was not universally done. One of
Cymer’s competitors XTREME Technologies developed DPP systems with similar success [6].
These two technologies will now be examined individually.
Cymer LPP EUV Source
In October 2010 at the International EUVL Symposium in Kobe, Japan, Cymer gave an update on
the status of their LPP source production for HVM. Among the highlights of their talk included
that they had shipped multiple sources with multiple qualification installations and successful
test runs and perhaps more impressively that 175W raw power feasibility was demonstrated
although this was not in sustained operation. A photo of the first HVM1 source being moved
into the cleanroom at ASML is included in Figure 4 below. This source utilizes a CO₂ drive laser.
Figure 4: Cymer LPP HVM1 EUV source being moved into the cleanroom at ASML [7].
Dose repeatability over a full wafer of HVM 1 was within 0.3% for 98% of the dies on the wafer
(1% for all dies). Source power was 0.5mJ per pulse at 40kHz = 20W. Tin droplets were used
with a diameter of 30 μm. The collector module shown below in Figure 5 has a 5 sr solid angle
and 650 mm diameter with 50% average reflectance [7].
Figure 5: Cymer LPP HVM1 EUV source collector module and reflectance plot [7].
Perhaps what was more impressive than the performance of HVM1 trials was the Cymer source
power roadmap they laid out and the plans for HVM II with a target exposure power > 250 W.
The 2.5x scaling would be due to known solutions as follows: >1.4x laser power improvement,
1.15x conversion efficiency improvement, collector (1.1x), dose control (1.2x) and spectral
purity filter (1.15x) improvements [7]. Lastly, a roadmap of Cymer’s LPP EUV Sources is included
in Figure 6 below.
Figure 6: Cymer LPP EUV source roadmap predicting 350W clean power by 2013 [7].
Although the promises made by Cymer are vast, it is hard to say whether their estimates are
achievable or just overly ambitious. If the proposed power specs of HVM III are actually
demonstrated, then it is clear that EUV source power will no longer be a throughput limiter for
EUVL in 2013! This roadmap is exciting!
XTREME Technologies DPP EUV Source
Also given at the 2010 EUVL Symposium was a presentation on XTREME technologies’ DPP
sources [6]. Among the advantages of the DPP sources highlighted were that their machines
had delivered all the photons for all 12” wafers results presented to date using a power scalable
technology with their source being installed with successful trial runs on the NXE 3100
prototype [6]. A cross section diagram of their prototype source collector module (SoCoMo) is
included in Figure 7 along with a photo of their tin β SoCoMo.
Figure 7: Cross sectional diagram of SoCoMo and photo of XTREME Tech’s DPP Source [6].
The SoCoMo operates by rotating drum electrodes to supply a constant tin film to the discharge
pulsed plasma. As discovered in previous designs, thermal engineering is critical for a SoCoMo.
The principle of operation is that the trigger laser creates a vacuum spark. A capacitor bank is
charged using a simple power supply and the liquid tin forms electrical contact. The
regenerating liquid tin surface fundamentally solves the electrode erosion problem. The cooling
drums and continuous cycling remove heat from the system as fast as possible [1]. XTREME
Technologies lists their competitive advantages as strong proof of power scalability, modular
architecture for easy field upgrades, and debris mitigation as the cornerstone of constant
optical performance and affordable cost of ownership [6]. A power roadmap for XTREME’s DPP
EUV source system is included in Figure 8 [6]. This roadmap shows plans for big improvements
in the near term that will enable enable high-volume manufacturing, just like Cymer’s roadmap.
But again, time will tell if these companies will deliver. I am optimistic about the prospects for
EUV sources because two different companies using different technologies are promising
power levels sufficient for HVM. Hopefully these are honest predictions!
Figure 8: XTREME Technologies’ roadmap for power output of their DPP EUV source.
Unfortunately, no information was found with regard to the relative costs of DPP and LPP
systems. One thing is for sure: they’re expensive. It is certain that the costs of excimer laser
sources (which are also quite expensive) are much lower than those of EUV sources since ArF
laser is a more mature technology.
EUVL Masks
According to the 2009 ITRS, there are significant cost advantages of EUVL masks over optical
masks at the 32 nm half-pitch node. At the 32 nm node, the cost of an EUV lithography tool and
consumables was projected to be more than double its optical counterpart, but the mask cost
of an optical mask far would outweigh litho tool costs because of the excessive data to write
optical masks [4]. Write time estimates for an optical mask at the 32 nm node exceed 35 hours,
whereas an EUV mask is projected to be written in under 9 hours. This is because OPC for EUV
masks is significantly simpler. The COO difference between EUV and double patterning was
projected to continue to accelerate into the 22 nm node [4].
EUVL masks operate by reflection (and absorption) rather than transmission. A simple diagram
of an EUVL mask is shown in Figure 9, the highlights of which include a multilayer coating over a
low thermal expansion substrate and an absorber layer to define the pattern [2].
Figure 9: EUV mask containing an absorber pattern and multilayer coating [2].
Despite the significantly lower amounts of data required to create an EUVL mask over an optical
mask at 32 nm, EUV mask making is not without its disadvantages. Firstly, the multilayer
coating over the mask substrate (which before adding masking features is referred to as a
‘blank’) adds another processing step and can contribute to additional defects. These defects
can be buried underneath or inside the multilayer as shown in Figure 10 [9]. Indeed, the
availability of low defect blanks remains one of the great challenges facing EUVL [9].
Figure 10: Two TEM images of EUV mask blanks defects. (Left) Crystalline core defect buried
within the multilayer. (Right) Native pit defect on the substrate [9].
Beyond the 22 nm node, the fabrication of defect free EUV masks including their inspection is
the most critical challenge for implementing EUVL into semiconductor high volume
manufacturing [10]. To address this problem, mask metrology and defect inspection tools are
under development such as the electron beam inspection (EBI) system eXplore 5200 developed
by Hermes Microvision, Inc. EBI systems had previously suffered from low throughput, though
this is being addressed. For example, a new function called Lightning Scan TM was recently
installed on this system (in 2010) that improved throughput by a factor of ten without any
sacrifices in sensitivity. Using this new algorithm, a defect free EUV mask for 22nm NAND flash
contact layer was generated using a reduced inspection time from 37 hours/die to 3.5
hours/die [10].
There are several methods for mask inspection. Investigations by Selete have shown that mask
defect detection sensitivities are greatest for ABI (actinic blank inspection) and PI (patterned
mask inspection) and thus better than WI (wafer inspection) at 32 nm, as 10% and 30% changes
in critical dimensions were detected on average, respectively [11]. The first two methods are
therefore sufficient for detecting the killer defects in the mask at both the main pattern and at
the light-shield border area. Photos of these two systems are shown in Figure 11.
Figure 11: (Left) Actinic blank inspection tool. (Right) Patterned mask inspection tool [11].
After defects are found and identified, they can be eliminated by two methods. By
characterizing mask defects and their causes using advanced tools (e.g. TEM), efforts can be
made to eliminate them as much as possible through process optimization. Remaining defects
can be mitigating either by pattern shift or electron beam repair as shown in Figure X [12].
Figure 12: An example of a successful electron beam mask repair performed on a mask
defect [12].
Mask production and inspection technology is operational and under development by many
different companies around the world for improved performance. Next, the third and final
significant challenge for EUVL lithography will be examined: photoresist.
EUVL photoresist
EUVL photoresist development has been identified as the third great challenge for EUVL by the
ITRS since it is difficult to obtain needed photoresist resolution, line edge roughness and
sensitivity specifications simultaneously [4]. Generally, if a resist chemistry excels with one of
these performance metrics it suffers in the other two. The plot from Sematech shown in Figure
13 comparing performance of six different types of EUV photoresist demonstrates this, as none
of the resists met all the specs [13].
Figure 13: Performance of six EUV photoresists relative to 22 nm HVM specs [13].
Selete is working on developing low molecular weight resists for decreased LWR and recently
demonstrated a fullerene based LMW resist (named SSR7 for Selene’s 7th Standard Resist) with
comparable performance to SSR’s 1-6 [13]. This research shows that there is hope for EUV
resists to make the leap to 1x-nm lithography since for HMW resists, the size of the molecules is
on the order of the roughness, which is too large, but a LMW resist changes all of this.
Furthermore, the fullerene-based resist has stronger etching durability than other resists as
well demonstrating a normalized etch rate of 0.37 compared to 1.0 of an ArF resist [13]. This
resist has an issue of pattern collapse for typical resist thicknesses but this is solved by using an
ultra thin resist thickness (permissible due to slower etch rate). A plot of the imaging
performance of SSR7 is included in Figure 14. Ultimately 28 nm HP was resolved with annular
illumination and 24 nm HP was resolved using X-slit illumination.
Figure 14: SEMs of various half pitches to demonstrate the imaging performance of SSR7 [13].
Lastly, efforts to reduce LWR are ongoing and include treating the resists with an EUV
rinse/TBAH process and also an implant process and have been implemented successfully
showing a 9.1% improvement in LWR with a high level of confidence for the rinse/TBAH process
and an 8.1% improvement using the implant process [14]. Unfortunately the implant process
has a drawback whereby the critical dimensions change slightly depending on the pattern size
and thus further improvement is required [14].
It is generally accepted that performance of EUV resist at 32 nm is adequate. Currently, efforts
are being made to improve performance for 22 nm HP patterning and beyond. LWR and
sensitivity remain important issues that need to be solved, but unlike the order magnitude
improvements currently being witnessed with regard to the power of EUV sources, I wouldn’t
predict large improvements in EUV photoresist ahead anytime soon. It seems to me that
improvements in EUV resist will be incremental and marginal over the next few years.
EUV Optics
The final discussion of the components of an EUVL system regards the tradeoffs and challenges
involved in the reflective optics between the EUV source, the mask, and the wafer. One
important tradeoff is between the number of mirrors and the numerical aperture of the
system. As stated previously, each mirror has a reflectivity of 70%. Therefore each additional
mirror cuts throughput by 30%. It is desirable to maximize the numerical aperture to achieve a
minimum smallest feature size. Unfortunately, larger NA demands more mirrors. As shown by
Dr. Patrick Naulleau of LBNL, a system can range from having an NA of 0.1 and 4 mirrors, an NA
of 0.32 and 6 mirrors, and an NA of 0.4 with 8 mirrors with no obscuration. NA of 0.5 can be
achieved with 8 mirrors but would result in an obscured image, perhaps limiting NA to around
0.4 for most systems [3]. Compiling these results in a table and calculating the associated
normalized throughput based on power loss alone yields the following result shown in Table 1.
Table 1: Numerical aperture, required number of mirrors, and normalized throughput for EUVL
Normalized throughput
Numerical aperture
Mirrors required
based on mirror
absorption alone
0.1
4
1
0.25
6
0.49
0.32
6
0.49
0.4
8
0.24
Table 1 shows that for a 4 times increase in NA, we get about a 4 times decrease in throughput.
This table does not account however for the increase in etendue that results from increased
NA, which counteracts this loss and improves throughput—the drop in throughput numbers for
the high NA systems shown is exaggerated. Therefore it would be desirable to have a high NA
system for most applications despite the loss of throughput.
Full EUVL System: ASML’s NXE:3100
Despite all the technological challenges of EUVL, ASML is now producing the world’s first preproduction EUVL tool using a Cymer source. As of July 2010, six of the tools were purchased and
to be delivered by the first half of 2011. Though at startup it was only producing 4 wafers per
hour, it was predicted that by shipment throughput would have increased to 60 wafers per
hour, based on supposedly feasible upgrades to the sources and using an overly-optimistic
resist sensitivity of 10 mJ/cm² (in my opinion). This tool will be followed by the NXE:3300B
available in 2012 which will go down to 22 nm with a high NA six-mirror lens and optional offaxis illumination with a 100 wph throughput. An image of the NXE 3100 is shown below in
Figure 15 [15]. It is quite amazing what engineers can accomplish.
Figure 15: ASML’s NXE:3100 EUV lithography tool first shipped in late 2010 [15].
Section 3: Proposed work to advance EUVL
A need for more research and funding
EUVL is not yet ready for high volume manufacturing as of May 2011 due to numerous
engineering challenges that I believe are solvable despite their severity. For example, several
years ago, critics of EUVL argued that EUVL sources would never be powerful enough to
support high volume manufacturing for not only EUV steppers but for mask metrology as well
which is critically important infrastructure. I think this view is changing, though current power
levels are perhaps 10x below (though this is improving) those needed for high-volume
manufacturing (i.e. 250 W). There is not enough R&D in EUV resists, sources, and masks to
solve the big problems quickly enough to impress me. Research for EUVL is funded mainly by
industry which historically has not always been interested in the best long-term solutions to
problems as they typically just want to use the cheapest technology presently available (i.e.
193i). Often industry is too conservative with regard to taking risks. But I believe ASML, Intel,
Cymer, XTREME, and Selete are notable exceptions. Of course a business is going to do what’s
cheapest to obtain a given result. But sticking to a proven technology with a lower fixed cost
and higher marginal cost is a losing effort in the long run. With greater funding for EUVL 10-20
years ago the current challenges of today could have been surmounted earlier and yielded a
cheaper overall process for producing today’s chips than current double or triple patterning
methods. Research and development for new technologies is a balancing act since investments
do not always pay off. However it is indisputable that technologies improve as money flows into
them—solar power technology and fuel efficient vehicles are prime examples. It was difficult to
tell whether EUVL would be a tremendous success or a tremendous flop, as was X-ray
lithographic development in the 70’s and 80’s. Perhaps EUVL has limited potential for
improvement. But I think that industry and even the government should step up to flow more
money into EUVL to support research.
A questionable future
EUVL technology needs considerable development. This development will happen over time,
inevitably, but would be occur at an accelerated pace with more support. Mask metrology,
source power, line-edge roughness, photoresist sensitivity and resolution all need to be
addressed. Some people think EUVL is not a viable technology and further investment is a
waste. I believe EUVL is a great technology with tremendous potential. I do think that 193i is
the way to go right now for 22 nm and that EUVL didn’t deliver when it should have. But I don’t
want to see researchers stop trying because when ready, EUVL will enable better computers
and also exciting applications beyond just scaled CMOS. One such application includes massfabricating complex nano-electro-mechanical systems with 20 nm features, giving us better
sensors and interesting transducers such as nanomechanical filters for communications
applications [16]. Only time will tell if EUVL technology will be useful in a big way in the distant
future.
Alternative funding sources and global strategy
Currently market forces largely determine how much funding EUVL gets. As EUVL becomes
viewed more risky and determined to be less profitable, companies and investors looking to
make quick money will pull their resources. The progress of small companies in the business of
developing certain aspects of EUVL (for example bright sources for mask metrology tools) will
grind to a halt without external support. But it’s possible this won’t happen since conditions are
improving and EUVL is gaining momentum again. Perhaps an international consortium should
be formed to work together to identify the weakest links of EUVL to prevent this outcome (I do
not believe something like this exists). This consortium would then give recommendations for
improvements and supply financial support to those areas needing greatest development for
the technology as a whole. Alternatively, perhaps EUVL research should be government funded
by agencies such as the NSF. Or maybe more industrial and university partnerships could be
formed in this area whereby students work on projects interesting to industry while earning
degrees. Certainly the development of EUV sources would be good PhD projects for bright-eyed
young graduate students too naïve to know that a technology can’t work. Industry can benefit
from academic research papers and of course companies want more fresh ideas from
academia. There are many good ideas out there but many go unfunded and therefore don’t
make it into research papers and conference presentations. I believe research programs should
be expanded and more money should flow into academia for technological, engineering, and
scientific development with more opportunities for students to pursue graduate school.
Moving forward
EUVL needs more money and man-power to move forward to solve the problems described at
length in Sections 2. Much of this work is straightforward since research often involves just
trying new things (e.g. design of experiments for optimum photoresist parameters and finding
lots of data points) but on the other hand a lot of research is not easy, requires totally fresh
thinking, and often ends in failure. Whatever the case, research takes work and the result
(when successful) is new ideas and inventions. Research is great. Once the ideas produced by
research are confirmed by demonstrations, they must be transformed into commercial
products that can be used by EUVL toolmakers and ultimately chip manufacturers to make the
next great computer CPU’s and memories.
Section 5: Bibliography
[1] David Attwood, “(EE213 Course Materials, UC Berkeley) Plasma Sources for EUV
Lithography,” 2009.
[2] David Attwood, “(EE213 Course Materials, UC Berkeley) Overview of EUV Lithography,”
2009.
[3] Patrick Naulleau, “(EE213 Course Materials, UC Berkeley) EUV Lithography,” 2009.
[4] International Technology Roadmap for Semiconductors, 2009 Edition, Lithography.
[5] Bob Akins, “Cymer’s Light Source Development for EUV Lithography,” Litho Forum, Los
Angeles, CA: 2005.
[6] Marc Corthout, Yusuke Teramoto, Masaki Yoshioka, “XTREME Technologies: First Tin Beta
SoCoMo ready for Wafer Exposure,” Proceedings of the 2010 International Symposium on
EUVL, Kobe, Japan: 2010.
[7] David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers,
Daniel Brown, Richard L. Sandstrom, Bruno La Fontaine, Alexander N. Bykanov, Georgiy O.
Vaschenko, Oleh V. Khodykin, Norbert R. Böwering, Palash Das, Vladimir Fleurov, Kevin
Zhang, Shailendra N. Srivastava, Imtiaz Ahmad, Chirag Rajyaguru, Silvia De Dea, Richard R.
Hou, Wayne J. Dunstan, Peter Baumgart, Toshi Isihara, Rod Simmons, Robert Jacques,
Robert Bergstedt, “LPP EUV Source Production for HVM,” Proceedings of the 2010
International Symposium on EUVL, Kobe, Japan: 2010.
[8] Tsukasa Hori, Tatsuya Yanagida, Takayuk Yabu, “Investigation on high conversion efficiency
and Tin debris mitigation for laser produced plasma EUV light source,” Proceedings of the
2010 International Symposium on EUVL, Kobe, Japan: 2010.
[9] V. Jindal, C.C. Lin, J. Harris-Jones, and J. Kageyama, “SEMATECH’s infrastructure for defect
metrology and failure analysis to support its EUV mask defect reduction program,” San
Jose, California, USA: 2011, p. 79690I-79690I-8.
[10]
T. Shimomura, S. Kawashima, Y. Inazuki, T. Abe, T. Takikawa, H. Mohri, N. Hayashi, F.
Wang, L.E. Ma, Y. Zhao, C. Kuan, H. Xiao, and J. Jau, “Demonstration of defect free EUV
mask for 22nm NAND flash contact layer using electron beam inspection system,” San Jose,
California, USA: 2011, p. 79691B-79691B-8.
[11]
T. Kamo, T. Terasawa, T. Yamane, H. Shigemura, N. Takagi, T. Amano, K. Tawarayama,
M. Nozoe, T. Tanaka, O. Suga, and I. Mori, “Evaluation of EUV mask defect using blank
inspection, patterned mask inspection, and wafer inspection,” San Jose, California, USA:
2011, p. 79690J-79690J-12.
[12]
Brian BC Cha, “EUV Mask Defect Reduction: Status and Challenges,” Proceedings of the
2010 International Symposium on EUVL, Kobe, Japan: 2010.
[13]
Kyoungyong Cho, Karen Petrillo Dominic Ashworth, Liping Ren George Huang, Warren
Montgomery, “EUV Resist Patterning Results for22 nm HP and Smaller,” Proceedings of the
2010 International Symposium on EUVL, Kobe, Japan: 2010.
[14]
C. Koh, H.-W. Kim, S. Kim, H.-S. Na, C.-M. Park, C. Park, and K.-Y. Cho, “LWR
improvement in EUV resist process,” San Jose, California, USA: 2011, pp. 796918-796918-8.
[15] “ASML’s pre-production EUV tool achieves first light - Blog - MySemiconDaily,” Jul. 2010.
[16]
C.T.C. Nguyen, “MEMS technology for timing and frequency control,” Frequency Control
Symposium and Exposition, 2005. Proceedings of the 2005 IEEE International, 2005, p. 11–
pp.
Download