Dalton Transactions View Article Online PERSPECTIVE Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Cite this: Dalton Trans., 2022, 51, 442 View Journal | View Issue Area selective deposition using alternate deposition and etch super-cycle strategies Marceline Bonvalot,*a,b Christophe Vallée, *a,c Cédric Mannequin,b Moustapha Jaffal,a Rémy Gassilloud,d Nicolas Posséméd and Thierry Chevolleaua Area selective deposition (ASD) is a bottom-up process leading to a uniform deposition in only desired areas of a patterned substrate, avoiding the use of photolithography for patterning. However, whatever the strategy used to develop selective deposition by atomic layer deposition, there always comes a time when selectivity becomes defective and growth in undesired substrate areas must be corrected. This leads to the design of ASD by super-cycle alternating deposition and etch. Recent examples from the literature show a great diversity in the design of the etching step and indicate that the optimization of selective deposition by super-cycles is only possible through a careful optimization of the etching step parameters (chemistry, frequency, duration, etc.). In this paper, we discuss how to optimize this step and we Received 13th October 2021, Accepted 16th November 2021 DOI: 10.1039/d1dt03456a rsc.li/dalton show that different approaches can be developed to optimize the overall ASD process throughput, while simultaneously limiting process drift and contamination. We also show that complementary selective properties can prove a valuable leverage enabling ASD processes based on super-cycles, such as structure selective deposition, whereby a difference in thin film morphology in growth and non-growth areas can be smartly taken advantage of during the etching step. Introduction For the past 50 years, miniaturization of microelectronic devices has been continuously following Moore’s law and has generated multiple innovations in fabrication processes. One of the obvious examples is the successful implementation of atomic layer deposition (ALD) in CMOS technologies, for the mass production of HfO2 metal gate transistors at the 45 nm technological node by Intel, back in 2007. From then on, ALD has gradually become an invaluable technique for downscaling, both in the Front End and Back End of Line, providing deposits with improved conformality and atomic-scale thickness control, and enabling the introduction of new exotic materials, such as rare earth oxides and nitrides in, so far, all silicon devices. As of today, current semiconducting devices are quickly reaching nanometer-scale dimensions, and further downscaling essentially relies on the extensive use of multiple patterning technologies. However, the associated processing complexity induces technical difficulties to accurately align various a University Grenoble Alpes, CNRS, LTM, Grenoble INP, F-38054 Grenoble Cedex, France. E-mail: marceline.bonvalot@cea.fr b Institute of Applied Physics, Faculty of Pure and Applied Sciences, University of Tsukuba, Tsukuba 305-8573, Japan c SUNY POLY, CNSE, Albany, New York 12203, USA. E-mail: valleec@sunypoly.edu d Univ. Grenoble Alpes, CEA, LETI, 38000 Grenoble, France 442 | Dalton Trans., 2022, 51, 442–450 mask layers, in turn leading to nanometer-scale misalignments, known as Edge Placement Errors (EPEs). Moreover, the miniaturization approach based on multi-patterning photolithography contributes to exponentially increasing fabrication costs and thus, a general agreement among scientists has emerged about a major change in the conventional miniaturization paradigm. In this regard, area selective deposition (ASD) has been the focus of intense research activities for the past few years, because it is a bottom-up thin film deposition strategy that does not require any subsequent technically challenging multi-patterning photolithography steps.1 ASD provides a good control of where a deposit forms, depending on the chemistry of a 2D patterned substrate. As such, ASD also prevents the occurrence of EPE. When dealing with 3D patterned profiles, ASD is referred to as topographically selective deposition (TSD), whereby the subsequent deposit forms on specific surfaces, depending on their orientation with respect to the substrate. Although conventional growth techniques like CVD can also lead to ASD, it is most achieved by ALD. All commercially available ALD precursors naturally exhibit varying affinities to different substrate chemistries. This in turn can induce a natural nucleation delay before growth finally starts. This time lag in spontaneous nucleation is however effective at a relatively low number of ALD cycles only, and the resulting inherent selectivity remains quite low (<1 nm). The selectivity This journal is © The Royal Society of Chemistry 2022 View Article Online Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Dalton Transactions of an ALD process is quantified by comparing the thickness of a deposit formed on a high affinity substrate surface (usually referred to as growth area) with that formed on a low affinity surface (non-growth area).2,3 To overcome this low selectivity, most ASD processes developed in the literature implement a surface functionalizing pretreatment to preferentially either activate or deactivate a specific material surface with respect to its subsequent exposure to metallic precursor flux from the ALD process. Selfassembled molecules (SAMs), hydrophobic CFx polymer deposits, and small inhibitors are usually used to inhibit ALD growth,4–10 whereas electrons or metallic seed layers catalysing ALD surface reactions can be used to promote growth.11,12 Fig. 1 illustrates these various schemes leading to ASD. In any case, this surface functionalization pretreatment raises several issues in nanoelectronic device fabrication, because the associated preparation processes are usually complex, time-consuming (>24 hours for SAM deposition using chemical bath), with a relatively low throughput, and hardly compatible with largescale production. Moreover, SAM polymer brushes may also display poor surface coverage, where defects can act as unexpected nucleation sites on non-growth areas.13 One way to solve this problem is to insert corrective etching steps within ALD cycles to remove unwanted deposits from non-growth areas and to reset their surface chemistry to a deactivated chemical initial state. In this paper, we will first address the status of recent ASD development using alternate deposition and etch strategies. Then we will discuss strategies to optimize this process. Area selective deposition using supercycles of deposition and etch: experimental results from the literature Alternating deposition and etching steps can be carried out to lead to ASD with improved selectivity as illustrated in Fig. 2. In 2015, Hashemi et al.14 first proposed a self-correcting etching process for ASD involving surface deactivation by SAMs. Perspective Fig. 2 Inserting an etch-back step in an ASD process to improve its overall selectivity. OctaDecylPhosphonic Acid (ODPA) was first selectively deposited on Cu regions, creating a resist layer which prevented subsequent Al2O3 ALD growth on this surface but not on SiO2 surfaces. Selectivity was further increased by coupling this deactivation pre-treatment with a chemical etching step to selectively remove any residual dielectric film deposited on Cu surfaces, while simultaneously leaving the dielectric film on SiO2 unaffected. The authors reported a selectivity enhancement by a factor of ten in the dielectric film thickness, by performing ten successive selective deposition and selective etching steps. In the last few years, our group at the LTM laboratory and CEA-LETI (Grenoble, FRANCE) has proposed an original solution avoiding the use of SAM functionalizing pre-treatments to enhance selectivity in ASD processes. It consists of regularly implementing corrective etching steps to remove deposits formed on non-growth surfaces after the nucleation delay and leads to multi-step processes alternating deposition and etching.15,16 We have shown that depending on the targeted feature where ASD is required, the etching modes can be of choice either anisotropic or isotropic and include reactive ion etching (RIE) with synergistic physical and chemical contributions, pure physical surface sputtering, pure radicalenhanced chemical etching and quasi-atomic layer etching, whereby etching involves surface-limited chemical reactions leading to atomic layer-by-layer removal. Both deposition and etching steps can be thermally driven or plasma-based and some examples of area selective deposition and topographical selective deposition are given in the next sections. Deposition and etch super-cycles for ASD Fig. 1 Illustration of various ASD strategies for selective deposition on surface A only (no deposition on surface B): by using the inherent selectivity of the ALD process (here the precursor only reacts with surface A), or by deactivating or activating surface A before the ALD process. This journal is © The Royal Society of Chemistry 2022 This section focuses only on ASD processes using super-cycles of ALD or Plasma Enhanced ALD (PEALD) steps and etching steps. The use of a plasma and its radicals or ions in the coreaction step of an ALD process has many advantages compared to a thermal ALD process in which the necessary energy for the surface reaction is provided entirely by thermal energy from the heating of the substrate. Examples include improved GPC, improved density of the deposited layers, and a wider selection of ALD precursors.17–23 The main drawback of this assistance is the degradation of the compliance in high aspect ratio structures, especially for the deposition of conductive layers requiring a reducing plasma.24,25 The etching step can be plasma assisted or not. To be able to quickly compare these Dalton Trans., 2022, 51, 442–450 | 443 View Article Online Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Perspective Dalton Transactions processes, we tried to provide the same information when available: chemistries, defects induced by the etching step (contamination, density, and roughness), and change in the process time compared to the time to deposit by ALD with only the same film thickness on the growth area. Historically, the first ASD process using super-cycles of ALD and etching without surface inhibition by SAM was developed by Vallat et al. at LTM and CEA/Leti for the selective deposition of Ta2O5 on TiN (growth area) versus Si or SiO2 (non-growth area).15 For this Ta2O5, ASD, the etch-back step was carried out after every 8 PEALD cycles and consisted of adding NF3 to O2 in the plasma step of the last CCP PEALD cycle, thus promoting isotropic chemical etching. This way, the 7 nm selectively deposited thin film was etched four times faster than Si-rich surfaces, resulting in an estimated etching selectivity of 4. However, it exhibited significantly degraded properties, such as a quite large ∼9% residual fluorine contamination and a 24% lower density (6.1 g cm−3 vs. 8 g cm−3 for standard Ta2O5 thin film). The growth per cycle (GPC) of one super-cycle (8 PEALD + 1 etching step) was 0.3 nm per super-cycle, resulting in a processing time at least three times larger than that of the standard PEALD process. A similar deposition/etch process was later further developed by Vallat et al. for TiO2 ASD, but with the O2/NF3 isotropic plasma etch-back step being introduced every 20 PEALD cycles only.16 A similar etching selectivity of 4 was also obtained, but a lower fluorine contamination level of ∼4% was determined by XPS, along with an improved 11 nm thin film density of 3.6 g cm−3, as compared to 3.9 g cm−3 for TiO2 deposited without super-cycles (ie 8% density drop induced by etch-back steps). The GPC for one super-cycle (20 PEALD + 1 etching step) was ∼0.45 nm per super-cycle, resulting in a processing time approximately twice as long as the standard PEALD process. In a recent paper, a defect-free ASD process was developed by Krishtab et al. using a cyclic halogen plasma-induced passivation of amorphous carbon, for the ASD of TiO2 in a SiO2 trench.26 In this ASD process, a prior He/H2 plasma was used to remove the oxygen-rich layer from the amorphous carbon surface and to create a hydrogenated a-CH surface layer instead.27,28 The obtained a-C:H surface was then exposed to Cl2 or CF4 plasmas to achieve surface halogenation. Then TiO2 thermal ALD was carried out using a TiCl4 metallic precursor and H2O co-reactant. Direct TiO2 growth was observed on SiO2 surfaces without any nucleation delay, attributed to the spontaneous hydrolysis of Si–Cl or Si–F bonds during the first H2O ALD pulse. The global ASD process consisted of 60 standard PEALD cycles followed by an etch-back step using either Cl2 or CF4 plasma, for 10 or 15 seconds respectively. The overall performance of the ASD process was quantitatively assessed by expressing the deposition selectivity, S, as:29 S ¼ ðV film V grain Þ=ðV film þ V grain Þ ð1Þ where Vfilm and Vgrain correspond to the total volumes of the TiO2 film and nuclei respectively over a 2 μm2 area on growthand non-growth areas. 444 | Dalton Trans., 2022, 51, 442–450 The best results were obtained for CF4-based super-cycles that allowed the deposition of 8 nm of TiO2 with a selectivity of 0.998, as compared to 0.812 obtained with identical ALD cycle numbers in a single run. Although no etch selectivity was observed between a-C:H and TiO2 with CF4 plasma, TiO2 grains on non-growth areas were found to etch twice as fast as TiO2 films on growth areas. Finally, a very low increase in the TiO2 film roughness was reported, from 0.144 nm RMS for the standard ALD process to 0.155 nm for the ASD process using a Cl2 plasma and 0.153 nm in the case of CF4 plasma, respectively. Vos et al.30 also developed an ASD process relying on etchback steps for Ru deposition on Pt vs. SiO2: a standard Ru ALD process was combined with etching steps involving O2 plasma (30 seconds) followed by H2 gas (15 seconds) exposure steps. The O2 plasma was used to etch away unwanted Ru nuclei formed on SiO2 surfaces, thanks to the formation of volatile RuO4, while H2 gas exposure was used to reduce oxidized Ru on the Pt surface, to promote subsequent Ru growth by ALD. The resulting etching selectivity was infinite, because SiO2 remains inert under O2 plasma and H2 gas exposures. O contamination was estimated to be ∼5%, similar to that measured in the standard ALD Ru thin film, and thus, this etch-back step did not induce any additional contamination. Although no density measurement of the ASD Ru thin film was mentioned, its surface roughness was reportedly considerably smothered after the etch-back step, in agreement with a quasiatomic layer etching (ALE) process. Comparing the Ru thicknesses after 400 ALD cycles with or without additional etching steps, this overall ASD process time was estimated to be approximately twice as long as that of the standard ALD process. Song et al.31 have developed an ASD process for TiO2 on SiO2 vs. Si surfaces, with super-cycles based on thermal ALD and thermal ALE. WF6 and BCl3 gases were used separately at 170 °C for the thermal ALE of TiO2. When exposed to WF6, the TiO2 surfaces form solid WOxFy/TiOxF and volatile TiF4. The subsequent BCl3 exposure removes W species and forms solid B2O3, which is removed during the next WF6 dose. The supercycle was defined as 30 ALD cycles followed by 5 ALE cycles and enabled the selective deposition of 12 nm of the TiO2 thin film, corresponding to ten times as large a thickness, compared to that of inherent TiO2 ASD.32 XPS measurements showed that the ALE reactants lead to 3–5 at% B, 1–2 at% F and less than 0.1 at% W incorporation in the ASD TiO2 film. The reported GPC was ∼0.83 nm per super-cycle, resulting in a process time ∼1.6 times longer than that of the standard process. Lee et al. also used corrective etching steps to enhance the selectivity for the ASD of SiO2 on SiO2 vs. SiN.33 They showed that with an HF treatment of SiO2 and SiN surfaces, a selective ALD of SiO2 on SiO2 can be obtained using the di(isopropylamino)silane (DIPAS) precursor and ozone at 100 °C. However, SiO2 starts to grow on SiN non-growth areas after 50 cycles, which reduces the SiO2 growth (on SiO2) to 4 nm only. To resolve this issue, the insertion of an etching corrective step This journal is © The Royal Society of Chemistry 2022 View Article Online Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Dalton Transactions Perspective was put on trial, based on two distinct etching process steps: a diluted HF (0.1 wt%) wet treatment and an ALE treatment including a dry etching with HF-pyridine and H2O. Vallat et al.15,16 reported that the etching gas also resets a new nucleation delay by restoring inhibition mechanisms on nongrowth areas. The periodic HF treatment every 100 cycles resulted in the removal of the unwanted SiO2 film and restored –NH2 surface groups on SiN, preventing further chemical reaction with the aminosilane precursor. No data concerning the impact of the etch-back process on SiO2 material properties are available in ref. 33, but as deduced from published figures, 300 cycles with 3 etch-back steps appear necessary to selectively deposit 10.8 nm SiO2, whereas the ALD process has a GPC of ∼0.8 Å per cycle. This resulted in a process duration larger than twice the duration of the standard process. The different ASD processes are summarized in Table 1. The comparison between the various ASD processes clearly highlights the role of the super-cycle design, more specifically the etching chemistry, the etching time, and the etching frequency. This will be discussed in the following sections. Deposition and etch super-cycles for TSD Super-cycles of ALD and ALE processes can also be defined for topographical selective deposition (TSD), as illustrated in Fig. 3. However, since ALD or PEALD processes lead to confor- Table 1 mal thin film formation, TSD requires an anisotropic etching step to achieve selectivity. Such anisotropy cannot be obtained in conventional ALD reactors equipped with plasma assistance, because they are mostly equipped with remote plasma sources supplying radical-enhanced plasmas in the vicinity of the substrate, thereby significantly limiting anisotropic chemical reactions during PEALD. At LTM laboratory, we have an inductively coupled plasma (ICP) remote PEALD reactor from Oxford Instruments equipped with an additional RF substrate biasing system, which enables the formation of a non-collisional sheath at low pressures, thereby allowing to take advantage of directional ionic flux for the promotion of anisotropic etching steps within the PEALD reactor. A first proof of concept of this TSD approach was published in 2019 by Chaker et al.34 using super-cycles of PEALD combined with pure physical Ar sputtering, leading to the direct vertical coating of 3D features. However, significant silicon recess was observed, along with sputtered Si redeposition in the vertical coating material. To improve these points, we later developed a TSD process using super-cycles of PEALD and Plasma ALE steps.35 The two ALE sequential steps involved an isotropic CF4/H2 plasma to induce surface fluorination, followed by a low energy directional Ar sputtering process to selectively remove horizontal fluorinated coatings. Consequently, Si lateral redeposition mechanisms Summary of various ASD processes using ALD and etch super-cycles ASD process Nature of the etching Etching chemistry Ta2O5 on TiN vs. Si/SiO2 TiO2 on TiN vs. Si/SiO2 TiO2 on SiO2 vs. Si Isotropic plasma etching Isotropic plasma etching Isotropic thermal ALE O2/NF3 O2/NF3 WF6 and BCl3 TiO2 on SiO2 vs. a-C:H Ru on Pt vs. SiO2 SiO2 on SiO2 vs. SiN RIE plasma Isotropic plasma Isotropic wet etching Cl2 or CF4 O2 + H2 Diluted HF (0.1 wt%) Contamination from etching chemistry Etching selectivitya Throughputb Ref. 9 at% F 4 at% F 3–5 at% B 1–2 at% F — No — 4 4 — 1/3 1/2 2/3 15 16 22 1 ∞ ∞? — 1/2 <1/2 17 21 24 a Etching selectivity = etching rate of selectively deposited film/etching rate of non-growth area. b Throughput is defined as: [time ALD process]/ [time ASD process for equivalent ALD thickness]. An ideal ASD process will give a value of 1. Fig. 3 (a) ASD by super-cycles of deposition and etch. The blue line corresponds to growth on the growth area and the grey line corresponds to growth (not desired) on the non-growth area. A = growth area; B = non-growth area. (b) TSD by super-cycles of deposition and etch. The blue line shows the ALD growth on all surfaces (top, bottom and sidewalls) assuming a conformal deposition. The dotted grey line corresponds to the anisotropic etching of the film on top and bottom surfaces. This journal is © The Royal Society of Chemistry 2022 Dalton Trans., 2022, 51, 442–450 | 445 View Article Online Perspective were suppressed and the silicon substrate recess was strongly limited. However, 8 at% Fluorine contamination was measured in the selectively deposited film, while no contamination was measured when using super-cycles with sputtering only. Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Area selective deposition using supercycles of deposition and etch: requirements, optimization, and drawbacks As seen from previous literature results, the success of an ASD process using deposition and etch super-cycles relies on the optimization of many parameters, one of them being the etching step (chemistry, duration, frequency). This step must be optimized with the following objectives in mind: - Large etching selectivity: the etch rate of ALD nuclei on non-growth surfaces must be larger than that on non-growth surfaces and if possible than that of the ALD film on growth surfaces. - If possible, passivation of non-growth surfaces by etching chemistry, to promote additional nucleation delay. - No alterations of the selective thin film physical and chemical properties, induced by the etching process: e.g., surface contamination, density, induced roughness, etc. - Optimize the duration of the whole ASD process, so as not to affect the overall process throughput. - If possible, make sure that the ASD process can be easily transferred to topographical selective deposition processes in 3D patterned substrates (considering isotropic vs. anisotropic etching steps). Optimization of the etch-back chemistry The etch-back chemistry must be selective, long enough to completely remove unwanted materials without damaging the selectively deposited film, in terms of crystallinity, roughness and density for instance, and non-damaging to non-growth surfaces (for instance via amorphization or increased roughness, creation of voids, dangling bonds, etc.). Some of these points are illustrated in Fig. 4. If the kinetics of the etch back-step is too fast, it may not only remove Dalton Transactions unwanted materials from non-growth areas, but also etch the whole selectively deposited film. If the etching selectivity is low, the non-growth surfaces will be gradually etched away during every etch-back step. These examples show that the etching kinetics must be slow enough to be controlled at the atomic scale and simultaneously exhibit a high selectivity. It thus seems straightforward that the appropriate etch-back process should be of ALE-type, for which infinite selectivity can be obtained, thanks to self-limiting reaction mechanisms at the atomic scale. ALE processes involving chemical reactions for both surface modification and removal steps may be the best option for this purpose, as illustrated in ref. 30 and 31 while plasma ion-based processes, such as Ar sputtering should be limited to cases where etching anisotropy is mandatory. In this regard, the process developed by Vos et al.30 is optimal in the sense that the same chemistry (O2 and H2) is used for both deposition and etching steps, which considerably limits contamination induced in the selectively deposited material by the etching chemistry. Optimization of the super-cycles The addition of etching steps will undoubtedly lengthen the process time to deposit a material of thickness equivalent to a classical ALD process, especially considering that the etching must be slow to ensure atomic scale control. Incorporation of etching steps will also contribute to limit the net deposited thickness. A trade-off thus needs to be defined, so that etching provides a good selectivity along with a notable deposition thickness. It is therefore necessary to optimize the frequency and duration of etching steps, to limit the throughput drop, in view of potential process industrialization. Such a super-cycle optimization can be found in the recent paper from Vos et al.,36 in which they compared two extreme etch-back strategies: in a first set of experiments, they tested a high frequency-low duration corrective etch-back step, whereas in a 2nd set, a low frequency-high duration corrective etch-back step was tested. The 2nd set of experiments was found to be preferred in terms of the net deposition rate. According to their work, the net deposition rate (Dnet) of the ASD process can be written as a function of the growth per cycle on growth and non-growth areas (GPCG and GPCNG) as: Dnet ¼ αN½GPCG GPCNG Fig. 4 ASD. Illustration of the impact of a non-optimized etch-back step on 446 | Dalton Trans., 2022, 51, 442–450 ð2Þ where N is the number of super-cycles and α the number of deposition cycles in one super-cycle. This equation shows that lowering the GPC on non-growth areas increases the net deposition rate. To a first order approximation, it indicates that the lower GPC on non-growth areas are inherent to the ALD process. However, additional strategies to further reduce this value can be developed, such as adding a passivation step during or after the etch-back process. In the papers from Vallat et al.,15,16 the fluorine plasma chemistry used for Ta2O5 and TiO2 etching was also used with the aim to create hydrophobic Si–F surface bonds on Si surfaces, thus inducing new nucleation delays of the order of 10 cycles. This journal is © The Royal Society of Chemistry 2022 View Article Online Dalton Transactions Perspective It must be underlined at this point that the relation (2) was obtained based on the assumption that the material on growth and non-growth areas is removed at similar etch rates.36 There may however exist experimental cases for which the corresponding etch rates are different. In such cases, the following relation should be used instead: Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Dnet ¼ αN½GPCG GPCNG ðEPCG =EPCNG Þ ð3Þ where EPCG and EPCNG are respectively the etching rates of the material deposited on growth and non-growth areas. This equation shows that an ideal throughput can be obtained when EPCNG ≫ EPCG. In their experiments, Vos et al.30 found that the Ru nuclei deposited on non-growthareas etched twice as fast as selectively deposited Ru thin films. One likely explanation might be that the Ru nuclei are more efficiently etched away, due to their smaller size. Thus, increasing the frequency of the etching steps should in this case increase the net deposition rate. Another explanation accounting for an increased etching rate of nuclei from non-growth areas may be linked to their morphology (number of facets and surface area, and crystallinity). Coffey et al.37–39 showed that an ALE vacuum ultraviolet (VUV) oxidation process led to higher etch rates of Pd particles as compared to Pd thin films. They also showed how facets and surface areas of Ru films impacted their oxidation and etching kinetics. Krishtab et al.26 also showed larger plasma etching rates of TiO2 grains, which were attributed to the shape-selective nature of the etching chemistry, which induces a local enhancement of the neutral-to-ion flux in TiO2 grains, as compared to TiO2 thin films. From these reports, we can assume that all etching processes based on surface modification (such as those induced by diffusion or oxidation) should be more efficient for grains and/or nuclei as compared to plain thin films, due to the local enhancement of their relative surface area. This is illustrated in Fig. 5, wherein we assume that (1) the same material thickness is modified during the initial surface-modifying ALE process (oxidation or halogenation for example), and (2) the whole modified thickness is removed during the second ALE process removal step. Considering the relative surface areas of a smooth thin film and that of a spherical material, it is therefore of no surprise that the nuclei are etched away twice as fast as their uniform film counterpart. Similarly, it can also be expected that rough films are etched much faster than uniform films. Moreover, the surface chemical modification of non-growth areas, induced either by the etching step or by an additional surface treatment step, can also promote the subsequent growth of small sized nuclei, which in turn are etched more easily than a plain thin film. For example, an island growth mode is commonly observed during the MCl4/H2O (M = Ti, Zr or Hf ) ALD processes on the H-terminated Si substrates. The lack of reactivity of hydrogen-terminated surfaces towards these ALD reactants is thought to be responsible for such a growth mode, and island growth most likely starts from This journal is © The Royal Society of Chemistry 2022 Fig. 5 Illustration of a faster ALE process for spherical nuclei as compared to a uniform thin film, due to the higher relative surface area. Yellow color: the material to be etched; purple color: surface modification followed by etching during the two ALE process steps. oxygen-containing defect sites after a nucleation delay promoting defect activation.40,41 Consequently, hydrogen-based chemistry for the etch backstep can in turn favor the growth of small sized nuclei on nongrowth areas, as illustrated in Fig. 6. The ALD process is started on two substrates A (growth-area) and B (non-growth area), with a nucleation delay inherent to the chemical state of surface B. An etch-back step is then applied to remove the thinner uniform film formed on substrate B, once the nucleation delay has expired. In this specific case, EPCG = EPCNG. However, the B surface becomes H-terminated after the dedicated etching step, restoring an additional nucleation delay, but also promoting the island growth on this surface when inhibition becomes defective (i.e., after the 2nd nucleation delay has expired). The following etch-back step then gives EPCG ≪ EPCNG, thus limiting the film thickness to be removed from growth areas and improving the net deposition of the super-cycle deposition/etch process. In the case of selective deposition of metal oxides exposed to a thermal ALE as the etch-back step, it has been shown that amorphous metal oxides etch at a much faster rate than their Fig. 6 Schematic of the deposition/etch process showing the increase in the net deposited thickness as a function of the crystalline state of the deposited material on growth and non-growth surfaces A = growth area; B = non-growth area. Dalton Trans., 2022, 51, 442–450 | 447 View Article Online Perspective Dalton Transactions Table 2 Etch per cycle for the ALE of crystalline and amorphous HfO2 42–44 Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. ALE etch rates Å per cycle @ 250 °C Material HF + TiCl4 HF + Al (CH3)2Cl SF4 + TiCl4 SF4 + Al (CH3)2Cl c-HfO2 a-HfO2 0.02 0.36 0.08 0.68 0.08 0.70 No etch 0.50 crystalline counterpart.42,43 Table 2 shows the estimated ALE etch rates for HfO2, as reported by R. Mullins et al.44 The crystallinity of ALD metal oxides, such as HfO2, is strongly correlated to its thickness, deposition time, and chemistry of the substrate. As an example, we found that HfO2 deposited with HfCl4 and H2O at 200 °C is crystalline (mostly monoclinic) down to 3 nm thickness when deposited on Pt, while it exhibits an amorphous morphology up to 8 nm thickness when deposited on the TiN substrates.45 D. M. Hausmann and R.G. Gordon provided a clear mechanism for the nucleation and growth of the HfO2 crystallites during the thermal ALD process:46 after x ALD cycles and the initial formation of nuclei, the next ALD cycle contributes to enhance their mass resulting in a growing crystallite. As the film grows thicker, it becomes crystalline, thanks to the growth of crystallite nuclei. The crystalline film growth rate is also observed to be larger than that of the amorphous material. This observation is in agreement with the high diffusion rate of metallic adsorbates on a metallic surface, contributing to an organized crystalline growth mode. Conversely, the diffusion of metallic nuclei physisorbed on nitride or oxide surfaces is in comparison partially hindered, due to their relatively lower thermal conductivity, which in turn favors amorphous phase formation.47 Fig. 7 shows an illustration of an optimized HfO2 ASD process on two different substrates, for which thick crystalline HfO2 is first deposited on growth areas. Successive ALE etchback steps with SF4 and DMAC (dimethylaluminum chloride) should then only etch amorphous HfO2 on non-growth areas, in other words EPCG = 0. In such an approach, no initial nucleation delay is needed between the two substrates if the crystalline properties of the thin film can differ in both growth and non-growth areas. Finally, the deposition scheme as a function of the number of ALD cycles is illustrated in Fig. 8. Optimization of the process reactor – addressing crosscontamination issues and process drifts If chemically induced, the etching rate is controlled by substrate temperature only, and thus, the temperature of the ASD process is dictated by that of the etching process, as long as this temperature remains within the ALD window of the metallic precursor. The possibility for PEALD processes to provide a large ALD operating temperature window is an interesting advantage giving relative flexibility in terms of temperature adjustment of ASD processes with etch-back steps. However, etching conditions do not solely depend on temperature set points 448 | Dalton Trans., 2022, 51, 442–450 Fig. 7 Illustration of etch-back strategies leading to ASD, when dealing with various morphological states of a thin film. A = growth area; B = non-growth area. Fig. 8 Film thickness as a function of ALD cycles. In this example, only the amorphous material is etched, and no passivation step or initial nucleation delay is needed to achieve a high selective thickness. A = growth area, B = non-growth area, a = amorphous, and c = crystalline. Solid line = ALD crystalline film; dotted line = ALD amorphous film. leading to volatile product formation. When a plasma etching step is needed for an ASD process development, then the corresponding plasma parameters must be adjusted carefully to the reactor design as well. Table 3 lists some PEALD reactor designs provided by suppliers, along with various types of etch-back steps that can be successfully developed in such reactors. Reactors used for ASD processes developed at the LTM laboratory were respectively, a 300 mm CCP tool from PlasmaTherm working at high pressure, for the incorporation of an isotropic etch-back step in the ASD process,15,16 and a 200 mm Table 3 Etch-back steps that can be developed in conventional PEALD tools (CCP = capacitively-coupled plasma, ICP = inductively coupled plasma, MW = microwave, and ALE = atomic layer etching) PEALD tool Etch-back step CCP discharge with mesh or grid CCP discharge without mesh ICP or MW discharge used as a remote system ICP or MW discharge operating at low pressure Isotropic only Isotropic mainly/anisotropic in some specific cases only Isotropic only Anisotropic or isotropic, depending on the nature of the plasma etching gas and material to be etched; a substrate biasing is needed for accurate control of ion energy (plasma ALE) This journal is © The Royal Society of Chemistry 2022 View Article Online Dalton Transactions Perspective Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. prevent it in non-growth areas. When growth begins in nongrowth areas, then a corrective step must be applied, leading to the design of ASD by super-cycle alternating deposition and etch. The optimization of such processes relies mostly on the optimization of the etching step itself (chemistry, frequency, time, etc.). We have discussed the extent to which other complementary selective properties can also be used to optimize ASD based on super-cycles, such as structure selective deposition, whereby a difference in thin film morphology in growth and non-growth areas can be smartly taken advantage of during the etching step. Fig. 9 Illustration of a spatial ASD process with separated zones for the co-reactant and etch plasmas to prevent any cross-contamination (adapted from the schematic of the TEL NT333™ tool). ICP tool from Oxford Instruments with a low power RF substrate biasing and working at low pressure to ensure a non-collisional sheath near the substrate.34,35,48–50 The low power regime of the 13.56 MHz RF waveform biasing system is the key to the development of ASD with super-cycles of PEALD and Plasma ALE. Similarly, the optimization of super-cycles for TSD process development presented earlier requires a selective and anisotropic etch-back step, with an excellent nanometerscale control over the etch rate. In this case, a plasma ALE process is the process of choice, with low energy ion flux to enable a precise control of their energy, and most importantly an ion energy distribution function as narrow as possible. In this case, an additional polarizing system with a tailored waveform may be preferred over a conventional RF waveform.51 The addition of etching chemistries into an ALD chamber can modify the global state of the reactor via gaseous adsorbates on reactor walls. In the case of a plasma based ASD process, this can alter the plasma chemistry during the subsequent deposition process, via modifications of radicals (O, H), recombination probability at reactor walls, and desorption of etching adsorbates during deposition. This may in turn lead to flows of radicals containing etching species towards the surface of the material, raising non negligible cross-contamination and process drift issues. To circumvent such problems, spatial ALD seems to be more appropriate, because it provides separate reactant localization in space for both deposition and etching steps, as suggested by A. Mameli et al. and P. Poodt et al.52–55 Fig. 9 shows a possible design of a spatial ALD process for ASD with etch-back steps, where plasmas for deposition (co-reactant) and etching are not localized in the same region, thus considerably limiting process drift and cross-contamination issues. Conclusions Area selective deposition processes should ideally provide uniform growth in desired growth areas, and simultaneously This journal is © The Royal Society of Chemistry 2022 Conflicts of interest There are no conflicts to declare. Acknowledgements This work was partly supported by the French RENATECH network. Notes and references 1 G. N. Parsons and R. D. Clark, Chem. Mater., 2020, 32, 4920. 2 A. J. M. Mackus, M. J. M. Merkx and W. M. M. Kessels, Chem. Mater., 2019, 31, 2. 3 G. N. Parsons, J. Vac. Sci. Technol., A, 2019, 37, 020911. 4 A. J. M. Mackus, A. A. Bol and W. M. M. Kessels, Nanoscale, 2014, 6, 10941. 5 J. Yarbrough, A. B. Shearer and S. F. Bent, J. Vac. Sci. Technol., A, 2021, 39, 021002. 6 M. Junige and S. M. George, J. Vac. Sci. Technol., A, 2021, 39, 023204. 7 M. J. M. Merkx, R. G. J. Jongen, A. Mameli, P. C. Lemaire, K. Sharma, D. M. Hausmann, W. M. M. Kessels and A. J. M. Mackus, J. Vac. Sci. Technol., A, 2021, 39, 012402. 8 R. Khan, B. Shong, B. Guk Ko, J. Kwang Lee, H. Lee, J. Young Park, I.-K. Oh, S. Shumi Raya, H. Min Hong, K.-B. Chung, E. J. Luber, Y.-S. Kim, C.-H. Lee, W.-H. Kim and H.-B.-R. Lee, Chem. Mater., 2018, 30, 7603. 9 M. Fang and J. C. Ho, ACS Nano, 2015, 9, 8651. 10 A. Hader, P. Deminskyi, T. M. Khan, H. Eren and N. Biyikli, J. Phys. Chem. C, 2016, 120, 26393. 11 J. A. Singh, N. F. W. Thissen, W. H. Kim, H. Johnson, W. M. M. Kessels, A. A. Bol, S. F. Bent and A. J. M. Mackus, Chem. Mater., 2018, 30, 663. 12 J. K. Sprenger, H. Sun, A. Cavanagh, A. Roshko, P. T. Blanchard and S. M. George, J. Phys. Chem. C, 2018, 122, 9455. 13 C. Cummins, M. T. Shaw and M. A. Morris, Area Selective Polymer Brush Deposition, Macromol. Rapid Commun., 2017, 38, 1700252. Dalton Trans., 2022, 51, 442–450 | 449 View Article Online Published on 16 November 2021. Downloaded on 1/14/2022 4:19:14 PM. Perspective 14 F. S. M. Hashemi, C. Prasittichai and S. F. Bent, ACS Nano, 2015, 9, 8710. 15 R. Vallat, R. Gassilloud, B. Eychenne and C. Vallée, J. Vac. Sci. Technol., A, 2017, 35, 01B104. 16 R. Vallat, R. Gassilloud, O. Salicio, K. El Hajjam, G. Molas, B. Pelissier and C. Vallée, J. Vac. Sci. Technol., A, 2019, 37, 020918. 17 H. Kim and Il.-K. Oh, Jpn. J. Appl. Phys., 2014, 53, 03DA01. 18 H. C. M. Knoops, T. Faraz, K. Arts and W. M. M. Kessel, J. Vac. Sci. Technol., A., 2019, 37, 030902. 19 H. B. Profijt, S. E. Potts, M. C. M. Van de Sanden and W. M. M. Kessels, J. Vac. Sci. Technol., A., 2011, 29, 050801. 20 H. Kim, Thin Solid Films, 2011, 519, 6639. 21 C. Detavernier, J. Dendooven, D. Deduytsche and J. Musschoot, ECS Trans., 2008, 16, 239. 22 R. Ovanesyan, E. A. Filatova, S. D. Elliott, D. M. Hausslann, D. C. Smith and S. Agarwal, J. Vac. Sci. Technol., A, 2019, 37, 060904. 23 D. R. Boris, V. D. Wheeler, N. Nepal, S. B. Qadri, S. G. Walton and C. R. Eddy, J. Vac. Sci. Technol., A, 2020, 38, 040801. 24 H. C. M. Knoops, E. Langereis, M. C. M. Van de Sanden and W. M. M. Kessels, J. Electrochem. Soc., 2010, 157, G241. 25 G. Dingemans, C. A. A. van Helvoirt, D. Pierreux, W. Keuning and W. M. M. Kessels, J. Electrochem. Soc., 2012, 159, H277. 26 M. Krishtab, S. Armini, J. Meersschaut, S. De Gendt and R. Ameloot, ACS Appl. Mater. Interfaces, 2021, 13, 32381. 27 E. Stevens, Y. Tomczak, B. T. Chan, E. Altamirano Sanchez, G. N. Parsons and A. Delabie, Chem. Mater., 2018, 30, 3223. 28 A. Erradi, R. Clergereaux and F. Gaboriau, J. Appl. Phys., 2010, 107, 093305. 29 W. L. Gladfelter, Chem. Mater., 1993, 5, 1372. 30 M. F. J. Vos, S. N. Chopra, M. A. Verheijen, J. G. Ekerdt, S. Agarwal, W. M. M. Kessels and A.J. M. Mackus, Chem. Mater., 2019, 31, 3878. 31 S. K. Song, H. Saare and G. N. Parsons, Chem. Mater., 2019, 31, 4793. 32 S. E. Atanasov, B. Kalanyan and G. N. Parsons, J. Vac. Sci. Technol., A, 2016, 34, 01A148. 33 J. Lee, J.-M. Lee, H. Oh, C. Kim, J. Kim, D. H. Kim, B. Shong, T. J. Park and W.-H. Kim, Adv. Funct. Mater., 2021, 31, 2102556. 34 A. Chaker, C. Vallee, V. Pesce, S. Belahcen, R. Vallat, R. Gassilloud, N. Posseme, M. Bonvalot and A. Bsiesy, Appl. Phys. Lett., 2019, 114, 043101. 35 M. Jaffal, T. Yeghoyan, G. Lefèvre, R. Gassilloud, N. Possémé, C. Vallée and M. Bonvalot, J. Vac. Sci. Technol., A, 2021, 39, 030402. 450 | Dalton Trans., 2022, 51, 442–450 Dalton Transactions 36 M. F. J. Vos, S. N. Chopra, J. G. Ekerdt, S. Agarwal, W. M. M. Kessels and A. J. M. Mackus, J. Vac. Sci. Technol., A, 2021, 39, 032412. 37 B. M. Coffey, H. C. Nallan and J. G. Ekerdt, J. Vac. Sci. Technol., A, 2021, 39, 012601. 38 B. M. Coffey, H. C. Nallan, J. R. Engstrom, C. H. Lam and J. G. Ekerdt, Chem. Mater., 2020, 32, 6035. 39 X. Yang, H. Nallan, B. M. Coffey and J. G. Ekerdt, in AVS ALD/ALE 2021 conference. 40 R. L. Puurunen and W. Vandervorst, J. Appl. Phys., 2004, 96, 4878. 41 R. L. Puurunen and W. Vandervorst, J. Appl. Phys., 2004, 96, 7686. 42 J. A. Murdzek and S. M. George, J. Vac. Sci. Technol., A, 2020, 38, 022608. 43 J. A. Murdzek, A. Rajashekhar, R. S. Makala and S. M. George, J. Vac. Sci. Technol., A, 2021, 39, 042602. 44 R. Mullins, S. K. Natarajan and M. Nolan, 2021 Meet. Abstr. MA2021-01 838. 45 C. Jorel, C. Vallée, E. Gourvest, B. Pelissier, M. Kahn, M. Bonvalot and P. Gonon, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom., 2009, 27, 378. 46 D. M. Hausmann and R. G. Gordon, J. Cryst. Growth, 2003, 249, 251. 47 S. Andrieu and P. Müller, Mécanismes de croissance sur une surface, in Les surfaces solides: concepts et méthodes, EDP Sciences, Les Ulis, 2021, pp. 401–420, DOI: 10.1051/ 978-2-7598-0293-7.c028. 48 T. Yeghoyan, V. Pesce, M. Jaffal, G. Lefevre, R. Gassilloud, N. Posseme, M. Bonvalot and C. Vallée, J. Vac. Sci. Technol., A, 2021, 39, 032416. 49 S. Belahcen, C. Vallée, A. Bsiesy, A. Chaker, M. Jaffal, T. Yeghoyan and M. Bonvalot, J. Vac. Sci. Technol., A, 2021, 39, 012410. 50 C. Vallée, M. Bonvalot, S. Belahcen, T. Yeghoyan, M. Jaffal, R. Vallat, A. Chaker, G. Lefèvre, S. David, A. Bsiesy, N. Possémé, R. Gassilloud and A. Granier, J. Vac. Sci. Technol., A, 2020, 38, 033007. 51 T. Faraz, Y. G. P. Verstappen, M. A. Verheijen, N. J. Chittock, J. E. Lopez, E. Heijdra, W. J. H. van Gennip and W. M. M. Kessels, J. Appl. Phys., 2020, 128, 213301. 52 A. Mameli, B. Karasulu, M. van Es and F. Roozeboom, ECS Meet. Abstr. MA2021-01, 839 (2021). 53 A. Mameli, F. Roozeboom and P. Poodt, ECS Meet. Abstr. MA2019-02 1138 (2019). 54 A. Mamely, A. Fawzy, F. Roozeboom and P. Poodt, European Patent Application, EP3822389A1, 2021. 55 P. Poodt, Nevac Blad, 2020, 58, 29. This journal is © The Royal Society of Chemistry 2022