Uploaded by abbotdan69

Ans of bank

advertisement
1. What is the difference between anisotropic etching and isotropic etching? Describe in detail
the wet anisotropic etching technique used for silicon.
Ans:isotropic etching
Isotropic etching is etching in all
directions.
anisotropic etching
Anisotropic is not all equal directions.
If Highly dopes silicon either P-tpe or N- If Highly dopes etching rate is less
type the etching is faster
,specially in case of boron
2. Describe all wafer bonding techniques and their applications in detail.
Ans
Wafer bonding is used for micro-fluidic channel , sealing micro sensors, build hybrid
structures. It is bonding between a conductive substrate, typically silicon and sodium doped
glass substrate
Type of bonding:(strongest to weakest)
1. Fusion Bonding
2. Anodic Bonding
3. Eutectic Bonding
4. Low temperature glass bonding
5. Polymer Bonding
widely used to least used, below
Anodic Bonding






It is bonding between a conductive substrate typically silicon and sodium doped glass
substrate
silicon and sodium doped glass substrate are kept in close contact and bias such that
silicon is connected to +ve and glass is connected to –ve
this cause sodium ions to attracted to other side to -ve charge cathod and as a result
depletion layer is formed without sodium ion. this region is on silicon side of glass
(around 1 micro meter)
the voltage around 700 volts drop across high resistant depletion layer such that layer
has a huge electric field this electric field oxidize the silicon and bonds it.
here 350c to 500c high temperature is also required to move sodium ions to have
enough thermal energy to move other side
Ideally, the glass and the wafer should have similar thermal expasion coefficients.
Corning glass 7740 is best for Si. This glass can be sputtered into Si, allowing anodic
bondig of two silicon wafers.




Requirement for this bonding
High Temperature 350 to 500c
glass must be sodium doped
High voltage is required 400 to 700 v

Used in wafer bonding , Back etching
2. Low temperature Glass Bonding



First the wafer surface is coated with a thin layer of low-temperature glass.
Two wafers are bought into contact figs are kept on one
Glass frits are combinations of metal oxides in paste form. Under pressure, they fill
in gaps and planarize the wafer surface. The bond forms when the frit is cured by
heating. (300-600C)





the wafer are bought in contact and high force is applied and heating is done
Medium Thermal compression is done and it is compressed
No electric field is required.
(PSG) phosphosilica glass and Borosilica glass (BSG) can be used
No high voltage so strength of bond is less
3 Fusion Bonding
(not used now a days)
 The wafers are fused together at very high temperatures (1000C-1500C)


First the wafers are pressed together, then heated at high temperature when
temperature is increased the molecules arrange themselves so that there is a strength
forcing bond betweeen them (this is due to Van Der Waal forces) until the surfaces
bond by Van Der Waal forces.
Fusion bonding requires very clean and flat surfaces,between silicon to silicon but
produces excellent bonds.
4 Eutectic Bonding
Eutectic point is used between two mixture of two element
Its used between lead and tin ,its like sorting a circuit
If there is completely tin and the temperature is increased, it will start melting
first there will be some solid piceses floating on liquid its beta phase and then it will melt to
total liquid
at around 240c
Similar process will happen with lead at 327 c,It has huge temperature range which has alpha
phase where lead pieceses fload around
If Lead and tin are alloyed at 61.9 percentile the liqquidfication temperature drop to 180c
instead of 327 or 240c
sorting is called Eutectic Bond
In mems silicon wafer form eutectic phase diagram with gold
if silicon and gold are coated together such that silicon atomic % in gold is 18.6% then
heated upto 363 deg then it will form eutectic bond instead of melting temp of 980 for pure
gold and 1300 deg of pure silicon
can bes used as alloing silicon aaloo and gold with other
5 Polymer Bonding
This is great for bonding requirements where low temperature is desired. Polyimides,
epoxies and other polymers are used as “glues”. The resultant bond is usually not high
quality and suffers from aging.
used for temporary bonding
3. Describe Si bulk micromachining including wet isotropic and anisotropic etching,
with etchant names, masks, and etch stops. Compare the etch profiles and the effect
of the etchants on different crystallographic directions.
Ans
4. What does CVD stand for? Describe the technique with different variations, LPCVD,
PECVD etc. Give one example with a chemical reaction equation.
Ans
chemical vapor deposition involves a chemical reaction. Therefore, the resultant film that is
deposited is different from that. The components at the beginning
if you have chemical vapor deposition, you'll
have to make sure that all air, all of the gases have a mass flow meter, which measures how
much you're putting in.And all of them also have toxic detectors. So most of the time these
actually gases are outside the clean room. They are put in all of them with the detectors.
They're in gray areas,
first, the furnace is heated in an inert gas when deposition temperature is reached the reactive
gas is introduced
There are two type of CVD
1. LPCVD(low pressure chemical vapor deposition)
 To have enough reach it is done at high temperature(600℃ 𝑡𝑜 900℃ ) and low
pressure
 As gas is heated the substrate is also heated and if there is a material on substrate
which can not withstand the the high temp then its not possible to do LPCVD and so
low temp os used that is PCVD
 3.1.E Chemical Vapor Deposition: (CVD)

In CVD, gas is broken down to its species, some of which nucleate on the substrate,
forming a film. It is done in a furnace.

pressure
sensor
wafers
Exhaust
to pump
wafer boat
gas inlet
3-zone heater
First, furnace is heated in inert gas (like N2). When the deposition temperature is reached, N2
is turned off, and the reactive gas is introduced. Many different thin films can be deposited
using this method, including polycrystalline silicon, silicon nitride, silicon dioxide and
refractory metals like tungsten.
Most CVD films are amorphous or polycrystalline. However, a special CVD called epitaxial
CVD grows crystalline films.
2.PCVD(Plasma Enhanced CVD)
 It is done at 300℃ 𝑡𝑜 600℃ depends on which is used ,but if temperature is low
molecules will not have enough energy to dissociate and go to wafer and for
material so a plasma is ignite by powering gas
 It has fast deposition rate
 quality of thin film is not good compared to LPCVD because precursor gases have
hydrogen, at low temperature hydrogen do not have enough energy to come out
and so it get trapped and have electrically active atoms H2 so Stoichiometry of the
film usually varies due to trapped by-products like H2
 or stuck there and causes structural issues like crack, voids
Techniques
1. Radial PECVD with resistor heaters T=100 – 400 ˚C.




Wafers are placed on round susceptor and air is pumped out and then gases are
introduced and RF power is used as I/P and heated
it is rotated to get uniform deposition, it has magnetic rotation.
due to toxic material used the byproduct is also toxic they are pumped out or scrubbed
Temperature is precisely controlled
2. Radial PECVD with lamp heaters T=100 – 400 ˚C.
CVD chemical equations
SiO2 Deposition-----IMP
Saline SiH4 ( a mixture of salt and water)
O2- Oxgen
H2=hydrogen (not toxic)
SiH4 + O2  SiO2 + 2H2 450 - 500˚C PECVD
TEOS Tetra-ethyl-ortho-silicate
Si(OC2H5)4  SiO2 + 2H2O+4C2H4
720˚C LPCVD
SiCl2H2 + 2H2O  SiO2 + 2H2 + 2HCl
900˚C LPCVD
SiCl2H2 + 2N2O → SiO2 + 2N2 + 2HCl
900˚C LPCVD
Si3N4 Deposition-----IMP
3SiCl2H2 + 4NH3 → Si3N4 + 6HCl + 6H2
700-800 ˚C LPCVD
2SiH4 + N2 → 2SiNH + 3H2
300-400˚C PECVD
SiH4 + NH3 → SiNH + 3H2
300-400˚C PECVD
Polycrystalline or amorphous Si Deposition---IMP
SiH4  SiO2 + 2H2 low-T PECVD yields amorphous, high-T LPCVD yields polycrystaline
3.Thermal Oxidation
In reactive growth, a chemically reactive species combines with the substrate to form a new
film.
For example for oxide:
x`
Advantages:
1. Simple. Can be done in a furnace with reactive gases.
2. Excellent quality of thin film.
Disadvantages:
1. Due to diffusion rate limitation of the reactive species, only thin films are possible. Thin
film formation rate depends on:
a. Reaction rate
b. Diffusion rate of the reactive species
2. Limited to thin films. <1m.
Thermal oxide thickness vs. time curves for (100) and (111) Si in a wet or dry oxidation
furnace at different temperatures.
14. Describe different methods of depositing or growing SiO2 on Si, write the chemical
reaction equations, compare the quality of the resultant SiO2 film. (25 pts) S10D, F12,
S14, S14D,
Ans
In all of the deposition techniques one material or two materials, are taken either physically
combining them. That means no chemical reaction or making the ambient conducive
for them to have a chemical reaction and then depositing them onto the wafer.
There are Two Deposition
1. Physical Deposition
A. Thermal evaporation(complex then spinning)
B. sputtering(middle)
C. spin deposition or spinning (less complex)
2. Chemical vapor deposition (CVD)
A. Low pressure CVD
B. Plasma enhanced CVD
C. Thermal Oxidation(refer notes)
C. Spin deposition or spinning
Thin films are essential building materials in semiconductor microsensors. Usually 0.05- 5
m thick. Generally physical and chemical deposition means are used.
3.1.A Spin Casting:

It is used to deposit photoresist in photolithography, spin on glass
Thin film material is in solution in a volatile liquid solvent.
 The dissolved material is poured on the wafer.
 Wafer is rotated at high speed
 The volatile solvent evaporates, leaving a uniform thin layer of solid
material.
Used for deposition of organic materials such as photosensitive resists polyimides and
inorganic spin-on glasses.
Depends on revolution per minute
From
Thickness of the film depends on:
1. Degree of solubility
2. Viscosity
3. Spin speed
Advantages
1. Planarizes small irregularities on surface
2. Simple
3. Inexpensive
Disadvantages
1. Does not yield a continuos film across steps higher than two to three times the film
thickness.
2. Suffers from film shrinkage after bake, which causes a high-stress state.
3. Films tend to be less dense and therefore more susceptible to chemical attack.
A .Thermal evaporation
Schematic inside the chamber








This technique is used for metals that have low evaporation temperature
Aluminum or gold pallets are kept on source
Material or Metal to be deposited is kept on holder (tungsten wire or Crucible) it has
electrical connection to heat it using joule heating the
Bell jar is connected to vacuum pump it is evacuated
First bell jar is evacuated then The wafer are placed in wafer holder and chamber is
evacuated
current is suppled and the material start melting
The first layer is evaporated the shutter prevent the first layer to be deposited on wafer
as it is contaminated
After some minute the shutter is opened and evaporation is done everywhere including
the wafer ,the material evaporated and attach themselves to wafer due to loss of thermal
energy
Film thickness is determined by:
1. The time the shutter is opened.
2. Vapor pressure of the material which determines the evaporation rate.
if the wafer vapor pressure is high example aluminum in atmospheric pressure will
have more aluminum atoms therefore deposition will be high and if vapor pressure is
low then the deposition will be low
Advantages:
1. Relatively simple and inexpensive. no chemical reaction
2. Works great for metal films with low melting point (aluminum, gold, copper).
Disadvantages
1. Hard to deposit films with high melting point such as refractory metals (tungsten).
2. Since a point source is used, there might be shadow effects.
3. Coverage is determined by the mobility of the evaporated molecules on the surface.
4. Only thin layers can be obtained. Less than 1.
5. can not deposite silicon dioxide ,silicon nitrite, amorphous silicon or any having high
melting temp
3.1.C Sputtering
This overcomes many problems associated with thermal evaporation.
Matching
Network
Target
Plasm
a
Ion
Sheath
Substrate
Support
Forward Reflected
Power Power
Vacuum
Chamber
rf
generato
r
Baseplate
Schematic of a radio frequency sputtering system.
First vacuum chamber is evacuated to 10-7-10-8 Torr. Then Ar or He is let into the chamber.
Then plasma is formed using dc or rf power supply. Target is cathode. Wafers (substrates)
are anode. The ions of plasma take material of the target, which lands on the substrate
coating a thin film.








1.
2.
3.
4.
The gun shot or spit the neutral argon atoms, there is a target that has source atom
Electric field is applied (DC or RF ) the waffer get +ve charge and target –ve charge,
Argon atom from the gun associates into argon an electron the argon is attracted to –
vely charge target because of vacuum argon can accelarate and sputter off neutral
atoms
this atoms goes everywhere including wafer
Meanwhile, electron get accelerated toward +vely charge wafer which decrease +ve
charge as a result reduced electric field
To handle or tap this electrons magnetic field is introduced and it is called magnetron
sputtering It keep the electron in the magnetic field
we can sputter metal , dieletric, insulator
when Non metal material are deposited example insulator it get deposited and also get
charge so insted of dc RF voltage is applied
 Advantages:
Better step coverage than evaporation. Especially if magnetic fields are introduced into
the plasma.
Almost all materials can be sputtered.
Can use more than one target: co-sputtering.(gold and aluminium)
Can use multiple substrates: mass production.
Disadvantages:
1. More complicated than evaporation.
Patterning Technique
1.Lithography
2.Lift OFF
beam machine, a fine electron beam will enter the pattern onto a chrome plated glass plate to
create a mask. After etching, the masks are carefully inspected for defects.And undergo a
final wash, the etched regions allow an ultraviolet light to expose a desired pattern onto a
wafer.A protective covering called a pelican(chrome that block ultra violating light)
Photo lithography prints, the mask patterns onto the silicon dioxide
photo resist is first spun on the wafer. Ultraviolet light exposes the resist. When
developed, a mask pattern remains. here we are changing properties of photoresist by
exposing to UV light the sections that haven't been exposed behave differently than the
sections that have been exposed. And what happens to the exposed and unexposed sections is
determined by whether you have a positive or negative presence.In end is the Photoresist
remaining in certain sections, in certain areas and photoresist not being there in certain other
areas. So you use the photoresist itself as a tempelet. And to define different regions, and you
can etch through those windows to whatever is under it. Subtracting technique means were
deposit everywhere, and we removed the sections we don't want.How do we define the
sections we don't want? We put a little photoresists templet on it Etching remove the material
from exposed region doping, introduces ions to change the conductivity of specific areas
Photolithograph exposes and develops photosensitive films to make the integrated ckt
Photo lithography requires exposure systems which are environmentally controlled for
temperature, humidity and filtered airflow. Exposure systems like these iline stepper are often
used for the noncritical IC levels. The DUV Extremer scanner attached to this track system
is used for more critical levels.
Photo resists are manufactured to produce a specific thickness based on viscosity and
standard chuck speeds.Higher the chuck speed the thinner the Photoresists.
resists can be customized to achieve optimum patterning of specific ic layers.
To work well photoresist is carefully selected for the following tolerances level
Photoresist used in mems is called SU-8 its negative thick photoresist The ultimate resolution
is the minimum feature size that can be repeatedly produced by an exposure system.
Obtaining this resolution is dependent on many variables. One variable is the thickness of
the resist.
I don't want it to etch, but it's going to little bit. Its called selectivity
𝑉𝑒𝑟𝑡𝑖𝑐𝑎𝑙 𝑑𝑖𝑚𝑒𝑛𝑡𝑖𝑜𝑛
 AR= ℎ𝑜𝑟𝑖𝑧𝑜𝑛𝑡𝑟𝑎𝑙 𝑑𝑖𝑚𝑒𝑛𝑡𝑖𝑜𝑛
 as we make three dimensional structures in MEMS Aspect ratio is very
important
 so thick photoresist is used and Liga or su-8 technique is used it give AR >>
then 3.5
Selectivity is the rate of particular photo resist edges relative to the material being etched.
Sometimes we cannot use the plural, but as a mask, we have to first define another mass with
it and then use that as a template( photo resist is going to be etched a little bit some etchants
etch fast and some slow.So what we want is the ratio of etching rate for what we're trying to
pattern to be much higher than the photoresist so that it can remain as a mask against the
etchent )
The wavelength of the light used an exposure also affects resolution, the shorter the
wavelength, the finer the resolution.










These lines come from the mercurial lamp that is filled with mercury
gas, and when it is excited electrically, it forms of plasma and has a spectral emission
in specific wavelengths.And there are three that are of use to us.H G& I
we want the highest energy, one ,lowest wavelength one because the diffraction is at
least on that.
Inside this glass tube is a small amount of thick
liquid mercury applying a high voltage creates an arc between the two electrodes,
heating the mercury to a gaseous state. The gas produces ultraviolet light grouped in
different frequency ranges.The high energy ranges used in photo lithography had been
the I line H Line and G line (UV)
The higher the energy the lower the wavelength or high frequency
before photo resistors applied. The wafers undergo dehydration bake at a
temperature of 150 to 200 degrees Celsius.
We do lowest wavelength which is I line
This removes all moisture so the resist can adhere to the wafer surface.
a pre-work solvent is applied to minimize the amount of resistance used in the
coating process.(by spinning)


To ensure reproducibility across each wafer and from one wafer to another.The
humidity of the bowl and the temperature of the resist must be constant.


at temperatures of 80 to 100 degrees centigrade.The resist solvent evaporates evenly
The wafers are now transferred to the stepper.Wear a mask pattern will be
exposed onto the wafer suface
The radical and wafer must be precisely aligned. stepper measures 10 wafer locations to
correct xy, and they have registration.
 The wafer stage position is determined with the laser interferometer.
corrections are made and the wafer is exposed. The illumination optics collect the
light and manipulate it to provide uniform illumination on the reticle light coming through the
redical passes through the reduction lens assembly.
 the pattern of light is reduced and focused on to the wafer surface.
 The light penetrates the entire resist thickness and reflects off the surface
beneath the resist.
 reflected light contributes to the resist exposure. The total dose must provide
sufficient energy to ensure all the resist dissolves when developed.
 If not, succumb to areas of undeveloped resist occure.step and exposed process

is repeated until the whole wafer is covered with individual exposures.
After exposure, the wafers are developed. Developers are an awkvious
basic solution. The most common consists of two to three %
tetramethylammonium hydroxide (TMAH) and deionized water

Most facilities use a developer, which is 0.262 normal.Normal is a unit of measure for
the basic strength.

A precise concentration of developers ensures that the critical dimensions
of the image are maintained.











When developers piled onto a wafer, it neutralizes the acid in the exposed area
In MEMS mostly negative photoresist is used specifically SU-8(negative
photoresist)
It is a kind of a photoresist that allows us to edge very deep levels,
when light exposes the resist, it causes a chemical reaction. The photoactive
compounds convert to an acid, which allows the basic developer to dissolve the
resist after the after the majority of developing takes place. Water rinses away
the exposed resist to developer.
A final spin cycle at high speed dry the wafer
To achieve good adhesion, the wafers are then baked also called Pre-exposure
bake and soft bake exposure with UV light at temperatures ranging from 105 to 130
degrees centigrade The heat dries out water and hardens the resist
And then there's also a post-exposure bake or hard bake
Then Defect are scanned and wafers can be reworked if necessary by stripping the
photoresist and starting the process again.
After we goes through several photolithography steps, we get to the levels of fine
critical dimensions.
R = Resolution, lambda 𝜆, NA = the lens, the ability to capture refracted light.
R we wantit to be low are is actually the the smallest line that you can write smaller
the lambda 𝜆 better resolution or you can decrease the numerical aperture NA
but problem is when the numerical aperture is changed, the depth of focus changes
too (It like photography , Depth of focus is where I can focus, whether I can focus at
you and you all the way back at the same time So if I can, my depth of focus is greater
than this distance.)











in MEMS we have a depth of focus issue because og High Aspect ratio structures,
which means three dimensional structures our third dimension is very
important.So we care not only about our almost as much for depth of focus.
With the highly reflective polysilicon or metal layers, the deposition of a dielectric
anti-reflective coat is needed before lithography begins,
anti-reflective coats prevents standing waves that can destroy the pattern resolution as
light waves reflect off shiny substrates.They form high intensity regions called
constructive interference.
A low intensity node called destructive interference occurs with the
upward and downward waves cancel.High energy notes developed quickly.Low
energy nodes developed slowly.
Depositing a super absorbing material before the photo exists tO spread ensures an
accurate (Silicon oxice SION) using PECVD
After the anti-reflective coat has been deposited, the wafers are prepped for duV
exposure.
The dUV resist, is it chemically amplified, resist the common resin used as
polyhydroxy styrene polymer, this white compound is the photo acid generator that
reacts to UV
When exposed to light, a catalytic reaction starts that can only be stopped by
post-exposure bake.(image reduction ratio4 : 1)
DUV resist polymers are chemically blocked and don't dissolve the developer
when the photoresist is exposed to Krypton light the photo acid generators change
to acid, which unblock resist and alowed it to desolve Some DUV resists,
complete the majority of their chemical reaction in this step.Others completed when
exposed to hard bake.

IN MEMS we use both sides because we make three dimensional we have
double sided mask aliner


the top mask and the bottom mask, are align with each other,
Then you bring in the wafer and then you align the wafer to the top. then your
sandwich it you flip it. and expose the bottom
Disadvantage
need to match the etchant to the specific thin film(match what we are tring to etch with the
etchant )
Lift OFF
In lift off Etching is chemical the etchant chemically interact with the thing we try to etch and
removes it the lift off occurs mechanically
Download