SIMOX BOX Metrology Using Physical and Electrical Characterization by

advertisement
SIMOX BOX Metrology
Using Physical and Electrical Characterization
by
Jung Uk Yoon
Submitted to the Department of Materials Science and Engineering in
partial fulfillment of the requirements for the degree of
Master of Science in Materials Science and Engineering
at the
MASSACHUSETTS INSTITUTE OF TECHNOLOGY
September 1995
©(Jung Uk Yoon, MCMXCV. All rights reserved.
The author hereby grants MIT permission to reproduce and distribute copies
of this thesis document in whole or in part, and to grant others the right to do so.
......
. .................................of Materials Sie6nce and Engineering
Author...............-.......
,,Iertment
August 11. 1995
Certified by...
. . ..........................
. . . . ...
o
.............. .,
........
James E. Chung
//
te Professor of Electrical Engineering
C ertified by ..............................................................
Carl
.........................
... ..........
II
V. Thompson
Professor of Electronic Materials
A ccepted by .......................................................................
.
~.;,:I> , t -rEI,',:2Sr
OFTECHNOLOGY
NOV 0 7 1995
LIBRARIES
Carl V. Thompson II
Professor of Electronic Materials
Chair. Department Committee on Graduate Students
SIMOX BOX Metrology
Using Physical and Electrical Characterization
by
Jung Uk Yoon
Submitted to the Department of Materials Science and Engineering
on August 11, 1995, in partial fulfillment of the
requirements for the degree of
Master of Science in Materials Science and Engineering
Abstract
As the SOI manufacturers are poised to move onto high-volume production in
response to renewed interest in using SIMOX materials tor CMOS VLSI applications
where low-power consumption and higher density are important, it is imperative for the
manufacturers and consumers to have a quality-monitoring technique at their disposal.
Traditional methods such as transmission electron microscopy and copper plating offer a
long turn-around time and are not comprehensive enough. An electrical technique using
high-field conduction testing is developed as an attempt to answer this problem.
Electrical testing has the advantage of shorter turn-around time compared to TEM and
provides important materials parameters which were available only via several methods
before. Use of high-field testing for SIMOX buried oxide metrology is evaluated through
physical verifications. High-field tunneling characteristics are measured and physical
parameters such as silicon island density and BOX non-stoichiometry are extracted from
these characteristics. Then extracted parameters are compared to the values measured via
physical means A!though the correlation is not perfect, it gives the possibility of using
this technique for actual metrology technique in manufacturing line.
Thesis Supervisor: James E. Chung
Title: Associate Professor of Electrical Engineering
Thesis Supervisor: Carl V. Thompson II
Title: Professor of Electronic Materials
Table of Contents
LIST OF FIGURES ....................................................................................................................................... 4
ACKNOW LEDGMENT ............................................................................................................................... 5
INTRODUCTION ......................................................................................................................................... 6
SIMOX BURIED-OXIDE CHARACTERISTICS ..................................................................................... 9
SIMOX
SIMOX
BURIED OXIDE FORMATION MECHANISMS .........................................................................................
B URIED-OXIDE MICROSTRUCTURE ...................................................................................................
9
10
Buried-Oxide Silicon Islands.................................................................................................................... 10
Buried-Oxide Non-Stoichiometry ............................................................................................................. 11
Other Types of SIMOX Materials ............................................................................................................. 12
BURIED-OXIDE HIGH-FIELD ELECTRICAL CHARACTERISTICS.........................................................................
13
Electric-field dependence ......................................................................................................................... 13
Temperature dependence.......................................................................................................................... 14
SIMOX BURIED-OXIDE CONDUCTION MODEL .............................................................................. 16
BURIED-OXIDE CONDUCTION MECHANISMS ..................................................................................................
16
Fowler-Nordheim Tunneling.................................................................................................................... 16
Positive Polarity....................................................................................................................................... 18
Negative Polarit) ..................................................................................................................................... 20
SIMOX
BURIED-OXIDE FOWLER-NORDHEIM EQUATION ..............................................................................
21
SIMOX BURIED-OXIDE ELECTRICAL METROLOGY .................................................................... 22
ELECTRICAL TESTING PROCEDURE ................................................................................................................
22
Structure ................................................................................................................................................... 22
High-field testing ...................................................................................................................................... 24
BURIED-OXIDE SILICON-ISLAND CHARACTERIZATION...................................................................................
25
Electrical BOX metrology ........................................................................................................................ 26
Physical measurement of BOX silicon-island density .............................................................................. 30
Results and Analysis ................................................................................................................................. 31
BURIED OXIDE NON-STOICHIOMETRY CHARACTERIZATION............................................................................
36
Electrical BOX metrology procedure ....................................................................................................... 36
Physical measurement of BOX non-stoichiometry ................................................................................... 38
Results and Analysis.39
.................................................................................................................................
SUMMARY ................................................................................................................................................. 42
APPENDIX
SIMOX
A ..............................................................................................................................................
44
BURIED-OXIDE CAPACITOR PROCESS TRAVELER .............................................................................
44
APPENDIX B...............................................................................................................................................
45
WAFER SPECIFICATIONS.................................................................................................................................
45
Sample 1: 1309-2from Ibis Technology Corp........................................................................................ 45
Sample 2: L507from Soitec ..................................................................................................................... 45
Multiple Implant: L868 from Soitec ......................................................................................................... 46
BIBLIOGRAPHY ....................................................................................................................................... 47
BIOGRAPHICAL NOTE ........................................................................................................................... 50
3
List of Figures
FIGURE 1 FABRICATION OF SIMOX BY IMPLANTATION OF OXYGEN AND HIGH TEMPERATURE ANNEALING..... 7
11
FIGURE 2 SILICON ISLANDS WITH MISMATCHED ORIENTATIONS......................................................................
13
FIGURE 3 TEM CROSS-SECTION OF A MULTIPLE-IMPLANTSIMOX ................................................................
FIGURE 4 TYPICAL SINGLE-IMPLANT SIMOX BOX HIGH-FELD CONDUCTION CHARACTERISTICS................. 14
15
FIGURE 5 TEMPERATURE DEPENDENCE OF SIMOX BOX HIGH-FIELD CONDUCTION......................................
17
FIGURE 6 FOWLER-NORDHEIM TUNNELING ACROSS AN INSULATOR...............................................................
FIGURE 7 PHSICAL MECHANISMS FOR SIMOX BOX CONDUCTION. A) POSITIVE POLARITY: ELECTRON
TUNNELING IS AFFECTED BY E-FIELD ENHANCEMENT AT THE SILICON ISLANDS B) NEGATIVE POLARITY:
19
ELECTRON TUNNELING IS AFFECTED BY OXIDE NON-STOICHIOMETRY...................................................
FIGURE 8 PICTORIAL DESCRIPTION OF BARRIER NARROWING AND BARRIER LOWERING EFFECTS .................... 20
23
FIGURE 9 FABRICATION PROCESS OF MOS CAPACITORS................................................................................
24
FIGURE 10 TESTING SET UP FOR HIGH-FIELD CONDUCTION.............................................................................
27
FIGURE 11 SIMULATION OF FIELD-ENHANCEMENT AT SILICON ISLANDS..........................................................
28
FIGURE 12 TYPICAL SINGLE-IMPLANT SIMOX BOX CROSS-SECTIONAL VIEW................................................
FIGURE 13 HIGH-FIELD CONDUCTION CHARACTERISTICSOF SUPPLEMENTAL IMPLANT OF OXYGEN................
FIGURE 14 CONVERTED J-E GRAPH WITH A FITTED LINE FOR PARAMETER EXTRACTION ................................
FIGURE 15 TEM CROSS-SECTIONS OF SAMPLES I AND 2 ................................................................................
FIGURE 16 SEM OF TWO SAMPLES USED FOR SILICON ISLAND DENSITY .........................................................
FIGURE 17 COMPARISON OF EXTRACTED PARAMETERS WITH MEASURED PARAMETERS.................................
FIGURE 18 FIELD-ENHANCEMENT OCCURS ONLY AT EDGES EFFECTIVELY CUTTING THE PERCENTAGE OF
29
30
32
33
INJECTING AREA....................................................................................................................................
FIGURE 19 EXTRACTION OF SHIFT IN TUNNELING REGIME ..............................................................................
FIGURE 20 HIGH-FIELD CONDUCTION CHARACTERISTICSOF MULTIPLE IMPLANT............................................
FIGURE 21 ETCH RATE MEASUREMENT PROCEDURE.......................................................................................
34
36
FIGURE 22 3-D SCANNED IMAGE OF A STEP ....................................................................................................
FIGURE 23 CORRELATION BETWEEN ETCH RATE AND ELECTRIC FIELD ...........................................................
-7
2
FIGURE 24 WAFER MAP OF ELECTRIC FIELD AT J = I X 10 A/CM ..................................................................
39
40
43
34
37
38
4
Acknowledgment
I thank the Lord for providing me with all that was needed to finish this thesis:
acceptance to MIT, an understanding advisor(Prof. James E. Chung), an encouraging
mentor(Jee-Hoon Yap), a hard-working UROP(Jocelyn Nee), supportive family(parents,
Christie, and June), and the loving family of God at Berkland Baptist Church. It is the
Lord Jesus Christ who saved me from the meaningless life and death and gave me the
reason to live and study; otherwise, I would not be here writing this acknowledgment. All
the glory and thanks go to Him.
5
Introduction
There has been a great interest in the development of SOI(Silicon-OnInsulator) in recent years. SOI is a promising alternative substrate technology to bulk
silicon in CMOS VLSI(Very Large Scale Integration) integrated circuit technology.[1. 2]
The interest lies in the existence of an electrically insulating layer underneath an active
silicon layer. Resulting isolation of devices from the substrate can reduce the parasitic
capacitance associated with transistors and improve speed and lower the power
consumption. Also this isolation makes SOI devices less susceptible to short-channel
effects than conventional bulk devices.[3, 4] In addition, intrinsic electrical isolation
eliminates the need for well isolation and reduces the cost by reducing the complexity of
fabrication process and increasing the packing density.
One of the leading processes for manufacturing SOI substrates is
SIMOX(Separation by IMplantation of OXygen) technique.[5] SIMOX is created by
implanting a large dose of high energy oxygen ions into a silicon substrate followed by a
high temperature annealing, creating a continuous layer of BOX(buried oxide).[6] [Figure
1] High implantation temperature such as 600°C of the substrate is necessary in order to
produce high quality crystalline top silicon layer.[6, 7] High temperature annealing
following the implantation is required to cure the implant damage of top-layer silicon and
produce higher quality oxide.[8] SIMOX is the leading technology because it is the most
mature process and produces wafers with superior silicon-layer thickness uniformity
compared to other technologies.[1] The thickness uniformity of SIMOX is +3nm for a
thickness of 200nm and that of BSOI(Bonded SOI), which is the next leading technology,
is +10nm for a thickness of less than 200nm.[9] Silicon-layer-thickness
uniformity is
important for fully depleted CMOS devices.
6
ANNEALINC
Figure 1 Fabrication of SIMOX by implantation of oxygen and high temperature
annealing
Current disadvantages of SIMOX are its cost and the issue of quality and
reliability of the material. Its cost is about 10 times more than bulk silicon wafers.[10] In
order for SIMOX to be a viable replacement for bulk silicon, its quality and reliability
must be shown to be comparable to bulk silicon and the price must be lowered. Quality
and reliability of SIMOX is the crucial issue in bringing SOI to mainstream
manufacturing. Accordingly, the quality and reliability of SIMOX BOX(Buried Oxide)
becomes important because BOX properties are indicative of overall wafer quality and
uniformity. Also quality and reliability of BOX itself is important for overall VLSI
reliability. In order to increase the quality and reliability of SIMOX wafers, a feedback
mechanism for manufacturers's process control and a method for users's materials
evaluation needs to be developed.
The only technique that is currently being used in the industry for
characterizing BOX is spectroscopic ellipsometry for thickness measurement. Thus, there
is a need for a more comprehensive metrology technique to evaluate the quality of BOX.
In response to this need, an electrical technique for SIMOX BOX metrology is developed
to provide the necessary feedback for quality control. Advantages of electrical
7
characterization are several. It is possible to gather similar information previously
available only via physical analysis such as TEM(transmission electron microscopy) and
SEM(scanning electron microscopy). It has the ability to acquire large statistical amount
of data for statistical process control. Also electrical testing has excellent measurement
sensitivity. The developed technique can be used to monitor silicon-island density and
BOX non-stoichiometry which are indicative of BOX quality; the technique and details of
BOX micro-defects will be discussed in details in later sections.
8
SIMOX Buried-Oxide Characteristics
SIMOX Buried Oxide Formation Mechanisms
Typical single implant SIMOX substrates are fabricated by implanting 2x 1018
O+/cm 2 of 200keV oxygen ions into silicon substrate followed by a high temperature
annealing for 6 hours at approximately 1325°C. During implantation, oxide precipitates
form underneath a damage crystalline silicon layer due to exceeding solubility limit of
oxygen in silicon.
In growing oxide, the conversion of silicon to oxide would require 2.2-fold
increase in volume. This can be accommodated by two mechanisms. One is volume
expansion through the viscous flow of the oxide which is the mechanism in normal
thermal oxide. Another mechanism is by emission of Si atoms which become selfinterstitials according to the equation
xSi + 2 -- SiO 2 + (x - )Sii
Equation 1
In normal thermal oxide, this second mechanism is insignificant because silicon
interstitals have a high formation energy. However, in the case of BOX, the conversion of
silicon to oxide occurs via the second mechanism because there is a volume constraint
which restricts the viscous flow of the oxide and the energy to break silicon bonds are
provided by energetic oxygen ions.[ 1] Completely strain-free precipitation requires the
emission of 0.63 interstitial Si atom for each precipitate.[ 12]
The diffusivity of silicon in SiO 2 is very low (3.3x10 - 17 cm- 2/s at 1300°C)
which is eight orders of magnitude lower than that of oxygen in SiO 2 .[13] Thus, only
silicon interstitials close to the SiO 2/Si interface can migrate to the silicon layers. Other
interstitials are trapped by oxide precipitates that exist.[14] If the concentration of trapped
silicon interstitials is high, they may take the form of silicon islands. Other trapped silicon
interstitials become point defects such as strained 03 - Si - Si -
bonds[11] or excess
silicon.
At the initial stage of high temperature annealing, there is a dissolution of
smaller precipitates in the region near the surface and oxygen atoms migrate inwards. The
9
size of a stable precipitate increases with the annealing temperature.[15] Thus, the
number of oxide precipitates decreases and the ones bigger than the critical size grow
eventually forming one continuous layer. This process is known as "Ostwald ripening".
The final thickness of the buried oxide layer after high temperature annealing is
determined by the competition between the rate of the oxide-coalescence process and that
of the dissolution process and diffusion.[ 16]
SIMOX Buried-Oxide Microstructure
Buried-Oxide Silicon Islands
During annealing, the buried oxide grows preferentially towards the front
surface which acts as an infinite sink for silicon interstitials that are generated by the
conversion of silicon into oxide.[17] The preferential growth is also due to heavier
implantation damage where many silicon-silicon bonds are broken, reducing the energy
needed to form oxide.[18, 19] As oxide precipitates coalesce, silicon interstitials are
generated and there is supersaturation built up stopping the oxidation reaction[according
to equation 1] in the unoxidized silicon trapped by oxide precipitates due to the low
diffusivity of silicon in oxide.
For doses above 1.7 x 1018 O+cm 2 silicon islands are observed only near the
BOX/substrate interface. These islands are about 30nm thick and 30 to 200 nm long, and
are situated at an almost constant distance (25nm) from the interface. For doses between
1.7 and 1.4x1018 O+cm '2 they form at both upper and lower interfaces. When the dose is
below the critical value for formation of a continuous oxide layer they appear everywhere
in BOX.[14] In the case of standard single-implant SIMOX, silicon islands occupy 2% of
the volume in the BOX.[11]
From study on Si-rich SiO2 , it is known that ot-Si precipitates with a mean size
of 10nm exist if the annealing temperature is lower than 1100°C. Above this temperature
the oct-Siis completely crystallized. It is experimentally verified that silicon islands are
crystalline with well developed facets along (001) and ( 111) planes. Most islands have
10
the same orientation as the substrate but there are islands which are off by small degrees.
Figure 2 shows two silicon islands with different orientation. The smaller island has
lattice orientation that is off by about 10 degrees from that of substrate orientation. The
reason for their mismatch in orientation is unclear at the moment. However, the
implantation temperature affects the orientation and existence of silicon islands. Ishikawa
and Shibata found that crystal orientation of islands coincided with those of the substrate
at high substrate temperature (485"C) while the orientation became random at low
substrate temperature (280"C).[201]
Figure 2 Silicon islands with mismatched orientations
Buried-Oxide Non-Stoichiometry
High density of oxygen vacancies in the form of the strained Si-Si bonds exists
in the BOX.[17] At 2.OxI o's Ocmn-2 dose, te refractive index of BOX is higher than that
of fused silica indicating a strained structure of SiO: [2 1] in agreement with the theory of
excess silicon. The presence of silicon precipitates in the oxide attests to the likelihood of
11
substoichiometry which would lead to an enhanced density of neutral oxygen vacancies,
03
Si - Si
03
.[22] Excess silicon in various forms is present in BOX that range from
Si-Si bonds to crystalline silicon clusters.[23]
ESR(Electron Spin Resonance) studies show that BOX has a higher density of
paramagnetic defect centers compared to thermal oxide. Paramagnetic defect centers in
SIMOX thin films are all related to oxygen deficiency. There are three types of
EPR(Electron Paramagnetic Resonance)-active centers found in BOX. They are oxygen
vacancy Ey' centers (03
Si -- Si -3),
delocalized ES' centers which may be clusters of
-5 Si atoms, and D centers (Si _ Si3 ).[24] Vaneheusden et al found that there is a fairly
uniform defect generation sensitivity with a strong decline towards the BOX/substrate
interface.[25] Direct evidence of excess silicon in BOX comes from the etch rate study. It
is found that etch rate of BOX is slower than that of thermal oxide which may be due to
excess silicon or densification.[26, 27] Etch rate has been correlated with the ESR signals
to show that etch rate is indeed indicative of excess silicon in BOX rather than
densification.[25]
In addition, interpretation of spectroscopic ellipsometry points to BOX nonstoichiometry. Using a model to interpret spectroscopic ellipsometry data, BOX is found
to contain 0.5% of excess silicon.[28] Using electroluminescence, Bota et al. speculated
that 2.7eV band is produced by an intrinsic defect related with oxygen deficiency like the
neutral oxygen vacancy defect.[29]
Other Types of SIMOX Materials
Much effort has been expended on to produce SIMOX materials without above
described defects. One way is to use multiple implantation rather than single
implantation. In this case, small doses of oxygen ions are implanted with high
temperature annealing in between. The total dose is the same as that of standard singleimplant SIMOX. Such procedure has produced high quality BOX with a very few silicon
islands and top silicon layer with low defect density.[12, 30] As shown in figure 3, BOX
contains no silicon island. However, multiple implant is not used in commercial settings
because of increased cost, resulting from longer annealing cycle.
12
Figure 3 TEM cross-section of a multiple-implant SIMOX
In addition to multiple implant, there has been much interest in finding a
"window" of single-implant oxygen dose at which high quality BOX is produced. In this
process, low dose of oxygen ions is coupled with low implantation energy. Several
researchers have achieved high quality BOX without silicon islands using a variation of
this method. Energy ranges from 20keV to 200keV and dose ranges from 3.3x1017/cm2 to
8x1017 /cm 2 [31, 32, 34]
Buried-Oxide High-Field Electrical Characteristics
Electric-field dependence
Figure 4 shows a typical single-implant SIMOX BOX high-field conduction
characteristics with a thermal oxide high-field conduction characteristics as a comparison.
The tunneling regime of the SIMOX BOX has a lower onset compared to the thermal
oxide. [34] In addition, the onset electric field for electron injection from the bottom
interface is significantly smaller than that for the electron injection from the top interface.
The onset for thermal oxide is 6 MV/cm while the onsets for the buried oxide are 3
13
MV/cm and 4.5 MV/cm for injection from the substrate and from the top silicon layer
respectively.
10 -1
.
.
A
6~
10 -2
.
.
.
.
.
.
.
..
.
Positive Polarity
Negative Polarity
-...Thermal
10 -3
AA
A
10-4
A
10-5
10
II
A
AiA~~
10-6
A
7
/
~~~~/
A
//
*
A
A
~ ~
:
/
A
I~~~~~~~~
10 - 8
I Al
,
10 -9
I
0
.
1
.
.
2
.
I
1.
3
,
.
,
.
4
.
I
.
5
,
.
,.:
i ,/
. .
6
.
.
7
.
8
Electric Field [ MVWcm]
Figure 4 Typical single-implant SIMOX BOX high-field conduction characteristics
Temperature dependence
Figure 5 shows that the conduction behavior of the buried oxide is not
dependent on the temperature. For both injection from the substrate and from the top
silicon layer, the current density stayed relatively independent of temperature from 29 °C
to 250 °C. This independence suggests that high-field conduction in SIMOX BOX
conduction is most likely due to Fowler-Nordheim tunneling instead of Frenkel-Poole
Emission. Fowler-Nordheim tunneling is an oxide barrier narrowing effect modeled by
the wkb approximation[35] whereas Frenkel-Poole emission is a trap-assisted conduction
mechanism which is dominant in insulators such as silicon nitride.[9]
14
100
1 o- 1
c
Eox=+4.5 MV/ cm
-2
o
E ox=4.5 MV/cm:
0
10
10
Frenkel-Poole/
_u 10 3
0
54
,
~ SchottkyTheory
BE
10
>
c:
10
-6
100
C
10 -8
I
0
t1
t
I
-
0=
l1
1lo
107
0--110
10
10 - 12
0.002
0.004
0.003
-1
1/T[K
]
Figure 5 Temperature dependence of SIMOX BOX high-field conduction
15
SIMOX Buried-Oxide Conduction Model
This model is based on the electrical characteristics which point to FowlerNordheim tunneling as the dominant mechanism in SIMOX BOX at high-field. It links
physical characteristics of BOX with the manifested electrical characteristics. The model
accounts for both the earlier onset of high-field regime and the polarity dependence.
Finally, the Fowler-Nordheim equation is modified to incorporate physical parameters.
Buried-Oxide Conduction Mechanisms
Fowler-Nordheim Tunneling
Fowler-Nordheim tunneling occurs when a high electric field is applied across
an insulator. Applied high-field bends the energy band as to narrow the barrier which
electrons must tunnel across. This narrowing effect will increase the possibility of
electrons tunneling across the insulator. The model accurately describes the conduction of
thermally grown oxides thicker than approximately 10nm. Figure 6 shows the mechanism
by which electrons tunnel across the insulator.
16
Conduction
duction Band
Metal
Oxide
Semiconductor
Figure 6 Fowler-Nordheim tunneling across an insulator
The governing equation for the Fowler-Nordheim tunneling theory is
J =AE
q
A=
B=
civ
2e BI E
3
-m t
C7
cr
8ichm2 qq B sin cur
42moX
3hq
=
sin cir
[qop]3/2
weak function of temperature
According to this first order model, C is the only term with temperature dependence, and
it is a very small number. Therefore, sinc/c becomes approximately unity and
consequently J becomes temperature independent for temperatures of interest. Both B and
A are functions of (Db which makes qDbthe determining factor in the onset of FowlerNordheim tunneling. The theoretical value of cDbfor thermal oxides is 3.2 eV, and the
theoretical values of A and B are 3x106 A/MV2 and 240 MV/cm respectively.
17
Positive Polarity
In positive polarity, electrons are injected from the bottom interface. The onset
of tunneling regime is lower than that for thermal oxide. This earlier onset can be
explained in terms of field-enhancement at the edges of silicon islands which are present
near the bottom interface of BOX. Figure 7a describes the physical mechanism for
SIMOX BOX conduction.
Since the islands are in a close proximity of the substrate, electrons can tunnel
into the silicon islands at low electric fields. Because silicon islands have well developed
facets, there is electric field crowding at the edges of these islands where facets meet. At
these edges, the electric field seen by an electron is greater than the electric field applied
to the buried-oxide. Due to this enhanced electric field, electrons are injected into the
bulk of the buried oxide from the top surface of the silicon islands at lower electric field
than that for thermal oxide. In positive polarity, this "electric-field enhancement" is the
dominant factor.
18
a) Positive Polarity Conduction
-l (Top Siicon
>
-
*
;..
........
.
-
....
N
<
.
.
a,
............
i
-
<
i,
he
......
e
,,..N
.
t
..
s
\
A.
{
-
.
i,
{
.................
..
sE..A.
%
.
i;
sy
o
(2 . -:.
I
: :
,-:.
-.;?
.
.. . .' (2)
i._,.
Buried Oxide
(2)
(2)
,,... .
.
... 1 .
.
,.,.,
....
,.
----
I.......,
-
,
..-.
...I.
I
-
0I
.
....
... I-
-
--
j.
b) Negative Polarity Conduction
Buried Oxide
Silicon Island
,' ~.'~.:,~
...:~.,
,,.j. . ...
.~z~,;,*w
~
.:'?$
b?:,,'.
.~;.it,::.(, ? ~u.c;
:v~.~r
Figure 7 Phsical Mechanisms for SIMOX BOX conduction. a) Positive Polarity:
electron tunneling is affected by E-field enhancement at the silicon islands b)
Negative Polarity: electron tunneling is affected by oxide non-stoichiometry.
19
Negative Polarity
In negative polarity, electrons are injected from the bottom interface. The onset
of tunneling regime is lower than that for thermal oxide but higher than that for positive
polarity. This earlier onset can be explained in terms of BOX non-stoichiometry assisted
tunneling of electrons. Fig 7b describes the physical mechanism.
Buried-oxide has excess silicon which may assist in tunneling. Research in
silicon-rich oxide has shown that as the silicon content in oxide is increased, onset
electric field for tunneling is lowered.[36] Excess silicon may affect the barrier for
tunneling in two different ways: barrier lowering and barrier narrowing. They are
pictorially described in the figure 8.
Barrier lowering is based on the uniform distributed excess silicon in the buried
oxide to act as hopping centers for electrons to tunnel from one trap to another. This
"electron hopping" mechanism causes the energy band of the oxide to appear lower than
normal, which translates into earlier onset for tunneling.[37] Barrier narrowing is based
on excess silicon that are concentrated near the interface between the top silicon layer and
BOX. These excess silicon will lower the band in that small region which results in
general narrowing of the band. This will also results in earlier onset of tunneling as
electrons can tunnel through the oxide at a lower electric field.
Ec
I
Ec
N
K
I
Ec
Ec
I
Figure 8 Pictorial description of barrier narrowing and barrier lowering effects
20
Non-stoichiometry of the buried oxide is the dominant mechanism in negative
polarity. Electric-field enhancement at silicon islands as described in the preceding
section do not affect the tunneling in negative polarity because e-field enhancement is
localized to near the silicon islands.
SIMOX Buried-Oxide Fowler-Nordheim Equation
Fowler-Nordheim equation is modified to include physical parameters which
are responsible for the early onset of high-field tunneling regime.
J = k A,, (kE)2 ep
B
2
Equation2
where tIb is the effective barrier height in the energy band, E is the applied macroscopic
electric field, and Ao and Bo are physical constants, as shown below.
A, = 9 . 6 [Mj-V]
B,,4[
cm-eV"
Equation3
]m'i-V
E = VBOX [ MV ]
Equation4
Equation 5
cm
-XtBOX--
Ao and Bo are calculated from the theoretical values of A, B, and Db for thermal oxides.
ka is the effective area of injection related to silicon island density, ke is field
enhancement factor due to silicon islands, and
b
is effective barrier height which is
affected by BOX non-stoichiometry.
21
SIMOX Buried-Oxide Electrical Metrology
Electrical Testing Procedure
Structure
The device used for SIMOX BOX conduction study is a simple MOS capacitor
with the top silicon layer as the top gate, the buried oxide as the dielectric, and the
substrate as the bottom gate. Because this device requires very few processing steps, any
damage from processing is minimized. Figure 8 shows the process for making the
capacitors.
The top silicon is degenerately doped by ion-implantation through a screening
oxide. Then the top layer is patterned and plasma etched, forming trenches between
devices. MESA isolation rather than LOCOS (Local Oxidation of Si) is utilized because it
requires less processing steps and produces devices with more consistent high electric
field regime characteristics.[9] Traveller for the process is found in appendix A.
The size of capacitors was either 0.0025 cm 2 or 0.001cm 2. It is assumed that
conduction characteristics of the capacitors has no area dependence.
22
Dopant
I
I
IF
r
|
Top Silicon
Photoresist
|
Degenerate Top Silicon
Substrate
Substrate
(a)
(b)
Plasma Etch
removed
`1
_.
B
I1
Phtl
-
_ .
I
./-
removed
I--
(c)
(d)
Figure 9 Fabrication process of MOS capacitors
23
High-field testing
Keithley 237 High Voltage Source Picoammeter is used for high field testing.
Figure 10 shows the standard setup with the substrate grounded and the voltage source
and ammeter connected to the gate of the capacitor.
_ _ _ _ _ _ _ _ _ _ _
_ I
I
I
I
I
I
Keithley 237
I
Gate
Dielectrinc
Substrate
Figure 10 Testing set up for high-field conduction
The static J-E method is used to eliminate the time dependence of the tunneling
characteristics. In this method, the current density is measured after a constant electric
field has been applied to an unused capacitor after a set amount of time. Electric field is
varied and the current density is again measured after the same length of time on a new
device. J-E curve is constructed from these set of current density and electric field.
24
Because the method uses new devices for each measurement, implicit assumption is that
there is little variation among devices.
25
Buried-Oxide Silicon-Island Characterization
This section describes use of electrical characterization as a means to extract
out silicon island density which is an important physical parameter to describe the buried
oxide quality.
Electrical BOX metrology
Two standard single-implant SIMOX wafers were used. They were p-type
substrates with <100> orientation and 10 to 22 Qcm resistivity. They are standard wafers
from two leading SOI manufacturers. Their materials specifications are found in appendix
B. Several assumptions are made in order to simplify the procedure and extract out a
meaningful parameter from electrical characteristics. Assumptions are then verified using
either electrical or physical techniques.
First assumption is that silicon islands are uniform in shape and their centroid
location is the same. The impact of this assumption is that ke which is field-enhancement
factor becomes a constant and that ka, which is effective area of injection, becomes a
function of only one variable, silicon island density. Apparently, the assumption
simplifies the calculation tremendously.
Simulation of ke with respect to its centroid location inside BOX is carried out.
Figure 11 shows the result of simulation made in MediciTM. The curve flattens out as the
value of oc/3 which is the ratio of distance between the top of silicon islands and the top
interface and the distance between the middle of islands and the top interface approaches
one. Thus, a small variation in the location of islands does not translate to a big change in
the value of ke if the islands are located close to the back interface.
26
6
,5
L-
0
- '
0C.)4
co
4
IL
C
IJ.4
2.
C
'53
w
a
-I
I
0.0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
a/:
Figure 11 Simulation of field-enhancement at silicon islands
Cross-sectional TEM(transmission electron microscopy) is carried out using
JEOL 200CX (tungsten filament) at 200keV to study the silicon island shape and its
centroid location inside the buried oxide. Figure 12 shows a typical micrograph of the
standard single-implant SIMOX substrate used for this experiment. Islands are located at
similar distance away from the bottom interface. Thus, c/p3approahces one and the first
assumption is checked. As expected, silicon islands have well developed facets and there
is a little variation in their shape.
27
Figure 12 Typical single-implant SIMOX BOX cross-sectional view
Second assumption is that field-enhancement at the edges of islands is the only
factor affecting the fowler-nordheim tunneling in the buried oxide.. Another assumption
following this is that the effective barrier height for tunneling is the same as that of
thermal oxide. This way, ka becomes the only factor affecting the onset of tunneling
regime.
In order to check this assumption, electrical testing is carried out on a standard
single-implant wafers that have been implanted with supplemental oxygen. It is known to
have stoichiometric oxide similar to thermal oxide.[17, 38, 39] Figure 13 shows the
result where positive polarity conduction for these samples is the similar to standard
single-implant whereas negative polarity conduction is returned to the thermal
characteristics. In positive polarity conduction, the onset of tunneling regime did not shift
in response to this returning of stoichiometry to thermal value; the onset of positive
polarity conduction did not change. Thus, BOX non-stoichiometry does not play a major
role in positive polarity conduction.
28
10 - 4
Il
... I ...
........
....
I
..
t .
.V Pos Polarity (1017 Supp. 02)
i..
-
V Neg Polarity (10
0
0
cmJ
10-5
''
III
I
Supp. 02)
Pos Polarity (No Implant)
Neg Polarity (No Implant)
Theory (Thermal SiO2 )
|v
/
E
a
,0
0
10-6
I._
V
0
10-7
V
I.
.. . .. .. . ..... .
i1 . ..
. .. . .
108 ..........................................................................
0
1
2
3
4
5
6
7
8
Electric Field [ MV / cm ]
Figure 13 High-field conduction characteristics of supplemental implant of oxygen
Based upon these two assumptions, the extraction of ka from electrical
characteristics is carried out. J-E graph obtained from the static J-E testing is first
converted to In (l/E) vs J/E 2 curve as shown in figure 14. This graphical conversion is the
same as converting the fowler-nordheim equation to
(
=
+-n(A)
(
A = k.A,,k 2
Equation 6
Equation 7
CAB
B= B
(B
)3/2
Equation 8
ke
29
where -B is the slope and ln(A) is the intercept of a straight line. By using the least-square
linear approximation, a best linear fit through the data is found. From that fitted line, both
the slope and intercept is measured. From the slope -B, k, is calculated by plugging in the
values of Bo and
Ob
for thermal oxide. Using the calculated ke and the measured intercept
lnA, ka is extracted.
,4 /'
- IU
-15
C-
w
I-
-20
_9q
0.15
0.20
0.25
0.30
0.35
/lIEr m/MV
Figure 14 Converted J-E graph with a fitted line for parameter extraction
Physical measurement of BOX silicon-island density
MESA isolated capacitor structure is utilized in order to measure the silicon
island density. Exposed buried oxide between devices is etched away in BOE(Buffered
Oxide Etch) leaving behind silicon islands on top of the substrate surface.[40, 41] BOE
contains 6:1 NH 4 F:HF (ammonium fluoride: hydrofluoric acid) by volume. The etching
time was from 16 to 20 minutes for complete removal of oxide from the surface of the
substrate. Samples are then washed in deionized water. The resulting samples are viewed
using Electroscan ESEM(environmental scanning electron microscope) which requires no
special treatment of samples. Bean energy used is 30keV and the magnification ranges
from 10,000Xto 30,000X. From the micrographs, silicon-island density is measured.
30
Results and Analysis
Figure 15 and 16 show TEM and SEM pictures of the two samples that were
used for this experiment. Figure 17 gives the comparison of calculated ka, which is
directly proportional to silicon-island density, and actual measured silicon-island density.
The ratio of ka between the two samples is 1:3 while the ratio of silicon island density
between the two is 1:4.
31
low
::"
N. - . .
:..
!0.., "I
Figure 15 TEM cross-sections of samples 1 and 2
32
Figure 16 SEM of two samples used for silicon island density
33
Samples
ka (% of injecting area)
Measured Si-island density [#/4m2]
Single-implnat 1
Single-implant 2
0.039
0.014
16.6
4.3
Figure 17 comparison of extracted parameters with measured parameters
The comparison of two ratios shows that there is a fair correlation between the
two. The reason why the percentage of injection area is very small is that fieldenhancement is present only at the edges of silicon islands. As shown in figure 18, the
area at which there is field-enhancement is small compared to the size of silicon islands.
Thus, injecting area is very small compared to the size of islands.
Injecing area
Figure 18 Field-enhancement occurs only at edges effectively cutting the percentage
of injecting area
There are many factors which may have affected the correlation between the
measured island density and calculated percentage. Wainwright and Hall has proposed
that the early tunneling onset is caused by interface asperity induced e-field
enhancement. [42] Although interface asperities are not confirmed by physical
characterization, the difference in interface roughness may affect the conduction
characteristics.
Another source of error is the fitting of a straight line through scattered data.
Implicit assumption in the static J-E method is that there is a little, if any, variations in
electrical characteristics from device to device. However, if there is a big variation, it is
34
difficult to fit one straight line that is representative of all device characteristics. A small
change in slope will result in a big change in the intercept since the intercept is
extrapolated from the line fitted away from the y-axis.
In addition, physical characterization may contain errors. One source of error
is the possibility of small islands floating off the surface during etching. Although the
magnitude of island-density measured through this technique matches that calculated
from cross-sectional TEM[43], there is still a possibility of losing some islands during
etching process. This would result in a wrong measurement of silicon island density.
35
Buried Oxide non-stoichiometry characterization
Electrical BOX metrology procedure
The premise of this experiment is that etch rate is related to the nonstoichiometry of BOX and that the shift in high-field tunneling regime is related to the
non-stoichiometry of BOX. Thus, from the change in non-stoichiometry can be linked to
the shift in tunneling regime through etch rate comparison. For the comparison of shifts, a
certain current density in the middle of the tunneling regime is chosen. Then electric field
value corresponding to that current density is extracted from each device
characteristics. [Figure 19] Thus, bigger E-field will correspond to less shift from the
thermal tunneling regime. Several assumptions are made for comparison.
10 3 -
,
l
,
1309-2 Negative Polarity Static J-E
10-4
N
E
Single-Implant
Ne
10-5
*
U
10-6
-_
.
10 -7
10
-8
10
-9
.
C
t_
oI-C.)
3
0
Vv
9
3
*u
A!
,
l'lli
10-10
10-11
3
4
5
6
Electric Field [ MW cm ]
Figure 19 Extraction of shift in tunneling regime
First assumption is that small changes in non-stoichiometry will be translated
into both a big change in tunneling characteristics and etching characteristics. Non-
36
stoichiometry affects Db, barrier height, which is in the exponential term of the fowlernordheim equation. A small change in the exponential term will bring upon a big change
in J, current density. However, the amount of changes in 'Db is not linearly proportional to
non-stoichiometry. Thus, the exact ramification of changes in non-stoichiometry on
barrier height characteristics and consequently on current density is not certain.
The second assumption is that BOX non-stoichiometry is the dominant factor
which affects the shift in tunneling regime. Conduction studies on multiple and
supplemental oxygen implant samples show that when BOX is stoichiometric, tunneling
regime coincides with that of thermal oxide. Assumption that the only difference between
the standard SIMOX and other types of SIMOX is BOX non-stoichiometry. Figure 20
shows a high-field conduction characteristics of multiple-implant and theoretical thermal
oxide with coinciding tunneling regimes.
4
'' 2
IU)
.......
, , ,.
, ,
. ........
I........,,,,,....
II. .
o Single Impl. (pos)
v
10-3
NE
E
c,I
10
Single Impl. (neg)
V Mult. Impl. #2 (pos)
* Mult. Impl. #2 (neg)
...--------Theory (thermal SiO2)
0
-4
.,
en
D
Q
10 -5
0
10-6
10-7
. . . I . . . . I . . . . . . . . I . . . . . I I I I . . I I . .
0
1
2
3
4
5
6
7
8
Electric Field [MV / cm]
Figure 20 High-field conduction characteristics of multiple implant
37
Physical measurement of BOX non-stoichiometry
BOX etch rate is measured by utilizing the capacitor structure that has been
built on the wafer for electrical characterization. Figure 21 describes how the structure is
used. First the relative heights of BOX surface and capacitor surface are measured using
AFM(atomic force microscope). AFM is used instead of a profilometer because each scan
consists of 256 line scans and provides 3-D picture of the surface. Thus, the possibility of
scanning a damaged surface and getting a wrong height information is reduced. Digital
Instrument 1000 with tapping mode is used for this purpose. Two different scans away
from each other are taken on a device. Figure 22 shows a 3-D picture from a typical scan
of steps.
After the initial scanning of heights, samples are etched in BOE(Buffered
Oxide Etch) for 80 seconds and rinsed in DI water. Samples are constantly swirled to
ensure uniform etching. Then the heights are measured again using the AFM. The
resulting difference between the initial and final height gives the etched amount of BOX.
The etched height divided by the etching time gives the etch rate of the device. Average is
taken from scans on two different sections on a device.
s
A~~~~.',
,
i
j4 g jf V K
Etching
I
Substrate
{
Capacitor
Initial Height
Capacitor
in
Final Height
I
M
1
A::
-
Etched Amount
N
BOE
__
Substrate
Figure 21 Etch rate measurement procedure
38
n a.
Bonn
ouu.
nl
400.0
nP
zC
0
0-
LO
00 n
'-4
0
Lrer"-
0.0
IM
Figure 22 3-D scanned image of a step
Results and Analysis
As shown in figure 23, there is a correlation between the etch rate and the shift
in the tunneling regime with respect to the thermal oxide. As expected, there is a direct
correlation as the increase in etch rate corresponds to more stoichiometric oxide which in
turn corresponds to bigger value of the electric field at the chosen current density. The
correlation factor between the two is 0.89. Big error bars correspond to bigger spread in
the tunneling characteristics.
39
II .L/Un
T
I
I
I
I
1.15
+
' EI
C
0~~~~~~~~~
4
L
PC'
Cw
-i-
1.10
n
I
I~~~~~
I
__
I
i n
I
4.5
I
I
II
4.6
I
I
I
I
4.7
I
I
ll
l
4.8
l
I
4.9
I
5.0
Electric Field [MV/cm]
Figure 23 Correlation between etch rate and electric field
There are factors which affect the resulting correlation between the nonstoichiometry and the tunneling characteristics. The first factor is interface roughness. [34]
As discussed in the section on silicon-island density, interface roughness may cuase fieldenhancement at asperities. This effect would be greater in negative polarity. In positive
polarity, field-enhancement due to interface roughness, if any, would be small in
magnitude comapred to field-enhancement due to silicon islands. This is due to the
sharper edges of silicon islands. In negative polarity, there are no islands that cause fieldenhancement. Thus, field-enhancement due to interface roughness could be a bigger
factor affecting the fowler-nordheim tunneling of buried oxide.
Second source of error might be in the methodology used to extract the shift in
tunneling regime. Many devices are used in order to construct a complete tunneling
curve. If the devices have vastly different BOX non-stoichiometry, then the curve will not
40
be smooth but contain noise. The far-left curve in the figure 19 shows that this noise
could be big. Presence of noise makes it harder to pick a current density value which will
give a representative value of the shift in electric-field for that die. Depending on the
current density value chosen, different device characteristics become the representative of
the die and change the correlation.
Thirs source of error is in the possible non-uniform etching of BOX. As the
concentration of BOE may differ from one region to another, BOX may etch nonuniformly from sample to sample, resulting in an inaccurate etch rate measurement.
41
Summary
In response to the need for a comprehensive technique for SIMOX Buried
Oxide metrology, an electrical technique utilizing high-field condution model is
developed. Materials parameters such as silicon island density and BOX nonstoichiometry are extracted from J-E characteristics of BOX. They are then compared to
physically measured values. Although the correlation is not perfect due to possible
sources of error, the result shows that the developed technique has the potential to be used
as an in-line process monitor for the quality of SIMOX BOX.
The electrical testing has many further applications such as mapping out silicon
island density variation across a wafer as well as BOX non-stoichiometry across a wafer.
Figure 24 shows a contour plot of a standard single-implant SIMOX which shows an
electric field at a given current density. This can be translated into a map of BOX nonstoichiometry where high value of electric field corresponds to more stoichiometric
oxide.
42
-48
CD -
0
d -
C\
-
I
I~~~~~~~~~
2
6
4
8
Die X
Figure 24 Wafer map of electric field at J = lx10O7 A/cm2
43
Appendix A
SIMOX Buried-Oxide Capacitor Process Traveler
Step No.
1
2
3
4
Step Description
Screening Oxide
Deposit a layer of oxide to control implant depth
Ion Implantation of Dopant
1016 ions/cm2 BF2 at 40 keV to degenerately dope the top silicon
Wet Oxide Etch
DI rinse and BOE dip until oxide dewets
Pattern Silicon Electrode
Photolithography step involving photoresist application and patterning of the
5
6
7
resist
Plasma Silicon Etch
Remove the exposed silicon
Resist Strip
Strip away the photoresist
N2 Anneal
Anneal wafer at high temperature for redistribution and electrical activation of
dopants
8
HF dip
Dip in HF for surface cleaning
44
Appendix B
Wafer Specifications
Sample 1: 1309-2 from Ibis Technology Corp.
Origin
Size
MEMC
4"
Orientation
<100>
Type
Resistivity (Wcm)
Preheat Substrate Temperature (°C)
Actual Substrate Temperature (°C)
P
10.0 to 20.0
460
650
Dose (101 8 cm '2 )
1.73
Energy (keV)
Beam Current (mA)
Anneal Temperature (°C)
Anneal Time (hr)
Average Si Thickness (A)
Si Uniformity (+-A)
Average SiO2 Thickness (A)
SiO 2 Uniformity (+-A)
200
38.5
1310
5.00
2267
4.4
3863
5.9
Sample 2: L507 from Soitec
Origin
Size
MEMC
4"
Orientation
<100>
Type
Resistivity (Wcm)
P
14-22
Oxygen
Medium
Time (hr)
SubstrateTemperature
Energy (keV)
(°C)
6:21
>600
190
Dose (1018cm -2)
1.78
Anneal Temperature (C)
Anneal Time (hr)
Average Si Thickness (A)
Si Uniformity (Max-Min) (A)
Average SiO,2 Thickness (A)
SiO2 Uniformity (Max-Min) (A)
1320
6
2046
30
4018
46
45
Multiple Implant: L868 from Soitec
Origin
MEMC
Size
4"
Orientation
<100>
Type
Resistivity (Wcm)
P
14.0 -22.0
Oxygen
Medium
Time (hr)
SubstrateTemperature (C)
Energy (keV)
Dose (1018cm-2)
Uniformity Dose (Max-Min) (%)
Anneal Temperature (C)
Anneal Time (hr)
Average Si Thickness (A)
Si Uniformity (Max-Min) (A)
Average SiO2 Thickness (A)
SiO 2 Uniformity (Max-Min) (A)
6:47 triple implant
> 600
190
1.79
1.2
1320
6
2071
31
3856
33
46
Bibliography
[1] J. P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI, Kluwer Academic
Publishers, 1991
[2] S. Cristoloveanu, "A review of the electrical properties of simox substrates and their
impact on device performance", Journal of Electrochemical Society, Vol 138, No. 10,
October 1991, 3131
[3] J.P. Colinge, "Thin -Film SOI technology: the solution to many submicron CMOS
problems", Techn. Digest of IEDM, 1989, 817
[4] K. Young, "Short-channel effects in fully-depleted SOI MOSFET's", IEEE
Transactions on Electron Devices, Vol.36, 1989, 399
[5] S. Cristoloveanu, "Electrical evaluation of SIMOX material and integrated devices",
Mat. Res. Soc. Symp. Proc. Vol. 107, 1988, 335
[6] A. Nejim, P.L.F. Hemment, "Preparation and characterization of thin film SIMOX
material", Crucial Issues in Semiconductor Materials and Processing Technologies,
225, 1992
[7] C. D. Marsh, A. Nejim, Y. Li, G. R. Booker, P. L. F. Hemment, R. J. Chater, and J. A.
Kilner, "Control of the buried SiO2 layer thickness and Is defect density in SIMOX
substrates - structural investigation and process optimisation", Nuclear Instruments
and Methods in Physics Research B74, 1993, 197-203
[8] H.H. Hosack, M.K.El-Ghor, J. Hollingsworth and K. Joyner, "A closed form analytic
model for separation by implantation of oxygen oxide growth using a joined-Gaussian
approximation", J. Appl. Phys. Vol 73, No. 3, Feb 1993, 1461
[9] J. Yap, "SIMOX buried oxide conduction mechanisms", master's thesis, MIT, May
1994
[10]J. Nee, "Electrical methodology for evaluation of SIMOX buried oxide quality",
bachelor's thesis, MIT, May 1995
[ 1]J. Stoemenos, A. Garcia, B. Aspar and J. Margail, "Silicon on insulator obtained by
high dose oxygen implantation, microstrucutre, and formation mechanism", J.
Electrochem. Soc., Vol. 142, No.4, April 1995, 1248
[12]J. Margail, J. Stoemenos, C. Jaussaud, M Bruel, "Reduced defect density in siliconon-insulator structures formed by oxygen implantation in two steps", Appl. Phys.
Lett., Vol.54, No.6, Feb 1989, 526
[I 3]M. Stavola, J.R. Patel, L.C. Kimerling and P.E. Freeland, "Diffusivity of oxygen in
silicon at the donor formation temperature", Applied Physics Letter, Vol 42, 73, 1983
[14]C. Jaussaud, J. Margail, J. Stoemenos, and M. Bruel, "High temperature annealing of
simox layers physical mechanisms of oxygen segregation", Mat. Res. Soc. Symp.
Proc. Vol. 107, 1988, 17
[ 15]S. Nakashima and K. Izumi, "Analysis of buried oxide layer formation and
mechanism of threading dislocation generation in the substoichiometric oxygen dose
region", J. Mater. Res., Vol.8, No.3, Mar 1993, 523
[16]A. Yoshino, K. Kasama, and M. Sakamoto, "Oxygen-redistribution process in
SIMOX", Nuclear Instruments and Methods in Physics Research B39, 1989, 203-206
47
[17]J. Stoemenos, "Microstructure of SIMOX buried oxide, mechanisms of defect
formation and related reliability issues", Microelectronic Engineering, 22, 1993, 307314
[18]K.J. Reeson, "Fabrication of buried layers of SiO2 andSi3N4 using ion beam
synthesis", Nuclear Instruments and Methods in Physics Research B 19/20, 1987, 269278
[19]Y. Li, J.A. Kilner, A.K. Robinson, P.L.F. Hemment, C.D. Marsh, "Analysis of thinfilm silicon-on-insulator structures formed by low-energy oxygen ion implantation",
Journal of Applied Physics, Vol70, NO.7, Oct1991, 3605
[20]Y. Ishikawa, N. Shibata, "Effects of substrate temperature and ion current density on
the structure of silicon-on-insulator material implanted with low-energy oxygen", J.
Phys:Condens. Matter, Vol.5, 1993, 1291
[21]N. Hatzopoulos, D.I. Siapkas, C.C. Katsidis, T. Zorba, P.L.F. Hemment, "Refractive
index and compositional depth profiles in high energy SIMOX structures", SiliconOn-Insulator Technology and Devices, 1994, 173
[22]R.A.B. Devine, J.-L. Leray, J. Margail, "Ultraviolet radiation induced defect creation
in buried SiO2 layers", Appl. Phys. Letters, Vol.59, No. 18, October 1991, 2275
[23]A.G. Revesz, G.A. Brown, H.L. Hughes, "Properties of Buried SiO2 Films in
SIMOX structures", Mat. Res. Soc. Symp. Proc., Vol. 284, 1993, 555
[24]W.L. Warren, D.M. Fleetwood, M.R. Shaneyfelt, J.R. Schwank, P.S. Winokur and
R.A.B. Divine, "Excess-Si related defect centers in buried SiO2 thin films", Applied
Physics Lettes, Vol.62, No.25, June 1993, 3330
[25]A. Stesmans and K. Vanheusden, "defect generation sensitivity depth profile in
buried SiO2 using Ar plasma exposure", Applied Physics Letters, Vol.62, No.18, May
1993, 2277
[26]A. Stesmans et al.,"Depth profiling of oxygen vacancy defect generation in buried
SiO2", MRS Symp. Proc., vol.284, 1993, 299
[27]K. Vanheusden and A. Stesmans, "Dissimilarity between thermal oxide and buried
oxide fabricated by implantation of oxygen on si revealed by etch rates in HF",
Applied Physics Letters, Vol.57, No.21, November 1990, 2250
[28]P.J. McMarr, B.J. Mrstik, M.S. Barger, G. Bowden, J.R. Blanco, "A Study of is
Implanted with oxygen using spectroscopic ellipsometry", Journal of Applied Physics,
Vol.67, No.12, June 1990, 7211
[29]S. Bota, A. Perez-Rodriguez, J.R. Morante, A. Baraban, P.P. Konorov,
"Electroluminescence analysis of the screen oxide SIMOX structure", Silicon-OnInsulator Technology and Devices, 1994, 179
[30] T.F. Cheek, Jr. and D. Chen, "Dislocation Reduction on SIMOX substrates by using
multiple implants", Mat. Res. Soc. Symp. Proc. Vol.107, 1988, 53
[31]L. Meda, S. Bertoni, G.F. Cerofolini, and C. Spaggiari, "Thin buried oxide in
implanted silicon", Nuclear Instruments and Methods in Physics Research, B80/B81,
1993, 813-817
[32]F. Namavar, B. Buchanan, N.M. Kalhoran, "On the formation of ultrathin SIMOX
structures by low energy implantation", Mat. Res. Soc. Symp. Proc. Vol.284, 1993,
567
48
[33]A. Nejim, Y. Li, C.D. Marsh, P.L.F. Hemment, R.J. Chater, J.A. Kilner and G.R.
Booker, "Direct formation of device worthy thin film SIMOX structures by low
energy oxygen implantation", Nuclear Instruments and Methods in Physics Research,
B80/B81, 1993, 822-826
[34]S.P. Wainwright, C. Ngwa, S. Hall, N. Eccleston, "A study of high-field conduction
and electron trapping in buried oxides produced by SIMOX technology",
Microelectronic Engineering, 22, 1993, 399-402
[35]M. Lenzlinger and E. H. Snow, "Fowler-Nordheim tunneling into thermally grown
SiO2", Journal of Applied Physics, Vol.40, No.1, January 1969, 278-283
[36]D.J. DiMaria and D.W. Dong, "High current injection into SiO2 from Si rich SiO2
films and experimental applications", Journal of applied physics, Vol.51, No.5, May
1980
[37]D.J. DiMaria, D.W. Wong and F.L. Pesavento, "Enhanced conduction and minimized
charged trapping in electricaly alterable read-only memories using off-stoichiometric
silicon dioxide films", journal of applied physics, Vol.55, No.8, april 1994, 30003019
[38]R.E. Stahlbush, H.L. Hughes, W.A. Krull, "Reduction of charge trapping and
electron tunneling in SIMOX by supplementa implantation of oxygen", IEEE
Transactions on Nuclear Science, No.40, No.6, December 1993, 1740
[39]R.J. Lambert, T.N. Bar, H.L. Hughes, "Effect of an augmented oxygen implant on
electron trapping in buried oxides", Applied Physics Lettes, Vol.64, No.24, June 194,
3291
[40]J. Margail, J.M. Lamure, and A.M. Papon, "Defects in SIMOX structures: some
process dependence", Materials Science and Engineering, B12, 1992, 27-36
[41 ]R. Weber, R. Muller, and W. Skorupa, "Precipitation studies in oxygen- and
nitrogen-rich silicon formed by high dose implantation", Nuclear Instruments and
Methods in Physics Research B84, 1994, 286-290
[42]S.P. Wainwright and S. Hall, "Interpretation of high-field current-voltage and
breakdown characteristics in SOI substrates formed using SIMOX technology",
Semicductor Science Technology, Vol.8, 1993, 1854
[43]M. Mendecino, private communication
49
Biographical Note
Jung Uk Yoon was born in Suwon, Republic of Korea on December 9th, 1971. He
grew up in Korea until 1985 when he came to the United State of America. He
graduated from Forest Hills High School and went on to major in materials science
and engineering at Cornell University. Having graduated from Cornell with B.S. in
May, 1993, he came to MIT. He has been studying SIMOX materials under professor
James E. Chung.
55
-
50
Download