ICT WP 2009-10 Guide - CORDIS

advertisement
Semiconductor E&M &
Manufacturing in Europe –
Quo Vadis?
Georg Kelm
European Commission, DG INFSO, Nanoelectronics
Intel Supplier Forum, IMEC Sept 2010
••• 1
Outline
1. SC Industry -What is the Situation Now?
2. Dialogue Between Industry and Policy
3. What Can and Will Policy Do?
After Lisbon: EU2020 and Digital Agenda
5. SC E&M & Manufacturing in Current R&D
Programmes
6. Last Words
Disclaimer: Views expressed in this presentation are not necessarily stating an official position of
the European Commission
Intel Supplier Forum, IMEC Sept 2010
••• 2
What is the Situation in Europe now?
Pessimists (realists?) say:
- European semiconductor manufacturing capacity
dropped 25% from 2005-2008
- 95% of global investments in semiconductor
manufacturing now outside Europe
Why?
- Unfair competition for European semiconductor
ecosystem
But:
- Is this the main reason why Qimonda went bankrupt?
- Why is R&D efficiency so much lower than in the US?
- Also: there are still investments–e.g. Crolles or Dresden
Intel Supplier Forum, IMEC Sept 2010
••• 3
What is the Situation in Europe now?
Optimists (idealists?) say:
-We still have IDMs, more foundries, world leading
institutes, well developed E&M industry in selected
areas
-Imposed paradigm shift has advantages:
Why?
Healthier mix MM and MtM, better use of technology
Shift to MtM: emphasis of European strengths
But:
- Existing base needs support, private and public
- Triangle of Education-R&D-Innovation decisive
- Synergy of policies mandatory, national and EU
Intel Supplier Forum, IMEC Sept 2010
••• 4
Dialogue
Past 5 years–intensive interaction between Industry,
Research and EC:
- e.g. ESIA reports and TF, SEMI White Paper issued
Common understanding at all levels:
- No Knowledge Society w/o R&D and Innovation
- Semiconductor devices are a driving force for
innovation in Europe's key industries
- SC technology addresses societal issues as climate
change, renewable energy, security or health care
Actions at European level – Result of Dialogue:
- 2008: Strategic Initiatives Eniac and Artemis launched
- 2009: Communication on Key Enabling Technologies
E
- 2009: European 450 mm E&M initiative (EEMI450)
Intel Supplier Forum, IMEC Sept 2010
U
2
0
2
0
••• 5
ENIAC Joint Undertaking as Public-Private
Partnership
Health & Wellness
Transport & Mobility
Security & Safety
Energy & Environment
Communication
e-Society
Industry and
R&D actors
Commission
and Public
Authorities
7. Design Methods & Tools
8. Equipment & Materials
Executive Dir.
and secretariat
Intel Supplier Forum, IMEC Sept 2010
••• 6
Identified Key Enabling Technologies (KET)
•
Nanotechnology holds the promise of leading to – among others - the
•
Micro- and Nanoelectronics, including semiconductors, are
•
Photonics provides the technological basis for the conversion of sunlight to
development of smart nano and micro devices and systems;
essential for all goods and services which need intelligence and
functionality;
•
electricity which is important for the production of renewable energy and for a
variety of electronic components and equipment such as photodiodes,
LEDs and lasers.
•
Advanced Materials such as lightweight materials facilitate lowering the
•
Biotechnology brings cleaner and sustainable process alternatives for
carbon footprint and energy demand as well as limiting the need for raw materials;
industrial and agrifood operations
Intel Supplier Forum, IMEC Sept 2010
••• 7
EEMI450 Initiative: Long-term
Objectives
• To improve the competitiveness of the European
semiconductor E&M industry, increase their chances to be
selected by the tier 1 semiconductor companies in their
future 450mm operations.
• To stimulate a European infrastructure that is leading in
450mm E&M development and as a result will induce tier
1 companies to cooperation programs and possibly to equip
450mm fabs in Europe.
Intel Supplier Forum, IMEC Sept 2010
••• 8
EEMI450 Project
•
The EEMI450 ENIAC project represents the start of 450mm E&M
efforts in Europe
•
The project has 26 participants out of 7 countries: Austria,
Belgium, France, Germany, Hungary, Ireland, Netherlands
and United Kingdom
•
The project targets 450mm proof-of-concept of wafer
manufacturing, waferhandling plus automation, process plus litho
modules and metrology
Intel Supplier Forum, IMEC Sept 2010
••• 9
Outline
1. SC Industry -What is the Situation Now?
2. Dialogue Between Industry and Policy
3. What Can and Will Policy Do?
After Lisbon: EU2020 and Digital Agenda
5. SC E&M & Manufacturing in Current R&D
Programmes
6. Last Words
Disclaimer: Views expressed in this presentation are not necessarily stating an official position of
the European Commission
Intel Supplier Forum, IMEC Sept 2010
••• 10
Lessons from Lisbon Strategy
Strong convergence on direction of reforms ...
- Concrete results before crisis - employment grew moderately
- « Lisbonisation » of structural funds
... But a delivery gap has built up:
- Uneven progress between Member States
- Key targets - R&D + employment - not reached
- Lack of ownership; weak communication
- Regions not sufficiently involved
- Too EU-inward looking
• UPDATE VISION TO POST-CRISIS WORLD
• IMPROVE DELIVERY
Intel Supplier Forum, IMEC Sept 2010
••• 11
From 10 years Lisbon Strategy to EUROPE 2020
• UPDATE VISION TO POST-CRISIS WORLD
• IMPROVE DELIVERY
EUROPE 2020: A EU strategy for smart, sustainable and inclusive growth
3 priorities:
• Smart Growth: knowledge and innovation economy
• Sustainable growth: greener and competitive economy
• Inclusive growth: high employment, knowledge
people and social and territorial cohesion
5 EU Targets – translated into national ones
7 Flagship initiatives – EU & national action
COMMUNICATION FROM THE COMMISSION COM(2010) 2020
••• 12
http://ec.europa.eu/eu2020/pdf/COMPLET%20EN%20BARROSO%20%20%20007%20-%20Europe%202020%20Intel Supplier Forum, IMEC Sept 2010
%20EN%20version.pdf
Europe 2020: Five EU Headline Targets
By 2020:
• 75 % (now 69) employment rate (% of population aged 20-64 years)
• 3% (now 1,8%) Investment in R&D (% of EU’s GDP)
• “20/20/20” climate/energy targets met (incl. 30% emissions reduction if
conditions are right)
• < 10% (now 15) early school leavers & min. 40% (now 31) hold tertiary
degree
• 20 million less people (now 80) should be at risk of poverty
Intel Supplier Forum, IMEC Sept 2010
••• 13
And the Private Sector?
Private expenditure on all R&D as % of GDP – average annual growth 2000-2007
(source: EC, DG Entr)
Intel Supplier Forum, IMEC Sept 2010
••• 14
Europe 2020: 7 Flagship Initiatives underpin the Targets
Smart Growth
Sustainable Growth
Inclusive Growth
Innovation
« Innovation Union »
Climate, energy and
mobility
« Resource efficient
Europe »
Employment and skills
« An agenda for new skills
and jobs »
Education
« Youth on the move »
Competitiveness
« An industrial policy
for the globalisation
era »
Fighting poverty
« European platform
against poverty »
Digital society
« A digital agenda for
Europe »
Intel Supplier Forum, IMEC Sept 2010
••• 15
Europe 2020: Role of Cohesion Policy
• Cohesion Policy is largest source of multisector EU funding - much larger than R&D
budget: Use it also for R&D&I objectives
• Need to demonstrate that EU instruments work
together, not against each other
• Europe cannot achieve ‘2020’ goals unless
regions achieve them
Intel Supplier Forum, IMEC Sept 2010
••• 16
Digital Agenda for Europe
•
•
•
•
•
•
Fast Internet
Digital Single Market
Digital Citizenship
ICT Research and Innovation
need greater priority
Trust & Security
Interoperability
Intel Supplier Forum, IMEC Sept 2010
••• 17
Key Enabling Technologies (KETs)
Importance of KETs
•
•
•
•
Driving force of the
development of future
goods and services
Being at the forefront of
competitiveness, innovation,
knowledge-based
economy
Modernisation of the
industrial base and in the
further strengthening of
the research base
Creating related ecosystems of SMEs.
Defining KETs
•
•
•
•
•
Knowledge intensive (high R&D
and capital expenditure)
Associated with highly-skilled
employment
Multi-disciplinary, cutting across
many technology areas, converging
Create multiplier effects
Enabling process, good and service
innovation and are of systemic
relevance.
Source: European Commission
Intel Supplier Forum, IMEC Sept 2010
••• 18
The Way Forward
-Support of Key Enabling TechnologiesShort Term
 Better application of existing
state aid rules
 Trade: Ensure level playing field
 Improve access to finance
 Reinforce existing initiatives on
KETs & link KETs with EU2020
Long Term
 Establish a high level expert
group (HLG)
- Assess competitiveness situation
Shared long term vision
 Need to create a shared
long term vision and
partnership
between
Commission and Member
states and industry and Key
stakeholders.
 Invite Member States to
agree on importance of
KETs and support the
orientation included in the
Communication.
of KETs focusing on deployment
- Analyse R&D capacity
- Policy recommendations
Intel Supplier Forum, IMEC Sept 2010
••• 19
State Aid for Large Investments –
An Element for the Post-Lisbon Process?
R&D is the basic element for the Innovation process
R&D is an integral part of high end manufacturing
Investor’s decision for a production site is influenced by
comparison of incentives on a global scale
Risk of losing the production lines AND also the R&D
Conclusions:
1. Where Europe Invested in R&D, State Aid Should be
Allowed for Subsequent Investments
2. European Clusters show:
Win-Win Possible for Industry and Society
Intel Supplier Forum, IMEC Sept 2010
••• 20
The Supply Chain Today
Data Processing
Communications
Global electronic market supply chain revenue
Systems
2008
~1430B$
2009
~1380B$
2010
~1440B$
Devices
2008
~275B$
2009
~250B$
2010
~280B$
Equipment
Industrial and
Medical
2008 2009 2010
~31B$ ~17B$ ~26B$
Consumer
Material
~20B$
Source: Gartner, Ic Insights (2008-2009)
Automotive
Military, Civil
Aerospace, Security
Intel Supplier Forum, IMEC Sept 2010
••• 21
Equipment and Material suppliers
Military, Civil
Aerospace,
Security
Devices (IDM, Fabless,
Foundry)
*
Equipment suppliers Few specialized
players
Industry and
Medical
Automotive
Communicati
ons
Consumers
electronics
Data
processing
***
***
**
*
*
Material suppliers
**
**
Equipment suppliers
First European player
ASML (NL) ranked 3rd w/w
Other significant European
players
ASMI (NL) (2nd European)
Aixtron (DE) (3rd European)
80% of the Equipment Suppliers are SMEs
Rest of the
world
9%
Asia/Pacific
65%
Europe
8%
Substrate Material suppliers
First European player
Other significant European
players
•
•
•
Siltronic (DE) ranked 3rd w/w
SOITEC 1st SOI wafer supplier
Okmetic
Americas
18%
Semiconductor equipment sales by region
***: various European players well positioned
**: 1 or 2 major European players and several small
*: very few European players
Source: Datamonitor, Global SC Equipment, March ‘09
Intel Supplier Forum, IMEC Sept 2010
••• 22
Outline
1. SC Industry -What is the Situation Now?
2. Dialogue Between Industry and Policy
3. What Can and Will Policy Do?
After Lisbon: EU2020 and Digital Agenda
5. SC E&M & Manufacturing in Current R&D
Programmes
6. Last Words
Disclaimer: Views expressed in this presentation are not necessarily stating an official position of
the European Commission
Intel Supplier Forum, IMEC Sept 2010
••• 23
FP7 Nanoelectronics E&M and
Manufacturing Targets 2007-10
•
New semiconductor manufacturing approaches, processes
and tools
•
Joint assessments of novel process/metrology equipment
and materials
•
Supporting 200/300mm wafer integration platforms
•
Preparatory work for 450mm wafer processing
Funding schemes:
STREPs
IP
Intel Supplier Forum, IMEC Sept 2010
••• 24
Thematic Coverage FP7 R&D
Nanoelectronics 2007-10
Processes
Funding: 35 M€
24 %
E&M and Mfg
(incl. Europractice)
Funding: 35 M€
21 %
Intel Supplier Forum, IMEC Sept 2010
Design
Funding: 34 M€
23 %
Devices
Funding: 35M€
24 %
••• 25
Project SEAL: SEA-Leveraging Innovation
35% of suppliers are SMEs
Users:
• Global Foundries, Infineon, Intel,
LFoundry, MEMC, Numonyx, Siltronic,
Soitec, STMicroelectronics Crolles
Equipment Suppliers:
• Hamatech, Alcatel Vacuum, Jenoptik
Automatisierungs-technik, SUSS
MicroOptics, Reinhardt Microtech, SUSS
Microtech Lithography, MAPPER, Toppan
Photomasks, HQ-Dielectrics, SUSS
Microtec, Ion Beam Services,
• Lam Research, Nanda, Semilab, Fries
R&T, Applied Materials, Integrated Circuit
Testing, Oxford Instruments, Metryx, PVA
TePla, KLA-Tencor, ProTec
Research Institutes:
• CEA/LETI, Fraunhofer IISB, imec, UALB,
ICN, FHWN
Budget/Funding:
••• 26
• 14,3 Mio EUR / 9,1 Mio EUR
Intel Supplier Forum, IMEC Sept 2010
SP8-PLASMA-TRANS -Plasma Immersion II Tool
for Defect Engineering & CMOS imager application
Short description:
 Extension of application field by tool modification (process control, pressure, temperature)
 Assessment of modified tool
Advances proposed Plasma Immersion Ion Implant
 Adaptation and evaluation of the IBS PULSION platform for defect
engineering/gettering
 Application to:
 CMOS imagers
 trench doping
 shallow trench isolation (STI)
 deep trench doping for power applications
 shallow junctions with low thermal budget activation for
backside doping.
 Modifications for improved throughput
PULSION-nano® tool installed in LETI
Partners: Ion Beam Services, CEA/LETI,
STMicroelectronics Crolles
Coordinator: Frank TORREGROSA/ IBS
Duration: 24 Months
Intel Supplier Forum, IMEC Sept 2010
••• 27
SP15 - M4
More Mass Metrology for Monitoring
Short description:
 Assessment of fab ready 300mm tool for mass metrology
 Evaluation of new applications like Ion Implantation, Gate Stack, barrier seed layers for BEoL
and 3D integration
Advances proposed in M4
 Assessment of the next generation of mass metrology tools
incorporating a high-resolution mass metrology measurement
module
 Resolution improvement of a factor of 100 to 0.1 micrograms,
and a repeatability improvement of a factor of 10 to 8
micrograms.
 Allow the introduction of mass metrology into new applications,
structures and materials being introduced at the 22nm
dimension.
 The advanced modular construction of the tool will also provide
the capability of adding multiple mass modules with mixed
measurement capability, an extendable platform to cope with
ever increasing volumes, and the capability of mixed
technologies for custom metrology solutions
Partners: Metryx, Intel, imec
Coordinator: Mark Berry / Metryx
Duration: 30 Months
Intel Supplier Forum, IMEC Sept 2010
••• 28
MAGIC: The European Initiative on Multibeam Lithography
•
Push the insertion of ML2 technology for semiconductor manufacturing
– Demonstrate technology interest and capability
•
•
•
Resolution
Throughput
– Develop first 300mm multi beam platforms
Build infrastructure for a fast and secure start-up
– Fields of development : process, data preparation, proximity effect
controls…
– Highlight CMOS process integration compatibility
Project leader
Tool devlpt Tool devlpt
DP
(1)
EBPC (2) &
simulation
FHV
Intel Supplier Forum, IMEC Sept 2010
PI (3) &
Technology
assessment
FUJI
••• 29
High energy multibeam demonstration capability
•
Project driver : IMS Nanofabrication (Vienna)
programmable
Aperture Plate
System
32nm hp
(APS)
5 keV
25nm hp SRAM test case
50 keV
•
Throughput potential
– Multi axis : 76 columns
• Each beam covering 1 die area
• 256k beam per axis – 1µA per axis
– 5-6wph per exposure module
Intel Supplier Forum, IMEC Sept 2010
••• 30
Low energy multibeam demonstration capability
• Project driver : MAPPER Lithography (Netherlands)
32nm hp resolution
HSQ
CD=26nm-D=673µC/cm²
• Throughput potential
Positive CAR
CD=37nm -D=42µC/cm²
40nm hp Contact hole
Positive CAR
CD=40nm-D=200µC/cm²
– 10wph per module
• 1 module : 1m²
• 13000 beams per module
Intel Supplier Forum, IMEC Sept 2010
••• 31
One of the Challenges: Integration on
Silicon and the heteroepitaxy problem
DUALLOGIC approach: Use standard Si STI
Compatibility with standard CMOS cost effectiveness
Ge in Si STI
IP re-use
IMEC results in DUALLOGIC: G. Wang et al., APL 96, 111903 (2010)
Problem :
Narrow trenches !
InP buffer in Si STI
200 nm
150 nm
IMEC results in DUALLOGIC : G.Wang et al., ECS Trans. 27, p. 959 (2009)
110 nm
InGaAs channel will be grown
here
InP
STI
Si
Intel Supplier Forum, IMEC Sept 2010
Aixtron as equipment
partner!
••• 32
Fab of the Future (FoF)
Smart Factories - 2009
“Smart Factories” expected impact:
–
Higher level of intelligence & environmental
consciousness on the shop floor
–
Introduction of advanced
into
mainstream manufacturing
–
Higher productivity of customised
manufacturing
paired with reduced emissions & waste
automation
More to come in 2011, 2012, 2013
Smart Factories
Virtual
Factories
Intel Supplier Forum, IMEC Sept 2010
ICT
Digital
Factories
••• 33
Thematic Coverage FoF
- Smart Factories 2009 Integrated Process
Automation
Robotics Enabled
Mfg.
Energy & Mat
Monitoring
Laser
Applications in
Mfg
Result: 8 proposals with 35 M€ funding
Intel Supplier Forum, IMEC Sept 2010
••• 34
Very Advanced NanoE Components 2011-12
Topics and Targets:
 - Very advanced Si and non-Si switches, memories and
interconnects (perf/ee)
 - Co-integration of non-Si, charge, spin, photon based
devices on Si
 - Combined modelling, technology+ design work at
circuit, device, material level for monolith and 3D integr of
BeyondC+very adv. MtM on Si backbone
 - Mfg solutions for integration/interfacing of
Beyond CMOS+MtM with NanoCMOS
 - E&M solutions to manufacture and measure
1D + 3D Si, including E&M assessment
 - CSAs to support 450 mm cordination, develop
strategies, attract young ones and link up globally to
support IP creation and manufacture in Europe
2011
60M€
Intel Supplier Forum, IMEC Sept 2010
STREPs, IPs, CSAs
••• 35
ENIAC
Themes covered - 1st call - 2008
Proposals selected for funding
(EC + MS) per sub-programme
Transport and Mobility
33 M€
Energy and
Environment
E3Car
SE2A
SmartPM
Electric car
Car safety &
efficiency
Power
management
E&M and Manufacturing
JEMSIP_3D
LENS
Heterogeneity
Lithography
NEPTUNE
IMPROVE
Heterogeneity
Productivity
Intel Supplier Forum, IMEC Sept 2010
Design Methods and
Tools
MODERN
Reliability
43 M€
12 M€
••• 36
10 M€
ENIAC
Themes covered - 2nd call - 2009
Proposals selected for funding
(EC + MS) per sub-programme
16 M€
Communications
33 M€
8.5 M€
Health and Wellness
MAS
MIRANDELA
mm-wave & RF
integration
MERCURE
Wide
bandgap &
RF MEMS
CAJAL4EU
Security and
Safety
Energy &
eSociety
SMART
CSSL
Secure
storage
Solid state
lighting
Remote
Biosensors
CSI
monitoring &
for
therapy
3D imaging diagnostics
E&M and Manufacturing
Last Power
Wide bandgap
materials
11.6 M€
Design Methods
and Tools
EEMI 450
ESiP
END
450mm
preparation
Multi-chip
integration
Energy-aware
design
Intel Supplier Forum, IMEC Sept 2010
31 M€
••• 37
6.3 M€
Eniac - Annual WP 2010
Target R&D Activities E&M + Manufg:








-
EUV sub-22 + complementary 1x nm patterning
450 mm supply chain
Metrology Innovation
Green & flexible Manufacturing
Photomask innovation
3D IC Manufacturing
Disruptive BEOL Technology + WL-Packaging
Large Area Organic Substrates
Call 3: Projects being selected at present !
2010
25-30 M€
Intel Supplier Forum, IMEC Sept 2010
3 year projects
••• 38
NanoE E&M and Mfg in FP and Eniac
2007-10
First half of FP7 (2007-10):
- More than 50 different European SC E&M suppliers
participating in R&D and assessment projects, more
than 40% of them being SMEs
- More than 150 M€ invested in SC E&M and Mfg
Second half of FP7 (2011-13):
- R&D funding will increase by 30%.
Intel Supplier Forum, IMEC Sept 2010
••• 39
Last Words
New European Commission with Emphasis on R&D&I –
basis for sustainable growth in Knowledge Society
The European R&D&I Programmes are investing in key
technologies as nanoE - a basis for innovation and growth
In the long run no SC ecosystem w/o SC E&M & Mfg
Opportunity if:
The Europeans keep IP and silicon together
Industry sees recent paradigm shifts as a chance not a threat
Policy and industry adapt a holistic approach to face global
challenges
It Seems There is a Common Will
to Act Jointly!
Intel Supplier Forum, IMEC Sept 2010
••• 40
THANK YOU
Georg.Kelm@ec.europa.eu
Intel Supplier Forum, IMEC Sept 2010
••• 41
Download