Laboratory Manual

advertisement
1
Jawaharlal Nehru Engineering
College
Laboratory Manual
DIGITAL SYSTEMS
For
Second Year Students
Manual made by
Prof.S.G. SURYAWANSHI
 Author JNEC, Aurangabad
2
Jawaharlal Nehru Engineering
College
Technical Document
This technical document is a series of Laboratory manuals of Electronics and
Telecommunication Department and is a certified document of Jawaharlal Nehru
Engineering College. The care has been taken to make the document error-free. But
still if any error is found. Kindly bring it to the notice of subject teacher and HOD.
Recommended by,
HOD
Approved by,
Principal
Copies:
1. Departmental Library
2. Laboratory
3. HOD
4. Principal
3
FOREWORD
It is my great pleasure to present this laboratory manual for second year engineering
students for the subject of Digital Logic Design keeping in view the vast coverage required
for visualization of concepts of Digital electronics.
As a student, many of you may be wondering with some of the questions in your mind
regarding the subject and exactly what has been tried is to answer through this manual.
Faculty members are also advised that covering these aspects in initial stage itself, will
greatly relived them in future as much of the load will be taken care by the enthusiasm
energies of the students once they are conceptually clear.
H.O.D.
4
LABORATORY MANUAL CONTENTS
This manual is intended for the Second year students of engineering branches in the
subject of Digital Logic Design. This manual typically contains practical/Lab Sessions
related Digital Electronics covering various aspects related to the subject to enhance
understanding.
Students are advised to thoroughly go though this manual rather than only topics mentioned
in the syllabus as practical aspects are the key to understanding and conceptual visualization
of theoretical aspects covered in the books.
Good Luck for your Enjoyable Laboratory Sessions
Prof . S.G. SURYAWANSHI
5
SUBJECT INDEX
1.
Do’s and Don’ts in the laboratory
2.
Lab Experiments:
1. Study of Basic Logic Gates
2. Study of Half Adder
3. Study of Half Sustractor
4. Study of Binary to Gray Code Conversion
5. Study of Gray to Binary Code Conversion
6. Study of Multiplexer
7. Study of De-multiplexer
8. Study of Counter
3.Quiz on the subject
4.Conduction of VIVA-VOCE Examinations
6
DOs and DON’ TS in Laboratory:
1. Do not handle any equipment before reading the instructions/Instruction manuals
2. Read carefully the power ratings of the equipment before it is switched on whether
ratings 230 V/50Hz or 115V/60 Hz. For Indian equipments, the power ratings are normally
230V/50Hz. If you have equipment with 115/60 Hz ratings, do not insert power plug, as our
normal supply is 230V/50 Hz, which will damage the equipment.
3. Observe type of sockets of equipment power to avoid mechanical damage
4. Do not forcefully place connectors to avoid the damage
5. Strictly observe the instructions given by the teacher/Lab Instructor
Instruction for Laboratory Teachers::
1. Submission related to whatever lab work has been completed should be done during the
next lab session.
2. The promptness of submission should be encouraged by way of marking and
evaluation patterns that will benefit the sincere students.
7
Experiment No.1
Study of basic logic Gates
Aim:
To Study basic logic gates such as AND, OR, NOT
Apparatus: Bread board, wires,IC-7402(AND),7432(OR),7404 (NOT)
Theory:
1. AND: Logical AND operation is defined as “the output is 1 iff all the
inputs
are 1”
Circuit of logical AND is shown below. It has N inputs (N>=2) and one output. Digital signals
are applied at the input terminal marked A,B,C….,N,the other terminal being grounded(not
shown in diagram)The output is obtained at the terminal marked Y,and it is also a digital
signal.
Fig1. LOGIC DIAGRAM OF AND GATE
Mathematically ,AND operation is written as
Y=A AND B AND C
Y=A .B. C
Y=ABC…..N
Truth Table for AND operation
8
INPUTS
A
0
0
1
1
OUTPUTS
B
0
1
0
1
Y
0
0
0
1
2. OR: Logical OR operation is defined as “the output is 1 if at least one of the inputs is
1”.
Circuit of logical OR is shown below. It has N inputs (N>=2) and one output. Digital signals
are applied at the input terminal marked A,B,C….,N, the other terminal being grounded(not
shown in diagram).The output is obtained at the terminal marked Y, and it is also a digital
signal.
Fig 2.LOGIC DIAGRAM OF OR GATE
Mathematically ,
OR operation is written as
Y=A OR B OR C
Y=A +B+C+……+N
Truth Table for OR operation
9
INPUTS
A
0
0
1
1
OUTPUTS
B
0
1
0
1
Y
0
1
1
1
3. NOT: Logical NOT operation is also called as Inverter. It has One input (A) and one
output (Y).Its logic Equation is written as
Y = NOT A
And is read as “Y equals not A” or “Y equals complement of A”.
Fig 3.LOGIC DIAGRAM OF NOT GATE
INPUT
A
0
1
OUTPUTS
Y
1
0
10
Procedure: -1)TO pin number 14 Of all IC’s Vcc is applied & pin number 7 is grounded .
2) Assemble the circuit on breadboard according to the pin configuration.
3) Give the logical inputs and check for the proper output.
Conclusion: Hence verified the logical AND, OR, NOT Operation.
11
Experiment No.2
Study of Half Adder
Aim: To perform addition of binary digits using half adder circuit.
Apparatus: Bread board ,wires IC-7486(EX-OR),7408(AND)
Theory:A logic circuit for the addition of two one bit numbers is referred to as an
half adder. A half adder can be constructed using EX-OR(IC-7486) and AND
(IC7408) gates.
Figure below shows half adder circuit diagram & its truth table.
Fig 1.Circuit diagram of Half Adder
INPUT
A
0
0
1
1
B
0
1
0
1
OUTPUT
SUM
0
1
1
0
CARRY
0
0
0
1
12
The truth table shows, that in the first three rows there is no carry, whereas in the fourth
row a carry is present.
From the truth table, we obtain the logical expression for SUM and CARRY as,
SUM=A’B+AB’
CARRY=AB
Procedure:1)TO pin number 14 Of both IC’sVcc is applied & pin number 7 is grounded .
2) Assemble the circuit on bread board.
3) Give the logical inputs and check for the proper output.
Conclusion: Hence verified Half Adder operation
13
14
Experiment No.3
Study of Half Subs tractor
Aim:-To perform Subs traction of binary digits using half Subs tractor circuit.
Apparatus:- Bread board ,wires IC-7486(EX-OR),7408(AND)
Theory:A logic circuit for the subs traction of two one bit numbers is referred to as an
Half Subs tractor. A Half Subs tractor can be constructed using EX-OR(IC-7486) and AND
(IC-7408) gates.
Figure below shows half Subs tractor circuit diagram & its truth table.
Fig 1.Circuit diagram of Half Subs tractor
INPUT
A
0
0
1
1
OUTPUT
B
0
1
0
1
Difference
0
1
1
0
Borrow
0
0
0
1
The truth table shows, that in the first three rows there is no Borrow, whereas in
the fourth row a Borrow is present.
15
From the truth table, we obtain the logical expression for DIFFERENCE and BORROW as,
D=A+B
B=A’B
Procedure:1)TO pin number 14 Of both IC’s Vcc is applied & pin number 7 is
grounded .
2)Assemble the circuit on bread board.
3) Give the logical inputs and check for the proper output.
Conclusion: Hence verified Half Subs tractor operation
16
Experiment No.4
Study of Binary to Gray code Conversion
Aim:- To perform Binary to Gray Code Conversion
Apparatus:- Bread board , wires IC-7486(EX-OR)
Theory :Digital codes are required to handle data which may be numeric, alphabets or
special characters. Since digital circuits work in binary manner, therefore numerals and
other characters are to be converted to binary format. This conversion process is known as
encoding.
Gray code:This code is often used in digital systems because it has the advantage that
only one bit in the numerical representation changes between successive numbers.
For example,0111 represents 5 and 0101 represents 6 in Gray code .These two
consecutive numbers differ only in one bit (third from left).Its primary application
is in the location of angles on a rotating shaft.
Figure below shows circuit diagram of binary to gray code conversion & its truth table
Fig 1. Circuit Diagram of Binary to Gray code Conversion
17
BINARY NUMBERS
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
CONVERTED GARY CODE
NUMBERS
0
0
0
0
0
0
0
1
0
0
1
1
0
0
1
0
0
1
1
0
0
1
1
1
0
1
0
1
0
1
0
0
1
1
0
0
1
1
0
1
1
1
1
1
1
1
1
0
1
0
1
0
1
0
1
1
1
0
0
1
1
0
0
0
Procedure:1)TO pin number 14 Of IC 7486 Vcc is applied & pin number 7 is
grounded .
2)Assemble the circuit on bread board, as per above diagram.
3) Give the logical inputs and check for the proper output, as per
the truth table.
Conclusion: Hence verified Binary to Gray code conversion operation
18
Experiment No.5
Study of Gray to Binary code Conversion
Aim:- To perform Gray Code to Binary Code Conversion
Apparatus:- Bread board , wires IC-7486(EX-OR)
Theory :Digital codes are required to handle data which may be numeric, alphabets or
special characters. Since digital circuits work in binary manner, therefore numerals
and other characters are to be converted to binary format. This conversion process is
known as encoding.
Gray code:
This code is often used in digital systems because it has the advantage that
only one bit in the numerical representation changes between successive numbers.
For example,0111 represents 5 and 0101 represents 6 in Gray code .These two
consecutive numbers differ only in one bit (third from left).Its primary application
is in the location of angles on a rotating shaft.
Figure below shows circuit diagram of gray code to binary conversion & its truth table.
Fig 1. Circuit Diagram for Gray to Binary Conversion
19
Truth Table:GARY CODE NUMBERS
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
CONVERTED BINARY
NUMBERS
0
0
0
0
0
0
0
0
1
0
0
1
0
1
0
0
1
0
0
1
1
0
1
1
1
0
0
1
0
0
1
0
1
1
0
1
1
1
0
1
1
0
1
1
1
1
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
Procedure:1)TO pin number 14 Of IC 7486 Vcc is applied & pin number 7 is
grounded .
2)Assemble the circuit on bread board, as per above diagram.
3) Give the logical inputs and check for the proper output, as per the
truth table.
Conclusion: Hence verified Gray code to Binary conversion operation
20
Experiment No.6
Study of Multiplexer using IC 74150
Aim:- To study Multiplexer operation using IC-74150
Apparatus:- Bread board , wires .
Theory :A Multiplexer (or a data selector) is a logic circuit that accepts several data
inputs and allows only one of them at a time to get through to the output. The
selection of the desired data input is controlled by the SELECT (or ADDRESS)
INPUTS.
Figure below shows the block diagram of a Multiplexer.
Fig1. Block Diagram Of Multiplexer
In this diagram the inputs and outputs are indicated by means of broad arrows to
indicate that there may be one or more lines. Depending upon the digital code applied at the
SELECT inputs, one out of the data sources is selected and transmitted to the single output
channel. The Multiplexer becomes enabled when the strobe signal is active LOW.
21
The pin out of a 8:1 multiplexer IC 74150 is shown above. The output of this circuit
is the inverted input. This is a 16-pin DIP.
Procedure:1) Assemble the circuit on bread board, as per above diagram.
2) Give the logical inputs and check for the proper output, as per the truth
table.
Conclusion: Hence verified the Multiplexer(8:1) operation using IC-74150
P.S :There are various Multiplexers available, one can refer to data sheet for
specification and pin Configuration.
22
Experiment No.7
Study of Demultiplexer using IC 74138
Aim:- To study Multiplexer operation using IC-74138
Apparatus:- Bread board , wires .
Theory :A Demultiplexer performs the reverse operation of a Multiplexer. It
accepts a single input and distributes it over several outputs. The SELECT input
code determines to which output the data input will be transmitted. The
Demultiplexer becomes enabled when the strobe signal is active LOW.
This circuit can also be used as binary-to-decimal decoder with binary inputs
applied at the select input lines and the output will be obtained on the corresponding
line. These devices are available as 2-line-to-4-line decoder, 3-line-to-8-line
decoder, 4-line-to-16-line decoder. The output of these devices is active LOW. Also
there is an active low enable/data input terminal available
Figure below shows the block diagram of a Demultiplexer.
23
In this diagram the inputs and outputs are indicated by means of broad arrows to
indicate that there may be one or more lines. Depending upon the digital code applied at the
SELECT inputs, one data is transmitted to the single output channel out of many.
The pin out of a 16:1 Demultiplexer IC 74154 is shown above. The output of this
circuit is active low. This is a 24-pin DIP.
Procedure:1) Assemble the circuit on bread board, as per above Pin diagram.
2) Give the logical inputs and check for the proper output, as per the truth
table.
Conclusion: Hence verified the Demultiplexer(16:1) operation using IC-74138
P. S: There are various Demultiplexers available, one can refer to data sheet for
specification and pin Configuration
24
Experiment No.8
Study of Decade Counter using IC 7490
Aim:- To study Decade Counter using IC-74150
Apparatus:- Bread board , wires .
Theory :A circuit used for counting the pulses is known as a Counter. Basically there
are two types of counter:
1. Asynchronous counter (ripple counter)
2. Synchronous counter
In case of asynchronous counter all the flip-flops are not clocked simultaneously,
whereas ,in a Synchronous counter all the flip-flops are clocked simultaneously. A
Ring counter and twisted ring counter is the examples of synchronous counter.
Figure below shows the internal structure of 7490.
It consists of four flip-flop internally connected to provide a mod-2 and a mod-5
counter. The mod-2 and mod-5counters can be used independently or in
combination. There are two reset inputs R1and R2 both of which are to be connected
to logic 1level for clearing all the flip-flops. The two inputs S1 and s2 when
connected to logic 1 level, are used for setting the counter to 1001.
Circuit Diagram connection for BCD Decade Counter
25
Fig2. Circuit Diagram of Decade Counter
Procedure:1) Assemble the circuit on bread board, as per above connection diagram
2) Give the Clock/trigger signal manually or auto clock and check the count
sequence .
Conclusion: Hence ,studied the decade counter usingIC-7490
26
Quiz on The Subject
Q1. Which of the following systems are analog and which are digital? Why?
a) Pressure Gauge.
b) Clinical Thermometer.
c) Electronic Calculator
d) Transistor radio receiver.
f) Ordinary electric switch.
Q2. Name the code in full form.
1.ASCII code
2.EBCDIC code
Q3.What are Combinational circuits?
Q4.What are flip-flops?
Q5.What are sequential circuits?
Q6.What is a bit?
Q7.How many logic systems are there in digital electronics?
Q8 What is the significance of Gray code?
Q9.What are basic gates?
Q10.What are universal gates?
27
Conduction of VIVA-VOCE Examinations : Teacher should conduct oral exams of the students with full preparation. Normally
the objective questions with guess are to be avoided. To make it meaningful, the
questions should be such that depth of the student in the subject is tested. Oral
Exams are to be conducted in co-cordial situation. Teachers taking oral exams
should not have ill thoughts about each other & courtesies should be offered to
each other in case of opinion, which should be critically suppressed in front of the
students.
Evaluation and marking system: -
Basic honesty in the evaluation and marking system is essential and in the process
impartial nature of the evaluator is required in the exam system. It is a primary
responsibility of the teacher to see that right students who really put their effort
&intelligence are correctly awarded.
The marking pattern should be justifiable to the students without any ambiguity and
teacher should see that students are faced with just circumstance.
28
29
Jawaharlal Nehru Engineering
College
Laboratory Manual
DIGITAL SYSTEMS
For
Second Year Students
Manual made by
Prof.S.D.JADHAV
 Author JNEC, Aurangabad
30
Jawaharlal Nehru Engineering College
Technical Document
This technical document is a series of Laboratory manuals of Electronics and
Telecommunication Department and is a certified document of Jawaharlal Nehru
Engineering College. The care has been taken to make the document error-free. But
still if any error is found. Kindly bring it to the notice of subject teacher and HOD.
Recommended by,
HOD
Approved by,
Principal
Copies:
5. Departmental Library
6. Laboratory
7. HOD
8. Principal
31
FOREWORD
It is my great pleasure to present this laboratory manual for second year engineering
students for the subject of Electronics Workshop keeping in view the vast coverage
required for visualization of concepts of basic electronics.
As a student, many of you may be wondering with some of the questions in your mind
regarding the subject and exactly what has been tried is to answer through this manual.
Faculty members are also advised that covering these aspects in initial stage itself, will
greatly relived them in future as much of the load will be taken care by the enthusiasm
energies of the students once they are conceptually clear.
H.O.D.
32
LABORATORY MANUAL CONTENTS
This manual is intended for the Second year students of engineering branches in the
subject of Electronics Workshop . This manual typically contains practical/Lab Sessions
related Electronics covering various aspects related the subject to enhanced understanding.
Students are advised to thoroughly go though this manual rather than only topics mentioned
in the syllabus as practical aspects are the key to understanding and conceptual visualization
of theoretical aspects covered in the books.
Good Luck for your Enjoyable Laboratory Sessions
Prof . S.D.JADHAV
33
SUBJECT INDEX
3.
Do’s and Don’ts in the laboratory
4.
Lab Experiments:
9. Study of Basic Logic Gates
10.Study of Half Adder
11.Study of Half Sustractor
12.Study of Binary to Gray Code Conversion
13.Study of Gray to Binary Code Conversion
14.Study of Multiplexer
15.Study of De-multiplexer
16.Study of Counter
34
DOs and DON’T DOs in Laboratory:
1. Do not handle any equipment before reading the instructions/Instruction manuals
2. Read carefully the power ratings of the equipment before it is switched on whether
ratings 230 V/50Hz or 115V/60 Hz. For Indian equipments, the power ratings are normally
230V/50Hz. If you have equipment with 115/60 Hz ratings, do not insert power plug, as our
normal supply is 230V/50 Hz, which will damage the equipment.
3. Observe type of sockets of equipment power to avoid mechanical damage
4. Do not forcefully place connectors to avoid the damage
5. Strictly observe the instructions given by the teacher/Lab Instructor
Instruction for Laboratory Teachers::
1. Submission related to whatever lab work has been completed should be done during the
next lab session.
2. The promptness of submission should be encouraged by way of marking and evaluation
patterns that will benefit the sincere students.
Download
Study collections