Simulation 6: Second Deposition/Etch Cycle

advertisement
EE539A: Physics and Modeling of VLSI Fabrication
Susan Soggs and Rebecca Powell
June 13, 2003
Modeling and Simulation Feasibility Study of the Bosch DRIE (Deep Reactive Ion
Etch) Process as performed in the WTC (Washington Technology Center)
Overview
DRIE (deep reactive ion etch) processes such as the Bosch process, are necessary for the
development of many MEMS devices. The physics behind these processes are not yet
well established or analyzed. In fact, most of the existing literature on the Bosch process,
in particular, was found to be quantitative rather than qualitative. Due to the complexity
of this process, including interaction of the process steps, full analytical modeling would
be complex. In this report, the main processes are defined, simplified models developed,
and initial simulation results using the Taurus Topography software suite are presented.
Introduction
Micro-electrical-mechanical system (MEMS) devices are created from Si and polysilicon
using mature manufacturing materials and processes initially developed for the
Semiconductor IC industry. This is possible due to the inherent material strengths of Si
for small-scale systems(1,2,3). However, the special needs of MEMS devices require
certain additional processes not required in IC manufacturing. Since MEMS is an
emerging industry, these processes are less mature and well-understood. An example of
this is DRIE.
Standard RIE, reactive ion etching, of Si is widely used in the IC industry. It utilizes a
synergistic balance of chemical etching and physical etching to produce Si trenches and
structures with aspect ratios on the order of 5:1(4,5,6,7). However, MEMS devices may
require extremely tall structures or extremely deep trenches, often on the order of 30:1.
Standard RIE is incapable of meeting this requirement. The group of processes used to
create these high aspect ratio structures are called DRIE(1,2).
Process simulation predicts the feasibility of process changes and integration of new
devices without the time and expense of initial prototyping. Thus, a predictive model of
the Bosch DRIE process used in the WTC would be useful for the growing MEMS
development community at the University of Washington. However, the majority of
existing literature on the Bosch process is quantitative, e.g. results of process recipe
changes on etch profiles, rather than qualitative models. Models may exist internal to
MEMS design houses such as Bosch, but if so they are unlikely to be shared with the
University. Therefore, this project explores the feasibility of building a useful simulation
tool for the Bosch process performed in the WTC as an aid to active MEMS development
at the University of Washington.
The Bosch Process
The Bosch process is patented by Franz Larner and Andrea Schilp of Bosch GmbH(12).
The patent sets forth the concept of multiple cycles of alternating etches and depositions,
advancing the trenches formed in small increments until the desired aspect ratio is
reached. The deposition serves to protect the sidewalls during each etch step, which
causes the trench bottom to be selectively etched to extend the aspect ratio. This concept
is illustrated in Figure 1.
Figure 1: Process steps of Bosch DRIE
The Bosch process thus has an overall deep anisotropic profile, although each individual
etch step may be more or less isotropic depending on the process conditions. The
resulting profile has a characteristic “scalloping” of the sidewalls, as the SEM image in
Figure 2 illustrates. This may make the original process developed at Bosch unsuitable
for some applications, and sidewall optimization efforts are ongoing in various
laboratories and foundries(7,8,13). The resulting “Bosch-like” processes vary widely.
Figure 2: Characteristic Bosch profile “scallops”.
Each scallop represents one dep/etch cycle (SEM courtesy Kerwin Wang)
The patented dep/etch cycle concept is licensed through each equipment manufacturer
and is part of the equipment purchase price (3,8). According to literature, there are at least
three equipment manufacturers licensing the Bosch process, all HDP (high density
plasma) systems in different configurations(7,8,12,14). Each new equipment configuration,
e.g. gas delivery and plasma uniformity, can have different process results. The varied
equipment configurations as well as process conditions cause development of an overall
model of the “Bosch Process” to be challenging. Therefore, the focus in this project will
be on modeling the process as done in the WTC at the University of Washington.
The Bosch etch process at the WTC is performed in an Oxford Instruments ICP 280
system, an inductively coupled HDP etch tool. The system is capable of two different
DRIE processes: the Bosch process and the Cryogenic (sometimes called the “Black Si”)
process(8,9). Although both DRIE processes are useful for different MEMS applications,
the most widely used process for high aspect ratio MEMS devices at the University of
Washington is the Bosch process(3). Figure 3 shows an example of structures processed
with the Bosch etch in the WTC.
Figure 3: High Aspect Ratio Results of the Bosch Process
(SEM courtesy Kerwin Wang)
The WTC process uses SF6 for the etch step, and plasma- polymerized c-C4F8 for the
deposition step, at 25oC. The process parameters are varied to obtain the desired results;
It may take several repetitions to find the optimal profile depending on the purpose of the
structure. One particular issue is that the process is known to be sensitive to open areas
of Si, i.e it exhibits pronounced loading effects(4,8,9). Thus each new mask must be
optimized before creating usable structures.
The deposition and etch steps are performed separately. In the deposition step, plasma
breaks apart the strained cyclic hydrocarbon c-C4F8 into highly excited fragments. The
individual fragments react one with another on the exposed surface and build up a more
or less strongly cross-linked layer of polymer (15). This is called plasma polymerization.
Although many neutral and ionic species are produced, the highest fluxes of species at
the surface during deposition have been measured to be CF2 and C2F4. The general
chemical reactions are:
phase
phase
c  C 4 F8  e  gas

 2C 2 F4 gas

 C , F , CF , CF2 , CF3
& polymerize at surface
CF2 , C 2 F4 adsorban


(CF ) x
The deposited polymer (CF)x is essentially Teflon. Note that other species created in the
plasma are etching species, which serve to erode the polymer surface. This is an example
of simultaneous dep/etch, and the balance of conditions determines whether the
mechanism tips toward etching or deposition.
The etch step selectively attacks Si while the deposited polymer protects the sidewalls.
The main chemical reactions in the gas phase of the SF6 etch are:
k1
SF6  e  
SF3  3F  e 
k2
SF6  e  
SF2  4 F  e 
At the wafer surface, Si is etched by the following reaction:
k3
Fgas 
Fads
Si solid  4 Fads 
( SiF4 ) gas
Ion fluxes at the wafer surface are relatively low compared to the F flux, therefore SiF6 is
a primarily chemical etch producing an isotropic profile. Continuity equations at the
surface can provide us with an analytical expression for the etch rate, as follows.
SF6 FSF6 SC SF6


 k1 nC SF6  k 2 nC SF6  0
t
V
V
F SC F

 3k1 nC SF6  4k 2 nC SF6  0
t
V
There are many other reactions in the plasma, but it has been reported that tthe one
producing SF3 accounts for about 2/3 and that producing SF2 accounts for 1/3 of the
released etching species F(12). Solving for gas phase concentration of SF6 and F:
FSF6
C SF6 
V
S
 k1 n  k 2 n
V
FSF6
V
3k1n  4k 2 nC SF6  V 3k1n  4k 2 n S V
S
S
 k1 n  k 2 n
V
Therefore, the etch rate at the surface is:
FSF6


V
V
Etch Rate  Si k 3C F  Si k 3 3k1 n  4k 2 n
S
S
4
4
 k1 n  k 2 n
V
CF 
In spite of the rounded profiles, F chemistry is preferential to other etch chemistries (e.g.
Cl) due to the high volatility of SiF4, the Si etch product(1,2,13). This is necessary for deep
features, as the presence of less volatile etch products in small feature sizes can inhibit
etch rate(11).
The preferential etching of the bottom of the trench compared to its sides can be modeled
several ways. Some literature suggests that the polymer is selectively deposited on the
vertical sidewalls rather than on horizontal features(7,8,13) as part of the dep/etch process
balance. This would create a very thin polymer film on the bottom of the trench as
compared to the sidewalls. Other sources indicate that polymer deposition is uniform,
and that there is a third “breakthrough” etch step through the polymer from the bottom of
the trench exposing Si to subsequent processing by the mostly chemical SiF6 etch
mechanism. This third step could be included as part of a two-part etch step, and at least
one laboratory is developing a process with three separately controllable process steps(14).
Process modeling can be broken into two pieces. The first is reactor modeling, i.e. fluid
dynamics/ thermodynamics of the gas-phase. This would include gas delivery, reactor
volume, and temperature. Reactor modeling helps describe larger scale effects such as
across-wafer uniformity, and is useful to optimize equipment design. The second aspect
of process modeling focuses on localized effects, such as the evolution of a surface due to
incident material fluxes. An inter-related model that describes the relationship of
equipment level parameters and the localized model is an ideal; such a model would
enable virtual prototyping to optimize processes and design new devices.
SiF6 and the c-C4F8 plasma reactions by themselves have been modeled fairly
extensively(15,16). Modeling of the Bosch process would involve the integration of the
two models for deposition and etch, and include a mechanism(s) to account for the
removal of the polymer from the bottom of the trench during each cycle. In addition,
overall etch profile results, including features such as footing at the bottom corners of
free-standing structures, are very likely to involve non-linear interactions between the
separate steps.
Surface Flux Model
The physical processes of deposition and etch are a function of the material fluxes of
reactants at the wafer’s surface. A simplified view of in a plasma-enhanced reactor,
neglecting the gas boundary layer near the surface, is shown in Figure 4.
Ion Flux
Neutral Flux
Desorbed
(emitted) Flux
Re-sputtered
Flux
Re-deposited Flux
Figure 4: Material fluxes at the surface
Equation 1 describes the sum of all fluxes acting at the surface:
(1)
i
i
i
i
i
i
FNET
 Fdirect
( neutrals)  Fdirect( ions)  Femitted  Fredeposited  Fsputtered
A diffusion flux term may also be added in the case of higher temperature processes such
as furnace CVD. This can be neglected here since plasma processing is performed at
relatively low temperatures.
Essentially the same description of fluxes can be used for deposition as for etching; the
differences lie in the balance between the fluxes and the behavior of chemical species.
Simulation requires that each flux be described mathematically and balanced to properly
reflect the system being modeled.
The first two terms in Equation 1 describe fluxes of species arriving directly from the
reactor to the wafer surface. These can be calculated from the concentration and velocity
of gas at the surface (4,6). There are several models for describing the direct flux arrival(4).
A simple method with good results for most cases is the cosine distribution of flux model,
shown in Figure 5.



normal
component

Figure 5: Cosine Distribution model
Surface modification rate at an arbitrary point S on the wafer surface depends on the
normal component, or the cosine of the angle, of incoming flux to the surface. Near the
surface, the distribution of the arrival flux follows a cosine distribution. If Fo is the flux
toward the surface, and Fs is the flux normal to the surface at point S, then
(2)

 F ,  0o
Fo
Fs  1  cos  o
2
 Fo ,  90o
2
Therefore, point S sees a maximum incoming flux at 0o. As increases, flux decreases
until a minimum is seen at 90o. In plasma systems, the incident flux is more
directional than a simple cosine distribution. A cosndistribution is used, and the
generalized flux expression becomes (4).
(3)
Fdirect    Fo cos n  
The direct neutral flux is the normal component of incoming chemically reactive flux
from the gas phase. The direct ion flux is the normal component of the incoming
physically reactive flux. The parameter n describes the result of system pressure and thus
mean-free path of the incident flux toward the surface. As n increases, the directionality
also increases. This concept is illustrated in Figure 6(1,4).


Small area at position i on wafer surface
Figure 6: Distribution of arrival fluxes (left) cos distribution (right) cosn distribution
The third term in Equation 1, the emitted flux term, considers the fact that not all
molecules stick where they arrive at the surface, and those which do not stick are reemitted. The probability that an incident flux will remain is described by the sticking
coefficient Sc. The emitted flux term is then:
(4)
i
Femitted
 (1  Sc) * F i
Sc is defined as the ratio of the number of incident atoms that actually stay or “stick” on
the surface relative to the total number of incident atoms(1,3,4). Related to the material
properties of the reactant gas(es) and to some extent equipment configuration, Sc is here
modeled as a constant for a particular system, which is often an good approximation.
More advanced models take temperature and local area effects into account. Figure 7
illustrates the Sc concept.
Error!
Figure 7: Sticking coefficient effects on profile evolution:
(left) high Sc, (right) low Sc; (top) deposition, (bottom) etch
On the left, incident species with a high sticking coefficient react where they strike. On
the right, species with a low sticking coefficient may not “stick” where they first strike
but may be re-emitted to re-deposit elsewhere. Emission/re-deposition can occur multiple
times.
As can be seen, deposition species with a high Sc produce less conformal films, those
with a low Sc result in more conformal films. Etching species with a high Sc produce
more anisotropic etch, while those with a low Sc result in a more isotropic etch.
Generally, ions are assumed to stick (SC=1) and chemically reactive neutrals are assumed
to have an Sc <1.
The fourth term in Equation 1 is the re-deposition flux, which describes the fact that
species that do not stick and are re-emitted can then land elsewhere on the surface. This is
described by Equation 5. The term gik accounts the blocking effects of local topography,
which may limit the probability that the emitted flux from any point k is able to be redeposited at any point i.
(5)
i
ik i
ik
i
Fredeposite
d  g Femitted  g (1  Sc) Femitted
The redeposited flux is also dependant on the sticking coefficient. The re-emitted flux is
generally assumed to be re-emitted with a cosndistribution angle similar to that derived
for direct fluxes, with no memory of the arrival angle at that point.
The final term is Equation 1 is the sputtered flux. This is caused primarily by energetic
incoming ions. Y is the sputtering Yield. Y is angle sensitive. The sputtered molecules
may be re-deposited elsewhere, and can also enhance the deposition rate by supplying
energy modify the surface or drive the chemical reaction to overcome a rate-limiting step.
(6)
i
i
Fsputtered
 Mill  rate * Y * Fions
Comprehensive solutions the Equation 1 are obtained by use of simulation software tools
such as Taurus Topography, which uses numerical methods to describe the fluxes at the
wafer surface for particular equipment and topographical configurations(18).
Taurus Topography Models:
Unlike most front-end processes, such as ion implantation and diffusion, back-end
processes like etching and deposition do not yet have reliable and accurate models. The
physical processes are not well understood because it has been so easy to measure the
results empirically. As device parameters shrink, however, this becomes more and more
of an issue. Etching models, therefore, are still very empirical. The etching processes are
treated as a combination of isotropic, directional, and angle-dependent component parts.
There were three etching models provided by Taurus Topography that seemed, at first, to
apply to the Bosch Process. The first model was the Dry Etch Model with Simultaneous
Thin Sidewall Deposition. This model assumes that a thin layer of polymer will be
deposited on the sidewalls as the material specified is etched. It is a combination of
sputter etching and polymer deposition. The sputter yield has a strong dependence on the
angle between the incoming ions and the surface normal and results in faster etching for
sidewall angles less than 60 degrees. The polymer deposited on the trench bottom is
usually knocked off, while that on the sidewalls remains basically untouched. A reemission rate and a sticking coefficient characterize this polymer deposition.
The second etch model that appeared to be useful for modeling this process was the High
Density Plasma Etch Model. This model assumes that the etching is composed of two
components, isotropical and anisotropical. The total etch rate is the sum of these two
rates, with a parameter, Anisotropy, to specify the relative values of each. The isotropic
component simulates a wet etch process, while the anisotropic component simulates a
linear dependence on the arriving ion flux and sputter yield.
Anisotropy 
Ranisotropic
Ranisotropic  Risotropic
For each of these models, the sputter yield process is defined as:
Yield  Sput.C1cos  Sput.C 2 cos 2   Sput.C 4 cos 4 
where  is the angle of the surface normal with the vertical.
Both of these machine models can specify different etch rates or depositions for different
materials. Therefore, the etch rate for the photoresist was set to a much smaller rate than
that of the polysilicon or the polymer. Each material’s model definition was a parameter
that could be changed. The machine could increase the polymer deposition at the same
time as it increased the polysilicon etching and vice versa or any combination of the
above. This greatly increased the complexity of the simulation parameters and is one of
the reasons for the sheer volume of simulations attempted.
The polymer deposition was performed using the High Density Plasma Deposition
Model. In this model, two competing mechanisms take place, deposition and
simultaneous etching by physical sputtering. This model takes into account:





Deposition by direct deposition from the gas phase
Deposition by re-emission
Ion-enhanced deposition
Deposition by redeposition of sputtered material
Etching by physical sputtering
The net deposition rate is the difference between the total deposition fluxes and the total
etch rate:
Rate  Rif  Rthermal  Rred  Mill  rate
where R if is the ion-enhanced deposition, Rred is the rate due to redeposition, and Rthermal
is the deposition rate that is not affected by ion flux.
Again, the ratio of ion-induced deposition to total deposition is reflected in the userdefined parameter, Anisotropy:
Anisotropy 
Rif
Rif  Rthermal
The thermal component is calculated with the re-emission process and is characterized by
a sticking coefficient. The redeposition rate is the fraction of the material sputtered from
the surface that is redeposited and is specified by the user.
The etch portion of this process is again modeled as a purely physical etch with a yield
described by the equation:
Yield  Sput.C1* cos  Sput.C 2 * cos 2   Sput.C 4 * cos 4 
Retch  Yield * Mill  rate * Fif
where  is the angle between the incoming ions and the surface normal and Fif is the ion
flux at any position at the surface.
At first analysis, several Taurus Topography machine models seemed to apply to the
Bosch Process. The first model was Dry Etch Model with Simultaneous Thin Sidewall
Deposition. This model describes simultaneous sputter etching and thin polymer
deposition. The sputter yield has a strong dependence on the angle between the incoming
ions and the surface normal and results in faster etching for sidewall angles less than 60
degrees. The polymer deposited on the trench bottom is usually sputtered away, while
that on the sidewalls remains intact. The polymer deposition is characterized by a reemission rate and a sticking coefficient.
The second etch model that appeared useful for modeling the Bosch process was the High
Density Plasma Etch Model. This model assumes that etching has two components,
isotropic and anisotropic. The total etch rate is the sum of these two rates, with a
parameter, Anisotropy, to specify the relative values of each. The isotropic component
simulates a wet etch process, while the anisotropic component simulates a linear
dependence on the arriving ion flux and sputter yield.
For each of these models, the sputter yield process is defined as:
Yield  Sput.C1cos  Sput.C 2 cos 2   Sput.C 4 cos 4 
where  is the angle of the surface normal with the vertical.
Each of these machine models can specify different etch rates or depositions for different
materials. In our simulations, the etch rate for photoresist was set to a much smaller rate
than that for either polysilicon or polymer. The machines could change the polymer
deposition at the same time as it changes polysilicon etching in any combination desired.
This greatly increased the complexity of the simulation parameters and is one of the
reasons for the sheer volume of simulations attempted.
Simulation Results:
The basic structure was defined by ideal depositions of polysilicon and photoresist on top
of a silicon wafer, as seen in Simulation 1. The Bosch process consists of multiple
etch/deposition cycles performed until a very high deep trench is made. A photoresist
coating of 1 m over a small 3 m polysilicon layer was used to more easily view the
first few processing steps. We assumed that each cycle would etch only about 0.5 m
and set the initial thickness of the polysilicon layer accordingly. As the number of
etch/deposition cycles grew, this layer thickness would be increased appropriately to
eventually create a trench with a 10:1 aspect ratio. The photoresist layer was then ideally
etched in the center to create an opening in the mask of exactly 1 m.
Photoresist
Polysilicon
Silicon
Polymer
Simulation 1: Basic Simulation Structure
The overall process of the etch/deposition cycles upon the ideal structure is:
 Define an etch machine for polysilicon and photoresist etching; Perform Etch
 Define a deposition machine for polymer deposition; Deposit Polymer
 Define an etch machine for polysilicon and polymer etching; Perform Etch
 Define another etch machine for polysilicon etching only; Perform Etch
 Define another deposition machine for polymer deposition; Deposit Polymer
 Continue with the etch/deposition cycles
The first etch machine used was the Dry Etch Model. Three separate statements defined
etching of photoresist, etching of polysilicon, and deposition of polymer, as in Table 1.
Material
Photoresist Polysilicon Polymer
Rate
0.15
1.0
0.1
Sput.C1
5.5
5.5
5.5
Sput.C2
-6.0
-6.0
-6.0
Sput.C4
2.5
2.5
5.5
Sc1
N/A
N/A
0.5
Reem.Ra
N/A
N/A
0.1
Time
1.0
1.0
0.1
Table 1: Initial Dry Etch Model Parameters
Note that only polymer was redeposited during etching and so needed a defined sticking
coefficient and re-emission rate.
Photoresist
Polysilicon
Silicon
Polymer
Simulation 2: Dry Etch Model with Polymer Deposition
This simulation resulted in a very anisotropic etch profile, as can be seen in Simulation 2.
The parameters were adjusted to try to produce a more isotropic profile. The first two
sputtering yield parameters, Sput.C1 and Sput.C2, were kept the same throughout the
simulations. Reducing the sputter yield widens the view angle, reduces the overall
etching rate and increases the deposition rate. Therefore, reducing the Sput.C4 sputtering
yield term for the polysilicon produced a more anisotropic profile, as expected.
Unfortunately, increasing it did not produce any visible difference to the etch profile, nor
did altering the view angle. Reducing the view angle for polymer deposition, however,
resulted in more sidewall deposition, which was as expected.
Reducing the etch rate of polysilicon resulted in a more rounded bottom to the trench
profile. Adding a lower rate for the polysilicon with a lower sputtering term for the
polymer greatly increased the overall etch rate.
A polymer deposition machine was defined next. The polymer rate and sticking
coefficient were kept the same as defined in the etching machine and the anisotropy and
exponent were adjusted in order to gain more deposition on the sidewalls than the trench
floor. Unfortunately, this step was very difficult to perform. Most of the simulations
ended with a “delaunay triangulation failure” error message. This failure meant that even
though the simulation ran in Topography, we could not view it using Tsuprem4. The
parameters of rate, time, delta.x and delta.y were adjusted in the hopes of overcoming this
difficulty, but to no avail. By this time, it was obvious that a new etch machine was
needed in order to provide the desired profile, so the decision was made to abandon this
line of investigation
The High Density Plasma etch machine was always the preferred model, since the Bosch
process is physically performed in High Density Plasma tools. However, all initial
attempts to use this model failed. Our experiences with defining the other two machines
enabled us to determine what went wrong with our first attempts. These issues resolved,
all subsequent simulations were performed using the preferred HDP machines.
Again, three different statements were used to define the different etch/deposition rates of
the different materials. However, in this model, the polymer was only defined for the
deposition machine, not the etch machine. The original parameters are as follows:
Material
Rate
Anisotropy
Exponent
Sput.C1
Sput.C2
Sput.C4
Time (min)
Photoresist
0.1
N/A
N/A
5.5
-6.0
1.1
Polysilicon
1.15
0.04
5
5.5
-6.0
1.5
0.3
Material
Rate
Anisotropy
Sc1
Sput.C1
Sput.C2
Sput.C4
Rdep.Ra
Mill.Ra
Time (min)
Polymer
1.0
0.3
0.35
5.5
-6.0
1.5
0.5
0.5
0.2
Table 2: Initial HDP Etch (left) and Deposition (right) Machine Parameters
The initial etch performed with these parameters was exactly the profile desired, very
isotropic. This is due to the very low values of the parameters Anisotropy and Exponent.
The etching of the photoresist was not included at first, since it was not necessary in
order to produce the desired profile, but it was discovered that without this etching, the
mesh triangulation failed with every simulation.
Photoresist
Polysilicon
Silicon
Polymer
Simulation 3: Initial Etch (left) and Initial Etch Plus First Deposition (right)
As you can see from Simulation 3, the initial deposition process resulted in more polymer
coating on the sidewalls than on the horizontal surfaces. This is the result of a balance
between the deposition and etching parameters chosen for the deposition machine. The
etching parameters are the mill-rate and the sputtering yield coefficients, C1, C2, and C4.
The deposition parameters are characterized by the flat, unshadowed, surface rate, the
redeposition rate, the sticking coefficient and the anisotropy. A low anisotropy and
sticking coefficient was needed for increased sidewall deposition. Increasing the
sputtering coefficients widened the viewing angle slightly, but did not greatly affect the
profile. Increasing the mill-rate and decreasing the redeposition rate decreased the
overall deposition on the horizontal surfaces. Originally, the redeposition rate was set
close to zero and the mill-rate close to one. This resulted in almost no polymer deposited
on the trench floor. Some deposition on the horizontal surfaces was needed for the next
etch step, however, and so the mill-rate was reduced and the redeposition rate increased
until an unbroken layer of polymer covered the trench floor.
The second etch machine was very difficult to design. The polymer on the sidewalls
needed to remain, while the polysilicon below needed to be etched isotropically. This
was accomplished by separating this step into two separate etch machines and etch times.
The first etch machine was designed to etch the polymer more than the polysilicon, while
the second machine etched only the polysilicon. The first machine needed to remove the
polymer from the entire floor of the trench, while leaving a good coating on the trench
sidewalls. A set of time-lapse snapshots of this etch step can be seen in Simulation 4.
Table 3 outlines the parameters chosen for this etch machine. Note that the anisotropy
was set to a negative value. This indicates that the polymer etches more laterally than
vertically. Recently reported dry etch process developments have shown that it is
possible to have a larger lateral etch than vertical etch—a “super-isotropic” profile, in
other words. This was necessary in order to remove the polymer from the bottom corners
of the trench.
Material
Polysilicon Polymer
Rate
1.2
2.0
Exponent
1
1
Anisotropy -1.0
-1.0
Sput.C1
5.5
5.5
Sput.C2
-6.0
-6.0
Sput.C4
2.5
1.5
Time (min)
0.1
Table 3: Second Etch Machine Parameters
As can be seen in Simulation 4, the polymer at the bottom of the trench etched first. In
fact, by 0.02 minutes, the polymer was almost completely gone. The corners of the
trench, however, did not show appreciable etching until 0.08 minutes and the trench floor
was not completely clear until 0.1 minutes.
0.02
0.04
0.06
Photoresist
Polysilicon
0.08
0.1
Silicon
Polymer
Simulation 4: Second Etch Profile Evolution over Time (0.02 to 0.1 minutes)
The second etch machine was designed to perform a super-isotropic etch of polysilicon
below the left-over polymer. The parameters chosen can be seen in Table 4.
Material
Rate
Exponent
Anisotropy
Sput.C1
Sput.C2
Sput.C4
Time (min)
Polysilicon
1.0
1
-1.0
5.5
-6.0
2.5
0.4
Table 4: Third Etch Machine Parameters
Again, the anisotropy was set to –1.0 to indicate that the polysilicon was etched more
laterally than vertically. A time step of 0.4 minutes gave the profile needed, as shown in
Simulation 5.
Photoresist
Polysilicon
Silicon
Polymer
Simulation 5: The Second (left) and Third (right) Etch Profiles
The second cycle of deposition/etch processes was next begun. The parameters of the
etching machines had to be slightly altered in order to continue to get the desired profile.
The second deposition machine parameters remained the same. The resulting profile is
shown in Simulation 6.
Simulation 6: Second Deposition/Etch Cycle
Deposition (left), Polymer Etch (middle), Polysilicon Etch (right)
The etch machine parameters are given in Table 5. Two parameters were changed from
the first deposition/etch cycle—the polysilicon etch rate and the polymer sputter yield.
Reducing the polysilicon etch rate allowed a corresponding increase in time and thus
more control over the etching profile. We needed the polysilicon sidewalls below the
left-over polymer to bow out to allow room for the next polymer deposition. Leaving the
polysilicon etch rate high for this etch machine did not give this profile. This machine
was used primarily to etch through the polymer at the bottom of the trench so that the
next machine could reach the polysilicon just beneath the polymer.
Material
Rate
Anisotropy
Exponent
Sput.C1
Sput.C2
Sput.C4
Time (min)
Polymer
2.0
-1.0
1
5.5
-6.0
1.5
Polysilicon
0.1
-1.0
1
5.5
-6.0
2.5
0.13
Material
Rate
Anisotropy
Exponent
Sput.C1
Sput.C2
Sput.C4
Time (min)
Polysilicon
1.0
-1.0
1
5.5
-6.0
1.5
0.1
Table 5: Second Etch Cycle Machine Parameters
Polymer Etch Machine (left), Polysilicon Etch Machine (right)
Further deposition/etch cycles were not possible, unfortunately, due to lack of time and
more "delaunay triangulation failed” errors. With time, and with further optimization of
the etch and deposition machine parameters, these problems could be solved and the etch
profile extended to the full aspect ratio produced by the DRIE Bosch process.
Conclusions
From literature, we have identified some of the most important underlying chemical
reactions used in the Bosch process as performed in the Washington Technology Center
for MEMS development. From the resulting continuity equations, we have derived a
simplified reaction rate model. Using Taurus Topography software, we have successfully
created simulations of the process that replicate Bosch DRIE results from literature.
To replicate these profiles, we discovered that the Si etch step required a highly isotropic
component. This agreed with literature for SF6 plasma etch. We found that the polymer
deposition process required a simultaneous sputtering component composed of equal
parts physical etch and sputtering re-deposition. This agreed with some of the literature,
but not all. Finally, we found that a third step, a highly directional physical etch, was
required to break through the thin polymer at the bottom of the trench in order to bare Si
for the Fluorinated chemical etch.
Future work would continue with simulations to create an aspect ratio to match that of the
DRIE process (~10:1, at least). Other work would involve matching of process results in
the WTC to simulation parameter changes. Finally, to be most useful for MEMS virtual
prototyping, the model would need to be extended to include overall equipment and
process parameters such as temperature and gas flows.
S

References
1) Madou, M. J., Fundamentals of Microfabrication: The Science of Miniaturization, 2nd ed., CRC Press,
Boca Raton, FL (2001).
2) Kovacs, G.T.A., Micromachined Transducers Sourcebook, WCB McGraw-Hill, Boston, MA (1998).
3) Discussions with Prof. Karl Bohringer of the University of Washington Electrical Engineering
Department, and class notes from his class EE502 “Introduction to MEMS”.
4) Plummer, J. D., Deal, M.D., and Griffin, P.B, Silicon VLSI Technology: Fundamentals, Practice, and
Modeling, Prentice Hall Electronics and VLSI Series, Prentice Hall, Inc., New Jersey (2000).
5) Wolf, S. and Tauber, R.N, Silicon Processing for the VLSI Era: Volume 1- Process Technology,
Lattice Press, Sunset Beach, CA (1986).
6) Washington Technology Center (WTC): http://microfab.watechcenter.org
7) Walker, M, “Comparison of Bosch and cryogenic processes for patterning high aspect ratio features in
silicon”, Oxford Instruments Plasma Technology Group, www.oxinst.com/.
8) MEMS foundry and process links: www.memsguide.com, www.mems-exchange.org/
9) Oxford Instruments website. Plasma and Ion Beam Technology – for Dry Etching and Deposition.
http://www.oxfordplasma.de
10) Larner, F., Shilp, A.; “Method of Anisotropically Etching Si” US patent 5501893.
11) Tian, W., Weigold, J,.Pang, S., “Comparison of Cl2 and F-based dry etching for high aspect ratio Si
microstructures etched with an inductively coupled plasma source”, Journal of Vacuum Science
Technology B 18(4), American Vacuum Society, Jul/Aug 2000.
12) Rauf, S., Dauksher, W., Clemens, S., Smith, K., “Model for a multiple-step Si Etch Process”, Journal
of Vacuum Science Technology A 20(4), American Vacuum Society, Jul/Aug 2002.
13) Craigie, C, Sheehan, T., Johnson, V., Burkett, S., Moo, A., Knowlton, W., “Polymer thickness effects
on Bosch etch profiles”, Journal of Vacuum Science Technology B 19(6), American Vacuum Society,
Nov/Dec 2002.
14) Blauw, M., Craciun, G., Sloof, W., French, P., van der Drift, E., “Advanced time-multiplexed plasma
etching of high aspect ratio silicon structures”, Journal of Vacuum Science Technology B 20(6),
American Vacuum Society, Nov/Dec 2002.
15) Rauf, S., Ventzek, P., “Model for an inductively coupled Ar/c-C4F8 plasma discharge”, Journal of
Vacuum Science Technology A 20(1), American Vacuum Society, Jan/Feb 2002.
16) Zhang, D., Rauf, S., Sparks, Tl, Ventzek, P., “Integrated equipment-feature modeling investigation of
fluorocarbon plasma etching of SiO2 and photoresist”, Journal of Vacuum Science Technology B
21(2), American Vacuum Society, Mar/Apr 2003.
17) Blauw, M., Zijlstra ,T., van der Drift, E., “Balancing the etching and passivation in in time-multiplexed
deep dry etching of silicon”, Journal of Vacuum Science Technology B 19(6), American Vacuum
Society, Nov/Dec 2001.
18) Taurus Topography Manual, AVanti/Synopsis
Download