03122r1P802-15_TG3a-Panasonic-CFP

advertisement
February, 2016
IEEE P802.15-03/122r1
IEEE P802.15
Wireless Personal Area Networks
Project
IEEE P802.15 Working Group for Wireless Personal Area Networks (WPANs)
Title
Data Whitening in Base-band to Reduce PSD of UWB Signals
Date
Submitted
3 March, 2003
Source
[Shaomin Mo]
[Panasonic -- PINTL]
[Two Research Way
Princeton, NJ 08540 ]
Re:
IEEE P802.15 Alternative PHY Call For Proposals
Voice:
[ 609-734-7592]
Fax:
[ 609-987-8827]
E-mail: [smo@research.Panasonic.com]
IEEE P802.15-02/372r8
Abstract
Base-band processing of whitening data to reduce power spectral density of UWB
signals in IEEE 802.15.3 systems.
Purpose
Proposal of base-band processing of whitening data to reduce power spectral
density of UWB signals in IEEE 802.15.3 systems.
Notice
This document has been prepared to assist the IEEE P802.15. It is offered as a
basis for discussion and is not binding on the contributing individual(s) or
organization(s). The material in this document is subject to change in form and
content after further study. The contributor(s) reserve(s) the right to add, amend or
withdraw material contained herein.
Release
The contributor acknowledges and accepts that this contribution becomes the
property of IEEE and may be made publicly available by P802.15.
Submission
Page 1
Shaomin Mo, Panasonic -- PINTL
February, 2016
1
2
3
4
5
6
7
8
IEEE P802.15-03/122r1
Introduction ............................................................................................................................. 3
Analysis of Power Spectral Density of Clocked Sequences ................................................... 4
Selective Phase Reversion .................................................................................................... 10
Using Linear Feedback Shift Registers to Generate Random Numbers ............................... 13
4.1
Linear Feedback Shift Registers ................................................................................... 13
4.2
Proposed Architecture ................................................................................................... 14
4.2.1
Initial Setting with Randomly Generated Numbers .............................................. 14
4.2.2
Initial Setting Using Another LFSR ...................................................................... 15
4.2.3
Initial Setting Using Two LFSRs .......................................................................... 15
4.3
Synchronization of LFSRs ............................................................................................ 16
4.4
Simulation ..................................................................................................................... 17
Phase Reversion for SYNC ................................................................................................... 23
5.1
Phase Reversion on the Whole SYNC .......................................................................... 23
5.2
Phase Reversion on Symbols ........................................................................................ 23
5.3
Symbol-based Phase Reversion and Scrambling .......................................................... 23
Conclusion ............................................................................................................................ 29
References ............................................................................................................................. 29
Appendix ............................................................................................................................... 30
8.1
Symbol-based Phase Reversion .................................................................................... 30
8.2
Symbol-based Scrambling ............................................................................................ 32
Submission
Page 2
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
1 Introduction
Ultra-wideband (UWB) technology has been used for military applications for some time [1][2].
UWB technology uses base-band pulses of very short duration, thereby spreading the energy of
the radio signal very thinly from near zero to several GHz. The techniques for generating UWB
signals have been around for more than three decades [3]. A comprehensive reference of early
work in this area can be found in a tutorial survey paper [4].
Interest in UWB has broadened, however, since the United States Federal Communications
Commission (FCC) announced a ruling on February 14, 2002 that would permit the marketing
and operation of certain new types of commercial products incorporating UWB technology. FCC
has strongly advocated technologies that can lessen their burden in allocating frequency spectra.
UWB is one of their earliest test cases for this policy. They have followed up preliminary ruling
of February 14, 2002 with a reaffirmation on February 13, 2003 of their Report and Order [5].
FCC coordinated a public demonstration on UWB technologies on February 13, 2003.
As a consequence, research and development efforts as well as standardization activities have
intensified [6]. UWB is now under consideration as an alternative physical layer technology for
wireless personal area networking (PAN).
A key motivation for the FCC’s new decision is efficient spectrum use. Because UWB signals
can in principle co-exist with other applications in the same spectrum with negligible mutual
interference, they require no new spectrum allocation. A basic requirement by the FCC is that
UWB systems do not generate interference to other narrowband communication systems
operating in the same spectrum. Accordingly, the FCC has specified emission limits in Power
Spectral Density (PSD) for UWB applications. Besides the FCC, other agencies still have some
reservations about whether UWB will interfere with other wireless applications, air navigation
and landing systems. Therefore, the PSD is an important issue and reducing the PSD is an
important part in system design.
The use of stochastic theory to evaluate the PSD of ideal synchronous data pulse streams is well
documented in the literature [7] [8]. For example, a stochastic approach to characterize the PSD
of the Time-Hopping Spread Spectrum signaling scheme in the presence of random timing jitter
is given in [7][8]. According to this research, the PSD of UWB signals consists of continuous
and discrete components. The continuous component has lower PSD and therefore cause less
interference on narrowband communication systems than the discrete component does. Thus, a
basic objective in the design of UWB systems is to reduce the discrete component of the UWB
power spectrum.
As shown in Section 2, “whitening data” will help to reduce the discrete component of UWB. In
traditional communication systems, scramblers are commonly used for whitening. The main
purpose of the whitening is for time recovery and equalization. The scramblers utilize polynomial
Submission
Page 3
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
to randomize data and they are content dependent. Because these scramblers are not designed to
reduce PSD, their performance in suppressing PSD is very limited and not sufficient. An extreme
example is that when a block of data is repeated for a while, they will generate strong line spectra
although inside the block data is scrambled. Therefore, traditional polynomial-based scramblers
are not effective and sufficient in suppressing PSD.
Ultra-wideband technology has many potential applications in networking and communications,
as well as in radar. In particular, UWB is now under consideration as an alternative physical layer
of IEEE 802.15.3. In IEEE 802.15.3, TDMA is used as the access technology. A key design
challenge for a UWB TDMA system is to reduce the PSD of the UWB signal while keeping the
same channel capacity. In this document, we propose a mechanism of data whitening in the baseband to reduce the PSD of UWB signals used in IEEE 802.15.3.
2 Analysis of Power Spectral Density of Clocked Sequences
In this section, we provide an analysis of the PSD of a clocked random sequence.
Assume that a digitally controlled signal is used to produce random transmissions at multiples of
the basic clock period Tc, shown in Figure 1.
Tc
Tc
Tc
...
t
t+1
t+2
t+3
Figure 1. Clocked random sequence
This signaling technique is modeled as [7][8]
s (t ) 

 a w(t  nT )
n  
n
c
(1)
where {an} is an unbalanced binary independent identically distributed (i.i.d.) random sequence.
It is assumed that {an} is stationary with probability function of
an  1
 p,
P r{a n }  
1  p, an  1
(2)
According to [9], we have the following expression of PSD in terms of time domain and in terms
of frequency domain
Submission
Page 4
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
N 1
E s   w(n) w* (n) 
n 0
1
2

  | W (w) |
2

dw
(3)
Equation (3) indicates that Es of clocked random sequences is determined by w(t) and Tc, but not
affected by Pr{an}. Therefore, the total PSD of clocked random sequences is determined by w(t)
and Tc, but not affected by Pr{an}. If we assume that w(t) and Tc are fixed, the middle term in
equation (3) becomes constant, so are the Es and the total PSD, the right term in equation (3).
It has been shown [7][8] that the continuous component Sc(f) and the discrete component Sd(f) of
the PSD of s(t) are


1
| W ( f ) | 2 1  (2 p  1) 2
Tc
(2 p  1) 2 
l
l
Sd ( f ) 
| W ( ) |2  D ( f  )

2
Tc
Tc
Tc
l  
Sc( f ) 
(4)
Equation (4) indicates that the PSD is determined by three factors, i.e.,
 W(f) – pulse shape and transmission power
 Tc – clock period or pulse rate
 p – distribution of an
(3) and (4) indicate that although distribution of an does not affect the total PSD, but it does
affect the PSD, changing p only changes distribution of the PSD between continuous and discrete
component, but the total PSD will keep unchanged. In this proposal, we will focus on the
relationship between the p and the PSD. To make the analysis simpler and clearer without losing
generality, we assume W(f) and Tc are fixed.
Now let us define
1
| W ( f ) |2
Tc
1 
l
l
B( f )  2  | W ( ) |  D ( f  )
Tc
Tc
Tc l  
A( f ) 
(5)
C ( p)  (2 p  1) 2
D( p)  1  (2 p  1) 2
then the expression of Sc(f) and Sd(f) can be simplified to
Submission
Page 5
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
S c ( f , p)  A( f ) D( p)
(6)
S d ( f , p)  B( f )C ( p)
and it can be seen that
max C ( p )  C (0)  C (1)  1
min C ( p )  C (0.5)  0
max D( p )  D(0.5)  1
min D( p )  D(0)  D(1)  0
Based on above results, we can derive the following:

Sc(f, 0) = 0 and Sd(f, 0) = B(f) when p=0.
In this case, Sd(f) reaches maximum and all PSD goes to the discrete component no
matter what waveform is used for pulses;

Sc(f, 1) = 0 and Sd(f, 1) = B(f) when p=1.
In this case also, Sd(f) reaches maximum and all PSD goes to the discrete component no
matter what waveform is used for pulses;

Sc(f, 0.5) = A(f) and Sd(f, 0.5) = 0 when p=0.5.
In this case, Sc(f) reaches maximum and all PSD goes to the continuous component no
matter what waveform is used for pulses.
From the above analysis, we can see that if the total PSD is kept constant, the distribution of the
random sequence will determine the distribution of the PSD between the continuous and discrete
components.
Now let us look the relationship between A(f) and B(f). Because the total PSD is the same for
p=0 and p=0.5, [i.e., S(f, 0) = S(f, 0.5)], we have
A( f )  B( f )
(7)
or
1
1
| W ( f ) |2  2
Tc
Tc

l
 | W ( Tc ) |
l  
2
D( f 
l
)
Tc
(8)
or
| W ( f ) |2 
Submission
1 
l
l
| W ( ) |2  D ( f  )

Tc l  
Tc
Tc
Page 6
(9)
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
The above equation tells us:

The total PSD on the left side – continuous component is equal to the total PSD on the
right side – discrete component;

The PSD on the left side is distributed on all frequencies while the PSD on the right side
is distributed on those discrete frequencies separated by 1/Tc. This means that the PSD on
the left side is more widely distributed than the PSD on the right side;

Because of above two facts, the magnitude on left side is smaller than the magnitude on
the right side.
From the above analyses, we can conclude that objective of a good design for a UWB TDMA
system is to reduce or eliminate discrete component of PSD. In our example, we chose p=0.5
and in this case all the PSD goes into continuous component. Because of this analysis, we will
focus on how to suppress discrete component of PSD in the rest of this document.
Figure 2 gives the PSDs of clocked random sequences with different probabilities of distribution
p. This figure is used to illustrate that different p will change the distribution of the PSD between
continuous and discrete component and the discrete component exhibits higher PSD than the
continuous component. In this figure, panel (a) is the power spectrum of a single pulse, while
panels (b) – (d) are the PSDs of clocked pulse streams with different p in their probability
functions. In particular, (b) gives the PSD of p=0.25, (c) of p=0.5 and (d) of p=1.0. It is clear that
when p=1.0, only line spectra exist; when p=0.5, only the continuous component exists and when
p=0.25, both continuous and discrete components exist. This figure confirms the conclusion
derived from formula (4).
In this figure and all simulations in this document, the value of the PSD is nominal because the
absolute value of PSD is closely related to RF and pulse rate. However for base-band processing,
we are interested only in relative value, i.e., how much in PSD we can reduce.
Figure 3 gives waveforms and PSDs of clocked data that consist of multiple pulses. This figure is
used to illustrate that if pulses are not evenly distributed between 1 and –1, line spectra appear. In
this figure, (a) and (c) are the waveform and Power Spectrum of single pulses, while (b) and (d)
are the waveform and PSD of data with multiple pulses. It is assumed that the data change
randomly and independently. However, they are not necessarily distributed evenly, and p is not
always equal to 0.5. It can be seen that the data generate both continuous and discrete spectra
because p is not always 0.5.
Submission
Page 7
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(a): PSD of one pulse
(b): PSD of pulses for p=0.25
0
10
-10
0
-20
-10
-30
-20
-40
-30
-50
0
100
200
300
400
-40
0
(c): PSD of pulses for p=0.5
20
0
10
-10
0
-20
-10
-30
-20
0
100
200
300
200
300
400
(d): PSD of pulses for p=1
10
-40
100
400
-30
0
100
200
300
400
Figure 2. PSD of clocked random sequences with different p
Figure 4 illustrates the problem schematically. In this figure, the X direction represents bits in
one block of a TDMA system and the Y direction represents bits with the same offset from the
beginning of the block. In traditional communication systems, data streams are randomized by
polynomial-based scramblers in the X direction for timing recovery, equalization, etc. As Figure
2-(c) shows, only when pulses are randomly and evenly distributed in Y direction can line
frequencies be suppressed. However polynomial-based scramblers are content dependent and
cannot guarantee such condition. An extreme example is that when a block of data is repeated for
a while, it will generate strong line spectra although inside the block data is scrambled.
Therefore, traditional polynomial-based scramblers are not effective and sufficient in
suppressing PSD.
In the next section, we will propose a mechanism of selective phase reversion to achieve line
spectra suppression.
Submission
Page 8
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(a): Waveform of single pulses
(b): Waveform of data
1
1
0.5
0.5
0
0
-0.5
-0.5
-1
0
20
40
-1
60
0
(c): PSD of single pulse
20
-20
10
-30
0
-40
-10
-50
-20
0
500
1000
200
300
400
(d): PSD of data
-10
-60
100
-30
1500
0
500
1000
1500
Figure 3. PSD of a stream of block of data in TDMA systems
bits inside a block
X
blocks in TDMA
frame N
frame N+1
frame N+2
frame N+3
offset m
Y
Figure 4. Data relationship inside a block and between blocks
Submission
Page 9
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
3 Selective Phase Reversion
Based on the preceding analysis of the PSD of clocked random sequences, we have proposed the
following mechanism of selective phase reversion to eliminate line frequencies [10]:
1. Generating a random sequence {bn} with the evenly distributed function of
0.5, bn  1
Pr{bn }  
0.5, bn  1
(10)
2. Performing an exclusive OR (XOR) operation on sequences {an} and {bn} to produce a
new sequence {cn}. The {cn} is used as the new data for transmission.
Since
c n  a n ^b n
(11)
the XOR operation is shown in Table 1.
Table 1. XOR operation
an
1
1
-1
-1
bn
1
-1
1
-1
cn
-1
1
1
-1
If the probability functions of {an} and {bn} are
an  1
 p,
Pr{a n }  
1  p, a n  1
(12)
0.5, bn  1
Pr{bn }  
0.5, bn  1
(13)
then the probability of {cn} can be obtained as:
Pr{cn  1}
 Pr{a n  1, bn  1}  Pr{a n  1, bn  1}
(14)
Because {an} and {bn} are independent, we can get
Submission
Page 10
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
Pr{c n  1}
 Pr{a n  1} Pr{bn  1}  Pr{a n  1} Pr{bn  1}
 p * 0.5  (1  p) * 0.5
(15)
 0.5
as well as
Pr{c n  1}
 Pr{a n  1} Pr{bn  1}  Pr{a n  1} Pr{bn  1}
 p * 0.5  (1  p) * 0.5
(16)
 0.5
Therefore the probability function of {cn} is
0.5, cn  1
Pr{cn }  
0.5, cn  1
(17)
Based on analyses presented in Section 2, this method will change a unbalanced sequence into a
balanced sequence and all the PSD of the resulting sequence will go to the continuous
component of its PSD.
We have performed simulations to show that applying the operation proposed in equation (10)
and (11) will suppress line spectra and reduce the PSD of multiple pulses shown in Figure 3. The
configuration of the simulations is shown in Figure 5. The simulations use Periodogram PSD
estimators to calculate the PSD of different UWB signals. In the configuration employed, a pulse
is represented by 31 samples followed by 33 samples of zero padding. A bit consists of one pulse
and is represented by 64 samples.1 Frame size Tc takes 512 samples. 16384-point FFT is used
on 16384 samples to evaluate the PSD. In other words, FFT is on 32 frames and each frame has
seven pulses plus zero-padding on the eighth pulse. Because a single estimate usually generates a
large bias in estimation and the FCC regulation sets a limit on average PSD, 200 runs are used to
smooth the final PSD estimate.
The results of this simulation are shown in Figure 6. As in Figure 3, panels (a) and (c) in Figure 6
are the waveform and power spectrum of single pulses, while panels (b) and (d) are the
waveform and PSD of the new data cn.
1
Throughout the discussion in the remainder of the document, pulses are represented in the same way.
Submission
Page 11
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
FFT (16384)
frame (512)
frame
frame
pulse (31)
64
Figure 5. Configuration of simulations of selective phase reversion
The results indicate that, with this method:

Line spectra in data are eliminated;

The peak value of PSD is reduced from about from 19 dB in Figure 3-(d) to 9 dB in panel
(d); and

The shape of the PSD of the new data, shown in Figure 6-(d) is very close to that of the
pulse used, shown in Figure 6-(c).

The proposed phase reversion can effectively reduce PSD.
Phase reversion can also be used in PPM, PAM and Time-Hopping to suppress line spectra.
From the analysis and simulation presented thus far, we can see that a random sequence
generator is required in order to effectively eliminate line spectra and achieve good performance,
or p=0.5. The simulation shown in Figure 6 used a MATLAB function for random number
generation. Although this function has good performance, it is not suitable for real
implementation because addition, multiplication and division are involved to generate a random
number. Another issue in implementation is synchronization of random number generators in
transmitters and receivers.
Thus two key challenges for the design of a random number generator are simplicity of
implementation and ease of synchronization. In the remainder of this paper, we describe a
mechanism that is effective in suppressing the PSD of UWB signals and meets both of these
design challenges, beginning with an architecture in which Linear Feedback Shift Registers
(LFSRs) are integrated with the mechanisms described above to generate random numbers.
Submission
Page 12
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(a): Waveform of single pulses
(b): Waveform of data
1
1
0.5
0.5
0
0
-0.5
-0.5
-1
0
20
40
-1
60
0
(c): PSD of single pulse
10
-20
0
-30
-10
-40
-20
-50
-30
0
500
1000
200
300
400
(d): PSD of data
-10
-60
100
-40
1500
0
500
1000
1500
Figure 6. Simulation results of selective phase reversion
4 Using Linear Feedback Shift Registers to Generate Random
Numbers
In this section, we propose an architecture in which Linear Feedback Shift Registers (LFSRs) are
integrated with the mechanisms proposed in Section 3 for generating random numbers.
4.1 Linear Feedback Shift Registers
LFSRs sequence through (2n – 1) states, where n is the number of registers in the LFSR. At each
clock edge, the contents of the registers are shifted right by one position. There is feedback from
predefined registers to the leftmost register through an exclusive-OR (XOR) or exclusive-NOR
(XNOR) gate. A value of all zeroes is illegal in the case of a NOR feedback, while a value of all
ones is illegal for XNOR feedback because these states would cause the counter to lock up.
Throughout the remainder of this paper, we will use a 28-bit (n=28) LFSR for purposes of
illustration, as depicted in Figure 7. As the figure shows, XNOR from registers 28 and 25 is used
to produce input to the register. There is a check for the illegal state of all one values and, should
that state occur, the output of NXOR gate would be “0” instead of “1” to avoid lock-up.
Submission
Page 13
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
NXOR
1
3
2
4
25
26
27
28
Figure 7. Linear Feedback Shift Registers as random number generators
4.2 Proposed Architecture
A LFSR-based random number generator works in two steps:
 Initial setting at the beginning of each frame;
 Random number generation for the data in the frame.
The purpose of initial setting is to introduce randomness of generators. In this subsection, we
introduce three forms of architecture that differ according to the method of initial setting, and
present simulations through which their performance can be evaluated.
4.2.1 Initial Setting with Randomly Generated Numbers
We have proposed the structure shown in Figure 8 for initializing LSFRs with random numbers.
At the beginning of each frame, 28 random numbers are generated and loaded into the LFSR.
NXOR
1
2
.
3
4
25
26
27
28
random numbers
Figure 8. Structure of LFSRs with random numbers for initial setting
Submission
Page 14
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
4.2.2 Initial Setting Using Another LFSR
For generating random numbers, the two-layer LFSR structure shown in Figure 9 is proposed.
Here, sign_ctl_reg is used to generate random numbers for sign control on data in each frame,
and sign_ctl_orig is for the initial setting of sign_ctl_reg at the beginning of each frame.
Sign_ctl_reg is updated every bit, while sign_ctl_orig is updated every frame.
NXOR
sign_ctl_reg
sign_ctl_orig
1
2
3
4
25
26
27
28
1
2
3
4
25
26
27
28
Figure 9. Structure of LFSRs using single LFSR for initial setting
Operation can thus be summarized as follows:
1.
2.
3.
4.
At the beginning of each frame, right shift sign_ctl_orig for n bits;
Copy sign_ctl_orig to sign_ctl_reg;
Use sign_ctl_reg to generate required sign control bits;
Go to step 1 for next frame.
It can be seen that the original state of sign_ctl_reg at frame n+1 is an n-bit delay of sign_ctl_reg
at frame n.
From the above operation, we can see that only sign_ctl_orig needs to be synchronized, because
sign_ctl_orig specifies initial state of sign_ctl_reg at the beginning of each frame.
4.2.3 Initial Setting Using Two LFSRs
In order to increase randomness, a second sign_ctl_orig register can be introduced, as shown in
Figure 10.
Operation in this case will proceed as follows:
Submission
Page 15
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
1. At the beginning of each frame, right shift sign_ctl_orig1 for n1 bits and sign_ctl_orig2
for n2 bits;
2. XOR sign_ctl_origa and sign_ctl_orig2, or
sign_ctl_orig = sign_ctl_orig1 ^ sign_ctl_orig2;
3. Copy sign_ctl_orig to sign_ctl_reg;
4. Use sign_ctl_reg to generate required sign control bits;
5. Go to step 1 for next frame.
NXOR
sign_ctl_reg
sign_ctl_orig1
sign_ctl_orig2
1
2
3
4
25
26
27
28
XOR
XOR
XOR
XOR
XOR
XOR
XOR
XOR
1
2
3
4
25
26
27
28
1
2
3
4
25
26
27
28
Figure 10. Structure of LFSRs using double LFSR for initial setting
4.3 Synchronization of LFSRs
Synchronization of LFSRs is required for initial access system and traffic channels. Usually
devices access system channels first for registration, and after that switch to traffic channels for
media exchange.
In initial traffic channel access, receivers have some knowledge of the states of LFSRs and there
is only limited difference between the states of LFSRs in transmitters and receivers. Therefore,
sequence number can be used for synchronization in initial traffic channel access.
By contrast, in initial system channel access the receivers have no knowledge about the states of
LFSRs in transmitters. In this case, then, different mechanisms can be used for initial system
channel access in the different systems that were illustrated in Figures 8, 9 and 10.
Submission
Page 16
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
For systems of the sort shown in Figure 8, instead of generating a set of random numbers at each
frame, a set of random numbers can be generated in advance and stored into an array that we will
call the LFSR Initial Vectors. The same array is kept in both transmitters and receivers. A
random number is generated as an index to the LFSR Initial Vectors and this same number is also
put into the data block for transmission. Synchronization between transmitters and receivers can
thus be established and maintained through this number.
For systems of the sort shown in Figure 9, synchronization can be accomplished by putting the
state of registers into the data. For registers with length of n, n bits would be needed. However, if
fewer bits are reserved for register states to transmit (i.e., only 4 bits of data), only the status of
registers 1-4 is put into the data. Even so, after seven frames, the state of the whole sign_ctl_orig
can be obtained as shown in Figure 11. After initial synchronization, data in this field can be used
to check whether sign_ctl_orig registers remain synchronized between transmitters and receivers.
Insert into data
Frame N
1
2
3
Frame N-1
4
5
6
7
Frame N-5
8
21
22
23
Frame N-6
24
25
26
27
28
Figure 11. Synchronization for LFSRs with two layer LFSRs
Note that, from this implementation, we can see that longer LFSRs will need either more frames
or more data fields for synchronization.
Finally, for systems of the sort shown in Figure 10, synchronization is similar to that for the
system in Figure 9 but with twice the size of data reserved for transmission because bits from two
registers need to be transmitted.
4.4 Simulation
We have performed simulation to evaluate performance of LFSRs of different lengths as random
number generators. The configuration of the simulations is shown in Figure 12. The simulation
uses Periodogram PSD estimators to calculate the PSD of different UWB signals. Frame size is
1024*128; 1024x1024-point FFT is used on 1024x1024 samples to evaluate the PSD. In other
words, FFT is on eight frames, and each frame has 2044 pulses plus zero-padding on the last 4
pulses. Two hundred runs are conducted to smooth the final PSD estimate.
Three simulations were performed, using 15-bit LFSRs (shown in Figure 13), 28-bit LFSRs
(shown in Figure 14), and 100-bit LFSRs (shown in Figure 15).
Submission
Page 17
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
In each of these figures, the three columns show the results from snapshots taken at 10, 50 and
200 runs. Rows in the figures represent the following four cases that were used in each
simulation:
1. Single sign_ctl_orig is used to generate initial vector for LFSR, shown on the first row in
above three figures. It shifts by 2 bits for each frame, or n=2;
2. Double sign_ctl_orig is used to generate initial vector for LFSR, shown on the second
row in above three figures. Sign_ctl_orig1 shifts by 2 bits and sign_ctl_orig2 shifts by 1
bit for each frame, or n1=2 and n2=1;
3. Initial vector is generated using MATLAB function RAND, shown on the third row in
above three figures.
4. Random number is generated by MATLAB function RAND and is used to control phase
reversion, shown on the fourth row in above three figures. Because RAND function has
very good performance, this case can be served as a reference of low bound of PSD.
FFT (1024x1024)
frame (1024*128)
frame
frame
data (1024*128-256))
2044 pulses
Figure 12. Configuration of simulation using LFSRs as random number generators
Note that the difference between cases 3 and 4 is that in case 3, MATLAB is used to generate
initial vectors while in case 4, MATLAB generates a random number for real control of phase
reversion. In case 3, the total number of random numbers is equal to the length of the LFSR for
each frame. In case 4, the total number of random numbers equals the number of bits in a frame.
The results showed that cases 1 and 2, which use another LFSR for initial vector setting, are
sensitive to the length of LFSR. With shorter LFSRs, line spectra exist; with longer LFSRs,
ripples appear in the PSD.
Submission
Page 18
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
By contrast, using MATLAB to generate initial vectors (case 3) gives performance very close to
that of case 4 as long the LFSR is long enough. From an implementation point of view, storing a
set of initial vectors meets the simplicity challenge. Therefore, the structure depicted in Figure 8
for initial setting with randomly generated numbers (section 4.2.1) is the solution we
recommend.
Submission
Page 19
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
40
40
40
30
30
30
20
20
20
10
10
10
0
0
30
5
10
(1-b): PSD of 2: 10
4
x 10
0
0
30
5
10
(2-b): PSD of 2: 50
4
x 10
0
20
20
10
10
10
0
0
0
0
30
5
10
(1-c): PSD of 3: 10
4
x 10
-10
0
20
5
10
(2-c): PSD of 3: 50
4
x 10
-10
10
10
10
0
0
0
-10
-10
0
5
10
(1-d): PSD of random: 10 4
x
10
20
-20
0
5
10
(2-d): PSD of random: 50 4
x
10
20
-20
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
5
10
(3-c): PSD of 3: 200
4
x 10
5
10
(3-d): PSD of random:200 4
x
10
10
10
-20
0
20
20
-10
5
10
(3-b): PSD of 2: 200
4
x 10
30
20
-10
0
-30
0
0
4
x 10
5
10
4
x 10
x 10
Figure 13. Bit-based phase reversion with LFSR of length 15
Submission
Page 20
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
30
30
30
20
20
20
10
10
10
0
0
0
-10
0
20
5
10
(1-b): PSD of 2: 10
4
x 10
-10
0
20
5
10
(2-b): PSD of 2: 50
4
x 10
-10
10
0
0
0
-10
-10
-10
-20
0
20
5
10
(1-c): PSD of 3: 10
4
x 10
-20
0
20
5
10
(2-c): PSD of 3: 50
4
x 10
-30
10
0
0
0
-10
-10
-10
-20
0
5
10
(1-d): PSD of random: 10 4
x
10
20
-20
0
5
10
(2-d): PSD of random: 50 4
x
10
20
-30
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
5
10
(3-c): PSD of 3: 200
4
x 10
5
10
(3-d): PSD of random:200 4
x
10
10
10
-20
0
10
10
-20
5
10
(3-b): PSD of 2: 200
4
x 10
10
10
-20
0
-30
0
0
4
x 10
5
10
4
x 10
x 10
Figure 14. Bit-based phase reversion with LFSR of length 28
Submission
Page 21
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
30
30
30
20
20
20
10
10
10
0
0
0
-10
0
20
5
10
(1-b): PSD of 2: 10
4
x 10
-10
0
20
5
10
(2-b): PSD of 2: 50
4
x 10
-10
10
10
0
0
0
-10
-10
-10
0
20
5
10
(1-c): PSD of 3: 10
4
x 10
-20
0
20
5
10
(2-c): PSD of 3: 50
4
x 10
-20
10
0
0
0
-10
-10
-10
-20
0
5
10
(1-d): PSD of random: 10 4
x
10
20
-20
0
5
10
(2-d): PSD of random: 50 4
x
10
20
-30
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
5
10
(3-c): PSD of 3: 200
4
x 10
5
10
(3-d): PSD of random:200 4
x
10
10
10
-20
0
10
10
-20
5
10
(3-b): PSD of 2: 200
4
x 10
20
10
-20
0
-30
0
0
4
x 10
5
10
4
x 10
x 10
Figure 15. Bit-based phase reversion with LFSR of length 100
Submission
Page 22
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
5 Phase Reversion for SYNC
SYNC, also called preamble, is designed for synchronization. Usually a prefixed pattern is
assigned to a SYNC for this purpose. But if randomized phase reversion is applied to SYNCs,
the prefixed pattern no longer exits, making synchronization impossible. Three methods can be
used to SYNC in this case: phase reversion on the whole SYNC, phase reversion on symbols, or
symbol-based phase reversion and scrambling. They differ in their simplicity and performance.
5.1 Phase Reversion on the Whole SYNC
The simplest and easiest method is to apply phase reversion to the whole SYNC. In this case,
either SYNC or S Y N C is transmitted, which is controlled by a random number. The results of our
detailed analysis (see Appendix 8.1 for a full discussion) show that this method results in the
disappearance of line spectra associated with SYNC [10].
Since SYNC occupies a very small percentage of a data block, applying phase reversion on the
whole SYNC should be sufficient for suppressing the PSD associated with SYNC. If greater
suppression of PSD is required, phase reversion on symbols can be used instead.
5.2 Phase Reversion on Symbols
Although phase reversion can eliminate line spectra, it cannot smooth ripples in the PSD of
SYNC (see Appendix 8.1 for more detailed explanation). For this, a SYNC can be divided into
small groups called ‘symbols’ and phase reversion applied to each symbol. This approach can
smooth ripples to some extent but if further suppression of PSD is pursued, the scheme known as
symbol-based phase reversion and scrambling can be employed for best performance.
5.3 Symbol-based Phase Reversion and Scrambling
Detail of symbol-based phase reversion and scrambling can be found in Appendix 8.2.
This scheme can be extended to the whole data. We conducted simulations on the whole data
using LFSRs with length of 7, 9, 11, 15, 28 and 100. The simulations are used to evaluate
performance of the mechanisms when different lengths of LFSRs are employed as random
number generators. The configuration of the simulations are the same as that shown in Figure 12.
The results showed that the introduction of scrambling made LFSRs of different lengths perform
robustly. Even shorter LFSRs provide reasonably good performance. The tradeoff to this
approach, however, is in system complexity.
Submission
Page 23
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
30
30
30
20
20
20
10
10
10
0
0
0
-10
0
20
5
10
4
(1-b): PSD of 2: 10
x 10
-10
0
20
5
10
4
(2-b): PSD of 2: 50
x 10
-10
10
10
0
0
0
-10
-10
-10
0
20
5
10
4
(1-c): PSD of 3: 10
x 10
-20
0
20
5
10
4
(2-c): PSD of 3: 50
x 10
-20
10
10
0
0
0
-10
-10
-10
0
20
5
10
(1-d): PSD of random: 10 4
x 10
-20
0
20
5
10
(2-d): PSD of random: 50 4
x 10
-20
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
5
10
4
(3-c): PSD of 3: 200
x 10
0
5
10
(3-d): PSD of random:200 4
x 10
10
10
-20
0
20
10
-20
5
10
4
(3-b): PSD of 2: 200
x 10
20
10
-20
0
-30
0
5
4
x 10
10
4
x 10
x 10
Figure 16. Symbol-based phase reversion and scrambling with LFSR of length 7
Submission
Page 24
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
30
30
30
20
20
20
10
10
10
0
0
0
-10
0
20
5
10
4
(1-b): PSD of 2: 10
x 10
-10
0
20
5
10
4
(2-b): PSD of 2: 50
x 10
-10
10
10
0
0
0
-10
-10
-10
0
20
5
10
4
(1-c): PSD of 3: 10
x 10
-20
0
20
5
10
4
(2-c): PSD of 3: 50
x 10
-20
10
10
0
0
0
-10
-10
-10
0
20
5
10
(1-d): PSD of random: 10 4
x 10
-20
0
20
5
10
(2-d): PSD of random: 50 4
x 10
-20
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
4
x 10
x 10
5
10
4
(3-c): PSD of 3: 200
x 10
0
5
10
(3-d): PSD of random:200 4
x 10
10
10
-20
0
20
10
-20
5
10
4
(3-b): PSD of 2: 200
x 10
20
10
-20
0
-30
0
5
10
4
x 10
Figure 17. Symbol-based phase reversion and scrambling with LFSR of length 11
Submission
Page 25
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
30
30
30
20
20
20
10
10
10
0
0
0
-10
0
20
5
10
4
(1-b): PSD of 2: 10
x 10
-10
0
20
5
10
4
(2-b): PSD of 2: 50
x 10
-10
10
10
0
0
0
-10
-10
-10
0
20
5
10
4
(1-c): PSD of 3: 10
x 10
-20
0
20
5
10
4
(2-c): PSD of 3: 50
x 10
-20
10
10
0
0
0
-10
-10
-10
0
20
5
10
(1-d): PSD of random: 10 4
x 10
-20
0
20
5
10
(2-d): PSD of random: 50 4
x 10
-20
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
4
x 10
x 10
5
10
4
(3-c): PSD of 3: 200
x 10
0
5
10
(3-d): PSD of random:200 4
x 10
10
10
-20
0
20
10
-20
5
10
4
(3-b): PSD of 2: 200
x 10
20
10
-20
0
-30
0
5
10
4
x 10
Figure 18. Symbol-based phase reversion and scrambling with LFSR of length 15
Submission
Page 26
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
20
20
20
10
10
10
0
0
0
-10
-10
-10
-20
0
20
5
10
(1-b): PSD of 2: 10
4
x 10
-20
0
20
5
10
(2-b): PSD of 2: 50
4
x 10
-20
10
0
0
0
-10
-10
-10
-20
0
20
5
10
(1-c): PSD of 3: 10
4
x 10
-20
0
20
5
10
(2-c): PSD of 3: 50
4
x 10
-30
10
0
0
0
-10
-10
-10
-20
0
5
10
(1-d): PSD of random: 10 4
x 10
20
-20
0
5
10
(2-d): PSD of random: 50 4
x 10
20
-30
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
4
x 10
x 10
5
10
(3-c): PSD of 3: 200
4
x 10
5
10
(3-d): PSD of random:200 4
x 10
10
10
-20
0
10
10
-20
5
10
(3-b): PSD of 2: 200
4
x 10
10
10
-20
0
-30
0
0
5
10
4
x 10
Figure 19. Symbol-based phase reversion and scrambling with LFSR of length 28
Submission
Page 27
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD of 1: 10
(2-a): PSD of 1: 50
(3-a): PSD of 1: 200
30
20
20
20
10
10
10
0
0
0
-10
-10
-10
0
20
5
10
(1-b): PSD of 2: 10
4
x 10
-20
0
20
5
10
(2-b): PSD of 2: 50
4
x 10
-20
10
10
0
0
0
-10
-10
-10
0
20
5
10
(1-c): PSD of 3: 10
4
x 10
-20
0
20
5
10
(2-c): PSD of 3: 50
4
x 10
-20
10
0
0
0
-10
-10
-10
-20
0
5
10
(1-d): PSD of random: 10 4
x
10
20
-20
0
5
10
(2-d): PSD of random: 50 4
x
10
20
-30
10
0
0
0
-10
-10
-10
-20
0
5
10
-20
0
5
4
10
4
x 10
x 10
5
10
(3-c): PSD of 3: 200
4
x 10
5
10
(3-d): PSD of random:200 4
x
10
10
10
-20
0
10
10
-20
5
10
(3-b): PSD of 2: 200
4
x 10
20
10
-20
0
-30
0
0
5
10
4
x 10
Figure 20. Symbol-based phase reversion and scrambling with LFSR of length 100
Submission
Page 28
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
6 Conclusion
In this document, we have proposed a mechanism in base-band processing to reduce the PSD of
pulses in UWB communication systems. This mechanism consists of (1) phase reversion, (2) an
architecture that uses Linear Feedback Shift Registers to generate random numbers, and (3)
synchronizing LFSRs in transmitters and receivers. The mechanism can be applied to PAM, PPM
and Time-Hopping systems to achieve PSD suppression. Our simulations show that the proposed
approach is effective in suppressing the PSD of UWB signals. In addition, it satisfies the
important practical criteria of being both simple and easy to implement.
7 References
[1].
Moe Z. Win and Robert A. Scholtz, “Impulse radio: How it works,” IEEE Commun.
Lett., vol. 2, no. 2, pp. 36-38, Feb. 1998.
[2].
Moe Z. Win and Robert A. Scholtz, “Comparisons of analog and digital impulse radio
for multiple-access communications,” in Proc. IEEE Int. Conf. on Commun., June
1997, vol. 1, pp. 91-95, Montreal, Canada.
[3].
Gerald F. Ross, “The transient analysis of certain TEM mode four-post networks,”
IEEE Trans. Microwave Theory Tech., vol. MTT-14, pp.528, Nov. 1966.
[4].
C. Leonard Bennett and Gerald F. Ross, “Time-domain electro-magnetics and its
applications,” Proc. IEEE, vol. 66, no. 3, pp.299-318, Mar. 1978.
[5].
FCC AFFIRMS RULES TO AUTHORIZE THE DEPLOYMENT OF ULTRAWIDEBAND TECHNOLOGY, ET Docket No. 98-153, February 13, 2003.
[6].
Jeff Foerster, Evan Green, Srinivasa Somayazulu, David Leeper, “Ultra-Wideband
Technology for Short- or Medium-Range Wireless Communications”, Intel Technology
Journal Q2, 2001.
[7].
Moe Z. Win, “On the power spectral density of digital pulse streams generated by Mary cyclostationary sequences in the presence of stationary timing jitter,” IEEE Tran. on
Commun., vol. 46, no. 9, pp. 1135-1145, Sep. 1998.
[8].
Moe Z. Win, “Spectral Density of Random Time-Hopping Spread-Spectrum UWB
Signals with Uniform Timing Jitter”, Proc. MICOM ‘99, vol. 2, pp. 1196-1200, 1999.
[9].
John G. Proakis and Dimitris G. Manolakis, “Chapter 4 Frequency Analysis of Signals,
Introduction to Digital Signal Processing”, Macmillan Publishing Company, 1988.
Submission
Page 29
Shaomin Mo, Panasonic -- PINTL
February, 2016
[10].
IEEE P802.15-03/122r1
Shaomin Mo, Alexander D. Gelman and Jay Gopal, “Frame Synchronization in UWB
Using Multiple SYNC Words to Eliminate Line Frequencies”, To appear at IEEE
Wireless Communications and Networking Conference 2003, New Orleans, AL, March
17-20, 2003.
8 Appendix
8.1 Symbol-based Phase Reversion
In this section, we provide a detailed investigation of the PSD of a clocked random sequence of
symbols, shown in Figure 21.
Tc
t
Tc
Tc
t+1
t+2
Tc
t+3
t+4
Figure 21. Clocked random sequence of symbols
Assume that the rectangle in the figure represents a symbol that consists of J bits. Symbol time is
denoted by Tc and bit time is denoted by Tb. Similarly to the analysis presented earlier in Section
2, a symbol can be modeled generically as:
S s (t ) 

J 1
 a
n   j 0
n, j
w(t  nTc  jTb)
(18)
where
JTb  Tc
(19)
Applying the operations introduced in Section 3, we get a new sequence {cn}
S s (t ) 

 c w (t  nTc)
n  
n
(20)
s
where ws is the waveform of a symbol. The probability function of {cn} is
Submission
Page 30
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
0.5, cn  1
Pr{cn }  
0.5, cn  1
(21)
In this way, an unbalanced sequence becomes a balanced sequence and all PSD goes to the
continuous component of the PSD.
Comparative simulations are performed to show that symbol-based phase reversion eliminates
line spectra generated by a SYNC. The results of the simulations are presented in Figure 22. In
this figure, panels (a) and (b) are the waveform and power spectrum, respectively, of a SYNC
with seven pulses, while panel (c) is the PSD of a SYNC without processing and panel (d) is the
PSD of a SYNC that has been processed following the scheme described in Section 3.
Comparing panels (c) and (d) we can see that using the scheme in section 3 can effectively
suppress the discrete component of the PSD of symbols, thereby reducing the peak value of the
PSD by about 15dB.
(a): Waveform of one symbol
(b): PSD of one symbol
1
10
0
0.5
-10
0
-20
-0.5
-1
-30
0
100
200
300
-40
400
0
(c): PSD of symbol: p=1
20
30
10
20
0
10
-10
0
-20
0
500
1000
1000
1500
(d): PSD of symbol: p=0.5
40
-10
500
1500
-30
0
500
1000
1500
Figure 22. Comparison of PSD of a SYNC with/without phase reversion
However, what panel (b) indicates is that, as the basic element in the signal sequence, {cn} does
not keep the same spectral shape as {an}. The presence of numerous ripples in the PSD of {cn}
means that phase reversion can eliminate line spectra but not ripples in PSD. No matter what was
Submission
Page 31
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
done on the {cn}, we were unable to match the PSD shown Figure 2-(a). In other words, with this
technique we cannot get the PSD closer to the power spectrum of the pulse used in the UWB
communication systems.
8.2 Symbol-based Scrambling
Consider first the spectra of words with four pulses representing the following values (with one
pulse representing one binary digit):
0:
1:
2:
3:
0000
0001
0010
0011
4:
5:
6:
7:
0100
0101
0110
0111
Different waveforms have different spectra shapes, which leads to the hypothesis that combining
them will smooth the resulting spectrum.
To evaluate this, let us make the following assumptions with regard to the symbols:
1. A frame consists of N symbols
2. A symbol consists of four pulses
3. The total number of pulses in a frame is 4N.
Frame N: 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, ...
Frame N+1: 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, ...
X
Frame N+2: 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, ...
Frame N+3: 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, ...
Frame N+4: 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, ...
Frame N+5: 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, ...
Frame N+6: 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, ...
Frame N+7: 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, ...
Frame N+8: 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, ...
Frame N+9: 1, 2, 3, 4, 5, 6, 7, 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, ...
Y
Figure 23. Scrambling in both X&Y directions
Submission
Page 32
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
As illustrated schematically in Figure 23, imagine that a Scramble Word is arranged in the X
direction and changes in the Y direction. In this figure, each number denotes the value for a
symbol. The X axis represents symbols in each frame while the Y axis denotes frames at
different instants in time. In this figure, the Scramble Words used in frame N+8+j is the same as
in frame N+j. After symbols are scrambled with the Scramble Words, phase reversion is then
applied to the symbols.
We conducted simulations to show that symbol-based phase reversion plus scrambling can
smooth ripples as well as eliminating line spectra in the PSD, and its performance is very close to
that of pulse-based phase reversion. The same configuration is employed as that used in Figure
22. The results of simulations using this scheme are shown in Figure 24. The left side of the
figure plots the PSDs of the proposed scheme and the right side shows the PSDs of randomly and
independently generated pulses. Rows in the figure give snapshots at 10 runs, 50 runs and 200
runs.
The PSD of randomly and independently generated pulses can be considered as the statistical low
bound of the PSD. It is used in the simulation as a reference to evaluate the performance of the
proposed scheme.
Comparing the results shown in Figure 22-(c) for original SYNC, Figure 22-(d) for phase
reversion on SYNC and Figure 24-(3-a) for phase reversion & scrambling on SYNC, we can see
the PSD of the proposed scheme has the lowest peak and is very close to that of the reference.
Submission
Page 33
Shaomin Mo, Panasonic -- PINTL
February, 2016
IEEE P802.15-03/122r1
(1-a): PSD using 8 symbols: 1
(1-b): PSD of random pulses: 1
20
20
10
10
0
0
-10
-10
-20
-20
-30
0
1000
2000
-30
3000
0
(2-a): PSD using 8 symbols: 10
10
0
0
-10
-10
-20
-20
-30
-30
0
1000
2000
-40
3000
0
(3-a): PSD using 8 symbols: 50
10
0
0
-10
-10
-20
-20
-30
-30
0
1000
2000
3000
1000
2000
3000
(3-b): PSD of random pulses: 50
10
-40
2000
(2-b): PSD of random pulses: 10
10
-40
1000
-40
3000
0
1000
2000
3000
Figure 24. Spectra of SYNC with symbol-based phase reversion & scrambling
Submission
Page 34
Shaomin Mo, Panasonic -- PINTL
Download