;This is a sample environment variable file containing the default
;values for environment variables in Design Framework II products.
;See the appropriate tool documentation regarding the use and
;choices for each variable.
;To customize your defaults, use this file as a template and place
;a modified version of this file in ../local/.cdsenv. This
;local version should typically reflect the values of your system
;defaults as set by your site coordinator. To specify more personal
;defaults, place a modified version of either this or the
;../local/.cdsenv file into your home directory as .cdsenv.
;Your ../local/.cdsenv file will be loaded first, followed
;by the .cdsenv in your home directory, so any values in ~/.cdsenv
;will override those previously loaded.
;Diva environment variables
$Revision: 1.8 $
Diva.DRC
ipos boolean
nil
Diva.DRC
xpos int
0
Diva.DRC
ypos int
0
Diva.DRC
xrel cyclic
"left"
Diva.DRC
yrel cyclic
"bottom"
Diva.DRC
method
cyclic
"flat"
Diva.DRC
limits
cyclic
"full"
Diva.DRC
area string
""
Diva.DRC
join boolean
nil
Diva.DRC
echo boolean
t
Diva.DRC
switches
string
""
Diva.DRC
useRsf
boolean
nil
Diva.DRC
rsf
string
""
Diva.DRC
include
int
1000
Diva.DRC
remote
cyclic
"local"
Diva.DRC
machine
string
""
Diva.DRC
rules string
""
Diva.DRC
useLib
boolean
t
Diva.DRC
rulesLib
string
""
Diva.DRC
limitRule boolean
nil
Diva.DRC
ruleLimit int
0
Diva.DRC
limitRun
boolean
nil
Diva.DRC
runLimit
int
0
Diva.DRC
useEDB
boolean
nil
Diva.DRC
nameEDB
string
""
Diva.Extract
ipos boolean
nil
Diva.Extract
xpos int
0
Diva.Extract
ypos int
0
Diva.Extract
xrel cyclic
"left"
Diva.Extract
yrel cyclic
"bottom"
Diva.Extract
method
cyclic
"flat"
Diva.Extract
join boolean
nil
Diva.Extract
echo boolean
t
Diva.Extract
switches
string
""
Diva.Extract
useRsf
boolean
nil
Diva.Extract
rsf
string
""
Diva.Extract
include
int
1000
Diva.Extract
remote
cyclic
"local"
Diva.Extract
machine
string
""
Diva.Extract
extView
string
"extracted"
Diva.Extract
exlView
string
"excell"
Diva.Extract
rules string
""
Diva.Extract
useLib
boolean
t
Diva.Extract
rulesLib
string
""
Diva.Extract
limitRule boolean
nil
Diva.Extract
ruleLimit int
0
Diva.Extract
limitRun
boolean
nil
Diva.Extract
runLimit
int
0
Diva.Extract
useEDB
boolean
nil
Diva.Extract
nameEDB
string
""
Diva.LVS
xpos int
0
Diva.LVS
ypos int
0
Diva.LVS
xrel cyclic
"left"
Diva.LVS
yrel cyclic
"bottom"
Diva.LVS
rundir
string
"LVS"
Diva.LVS
switches
string
""
Diva.LVS
rules string
""
Diva.LVS
useLib
boolean
nil
Diva.LVS
rulesLib
string
""
Diva.LVS
rewire
boolean
t
Diva.LVS
fix
boolean
nil
Diva.LVS
xref boolean
nil
Diva.LVS
term boolean
t
Diva.LVS
tcorr boolean
nil
Diva.LVS
corrFile
string
"lvs_corr_file"
Diva.LVS
priority
int
0
Diva.LVS
remote
cyclic
"local"
Diva.LVS
machine
string
""
;UltraSim environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;UltraSim opts partition variables
UltraSim.opts
UsimPCADcpathCT string
"50u"
UltraSim.opts
UsimRAAgeDomain string
"loglog"
UltraSim.opts
rshort
string
"1e-6"
UltraSim.opts
UsimDCATimeIntervals
string
""
UltraSim.opts
UsimDCAVgsu string
""
UltraSim.opts
UsimDCASubckt
string
""
UltraSim.opts
UsimPCAFanout
string
"All Selected Nodes (0)"
UltraSim.opts
UsimUPS
toggle
(nil)
UltraSim.opts
simSave
boolean
nil
UltraSim.opts
UsimTAMaxPerTime string
""
UltraSim.opts
UsimUPSWaveformFile
string
""
UltraSim.opts
abstoli
string
"1.00e-12"
UltraSim.opts
UsimPAEnabled
boolean
nil
UltraSim.opts
ParasiticRCFile_DPF
string
""
UltraSim.opts
UsimNAOutputSort string
"name"
UltraSim.opts
UsimPCAPreserve string
"No"
UltraSim.opts
simSaveFile string
""
UltraSim.opts
UsimDCAVgbu string
""
UltraSim.opts
UsimDCAVdbl string
""
UltraSim.opts
UsimTASigNames
string
""
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
dc
string
"Complete DC (1)"
UsimPNANodeName string
""
other string
""
UsimPADepth string
"1"
simRestart boolean
nil
otherSpice string
""
ParasiticRCFile_CAPFILE
string
""
UsimDCAPreserve string
"No"
wf_tres
string
"1e-12"
UsimPNAAct toggle
(nil)
UsimPATimeIntervals
string
""
UsimDCAVsbl string
""
UsimPCATimePoint string
""
UsimRAMode string
"HCI only"
temp string
"27"
rcr_fmax
string
"1e9"
simTimePoints
string
""
ParasiticRCFile_SPEF
string
""
UsimDCADurationTime
string
""
UsimPCADurationTime
string
"5n"
UsimPNASize toggle
(nil)
UsimTASigEdgeType string
"rise"
UsimPCAPSubckt
string
""
UsimRADeltaDToggle
boolean
t
tol
string
"0.01"
UsimTARefSigEdgeType
string
"rise"
wf_abstoli string
"1e-12"
wf_reltol string
""
wf_format string
"SST2"
UsimDCAVdbu string
""
ParasiticRCFile_SPF
string
""
UsimRAAgeMethod string
"interp"
vrInstanceNames string
""
UsimTASigLowThreshold string
""
UsimNAStop string
""
UsimTAMinLowTime string
""
UsimTAEnabled
boolean
nil
pn_max_res string
""
UsimNA
toggle
(nil)
UsimPCATimeChecked
string
"period"
postl string
"No RCR (0)"
UsimPCA
toggle
(nil)
abstolv
string
"1.00e-06"
UsimDCAVgdu string
""
UsimDCAInst string
""
UsimDCAVgdl string
""
UsimNAStart string
""
diode_method
string
"Analog Table (A)"
UsimUPSOutputNode string
""
UsimRAAgeproc
string
""
UsimTAMinHighTime string
""
UsimPCAElements string
""
UsimOptMethod
boolean
nil
UsimPA
toggle
(nil)
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
Points"
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UltraSim.opts
UsimDCAReportTitle
string
""
UsimRAMinAge
float 0.0
UsimTAMaxHighTime string
""
UsimTA
toggle
(nil)
tnom string
"27"
UsimUPSPowerNodes string
""
wf_abstolv string
"1e-6"
UsimDCAVgsl string
""
UsimPCASubcktChecked
string
""
UsimRADeltaD
float 0.1
simLoadFile string
""
UsimPCAReportTitle
string
""
UsimPNAElemThreshold
string
"10"
UsimDCAEnabled
boolean
nil
UsimPALimit string
""
UsimTARefSig
string
""
vrNodeNames string
""
mos_method string
"Analog/MS Table (A)"
UsimUPSIrPeakThreshold string
""
UsimUPSIrRmsThreshold string
""
UsimDCAProbe
string
"0"
UsimRANBTIAgeproc string
""
UsimTANegWindow string
""
UsimTARefSigHighThreshold
string
""
wf_filter boolean
t
UsimTASigHighThreshold string
""
UsimUPSAllWaveform
string
"Voltage for Tap
UsimTAMinPerTime string
""
UsimTAStop string
""
UsimTAReportTitle string
""
UsimPCAExiCT
string
"10u"
UsimPCASubcktExcluded string
""
UsimPNAConn toggle
(nil)
dump_step string
""
UsimUPSSpeed
string
"Aggressive (3)"
UsimDCAVdsu string
""
UsimPCAEnabled
boolean
nil
speed string
"Default (5)"
dcut boolean
nil
UsimPAPort string
""
UsimTASubcktName string
""
skip_subckt string
""
UsimPCAZNodes
string
""
UsimTAStart string
""
UsimDCAVgbl string
""
UsimPNANode toggle
(nil)
pn_method string
"short"
UsimPNA
toggle
(nil)
UsimNALimit string
""
UsimDCADisplaySetting string
""
UsimPCACheckType string
"Over Current"
UsimRAAgingTime string
"10y"
scale string
"1.0"
UltraSim.opts
rvshort
string
"1e-6"
UltraSim.opts
UsimPAName string
""
UltraSim.opts
UsimPCATimeIntervals
string
""
UltraSim.opts
analog
string
"Default (1)"
UltraSim.opts
UsimTAMaxLowTime string
""
UltraSim.opts
UsimPAOutputSort string
"avg"
UltraSim.opts
UsimPAPower boolean
nil
UltraSim.opts
skip_subckt_insts string
""
UltraSim.opts
UsimTASetupTime string
""
UltraSim.opts
UsimDCAVdsl string
""
UltraSim.opts
lshort
string
"0"
UltraSim.opts
dcut_field string
""
UltraSim.opts
UsimDCAVsbu string
""
UltraSim.opts
UsimTATrigger
string
"both"
UltraSim.opts
UsimOptMethodHier boolean
nil
UltraSim.opts
UsimPCAVSNodes
string
""
UltraSim.opts
simRepeat string
""
UltraSim.opts
UsimTADisplaySetting
string
""
UltraSim.opts
sim_mode
string
"Mixed Signal (MS)"
UltraSim.opts
cgndr string
"0"
UltraSim.opts
cgnd string
"1e-20"
UltraSim.opts
UsimDCAModel
string
""
UltraSim.opts
UsimRA
toggle
(nil)
UltraSim.opts
UsimUPSIrDropIteration string
"1"
UltraSim.opts
UsimTARefSigLowThreshold
string
""
UltraSim.opts
UsimTACheckType string
"setup"
UltraSim.opts
skip boolean
nil
UltraSim.opts
UsimPCAZXSubckt string
""
UltraSim.opts
UsimUPSIrAvgThreshold string
""
UltraSim.opts
UsimNASortIs
string
"inc"
UltraSim.opts
UsimPCADisplaySetting string
""
UltraSim.opts
scalem
string
"1.0"
UltraSim.opts
vrCellNames string
""
UltraSim.opts
UsimNANodeNames string
""
UltraSim.opts
UsimPADisplaySetting
string
""
UltraSim.opts
UsimTADepth string
""
UltraSim.opts
UsimDCA
toggle
(nil)
UltraSim.opts
lvshort
string
"0"
;UltraSim outputs partition variables
UltraSim.outputs UsimOptionLogicSubckt3 string
""
UltraSim.outputs UsimOptionLogicExclude3
string
""
UltraSim.outputs UsimOptionLogicDepth3 string
"1"
UltraSim.outputs UsimOptionLogicExclude2
string
""
UltraSim.outputs UsimOptionProbeAnalog boolean
nil
UltraSim.outputs UsimOptionLogicLowThreshold string
""
UltraSim.outputs UsimOptionLogicSubckt2 string
""
UltraSim.outputs UsimOptionLogicIncludeRC
string
""
UltraSim.outputs UsimOptionLogicHighThreshold string
""
UltraSim.outputs UsimOptionLogicExclude5
string
""
UltraSim.outputs UsimOptionLogicSubckt string
""
UltraSim.outputs UsimOptionSubckt string
""
UltraSim.outputs UsimOptionExclude string
""
UltraSim.outputs UsimElementInfo boolean
nil
UltraSim.outputs UsimOptionLogicSubckt5 string
""
UltraSim.outputs UsimOptionLogicDepth4 string
"1"
UltraSim.outputs UsimOptionAllAnalogTC boolean
nil
UltraSim.outputs UsimOptionLogicIncludeRC4
string
""
UltraSim.outputs UsimOptionLogicDepth
string
"1"
UltraSim.outputs UsimOptionLogicLowThreshold2 string
""
UltraSim.outputs UsimOptionLogicIncludeRC2
string
""
UltraSim.outputs UsimOptionNumberVolThreshold string
"1"
UltraSim.outputs UsimOptionLogicSubckt4 string
""
UltraSim.outputs UsimOptionLogicHighThreshold4
string
""
UltraSim.outputs UsimOptionAllAnalogNV boolean
nil
UltraSim.outputs UsimOptionLogicLowThreshold5 string
""
UltraSim.outputs UsimOptionLogicExclude4
string
""
UltraSim.outputs UsimOptionIncludeRC
string
""
UltraSim.outputs UsimOptionDepth string
"1"
UltraSim.outputs UsimModelParamInfo
boolean
nil
UltraSim.outputs UsimOptionLogicExclude string
""
UltraSim.outputs UsimOptionLogicHighThreshold2
string
""
UltraSim.outputs UsimOptionLogicIncludeRC5
string
""
UltraSim.outputs UsimOptionLogicIncludeRC3
string
""
UltraSim.outputs UsimOptionLogicHighThreshold3
string
""
UltraSim.outputs UsimOptionLogicDepth5 string
"1"
UltraSim.outputs UsimOptionLogicLowThreshold3 string
""
UltraSim.outputs UsimOptionLogicLowThreshold4 string
""
UltraSim.outputs UsimOptionProbeLogic
boolean
nil
UltraSim.outputs UsimOptionLogicHighThreshold5
string
""
UltraSim.outputs UsimOptionLogicDepth2 string
"1"
UltraSim.outputs UsimOutputParamInfo
boolean
nil
;UltraSim envOpts partition variables
UltraSim.envOpts stopViewList
string
"spectre"
UltraSim.envOpts runMode
string
"Batch"
UltraSim.envOpts switchViewList
string
"spectre cmos_sch cmos.sch
schematic veriloga"
UltraSim.envOpts netlistFormat
string
"spectre"
UltraSim.envOpts autoDisplay boolean
t
UltraSim.envOpts interactiveCtrFile
string
""
UltraSim.envOpts printComments
boolean
nil
UltraSim.envOpts userCmdLineOption string
""
;UltraSim init partition variables
UltraSim.init
processPriority int
0
;UltraSim tran partition variables
UltraSim.tran
enable
toggle
(nil)
UltraSim.tran
stop string
""
UltraSim.tran
saveOP
boolean
nil
;UltraSim tranOpts partition variables
UltraSim.tranOpts start string
""
UltraSim.tranOpts outputstart string
""
UltraSim.tranOpts step string
"1e-9"
UltraSim.tranOpts readic
string
""
UltraSim.tranOpts readns
string
""
UltraSim.tranOpts write string
""
UltraSim.tranOpts writefinal string
""
UltraSim.tranOpts method
string
"euler"
UltraSim.tranOpts skipstart string
""
UltraSim.tranOpts skipstop
string
""
UltraSim.tranOpts strobeperiod
string
""
UltraSim.tranOpts strobedelay string
""
UltraSim.tranOpts infotimes string
""
UltraSim.tranOpts maxstep_window
string
""
UltraSim.tranOpts maxstepU
string
""
UltraSim.tranOpts max_start string
""
UltraSim.tranOpts max_stop
string
""
UltraSim.tranOpts max_subckt string
""
;UltraSim envlp partition variables
UltraSim.envlp
enable
toggle
(nil)
UltraSim.envlp
stop string
""
UltraSim.envlp
env_clockf float 0.0
UltraSim.envlp
env_nsamples
int
8
UltraSim.envlp
env_maxnstep
int
10
UltraSim.envlp
env_speed string
"4"
UltraSim.envlp
env_tstart string
""
UltraSim.envlp
env_tstop string
""
UltraSim.envlp
env_tol
float 0.1
UltraSim.envlp
env_trtol int
10
UltraSim.envlp
env_forder int
-1
UltraSim.envlp
env_harms int
1
UltraSim.envlp
acprWizardInfo
string
""
;UltraSim envlpOpts partition variables
UltraSim.envlpOpts
start string
""
UltraSim.envlpOpts
outputstart string
""
UltraSim.envlpOpts
step string
"1e-9"
UltraSim.envlpOpts
readic
string
""
UltraSim.envlpOpts
readns
string
""
UltraSim.envlpOpts
write string
""
UltraSim.envlpOpts
writefinal string
""
UltraSim.envlpOpts
method
string
"euler"
UltraSim.envlpOpts
skipstart string
""
UltraSim.envlpOpts
skipstop
string
""
UltraSim.envlpOpts
strobeperiod
string
""
UltraSim.envlpOpts
strobedelay string
""
UltraSim.envlpOpts
infotimes string
""
UltraSim.envlpOpts
maxstep_window
string
""
UltraSim.envlpOpts
maxstepU
string
""
UltraSim.envlpOpts
max_start string
""
UltraSim.envlpOpts
max_stop
string
""
UltraSim.envlpOpts
max_subckt string
""
;UltraSimVerilog environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;UltraSimVerilog opts partition variables
UltraSimVerilog.opts
UsimPCADcpathCT string
"50u"
UltraSimVerilog.opts
rshort
string
"1e-6"
UltraSimVerilog.opts
UsimRAAgeDomain string
"loglog"
UltraSimVerilog.opts
UsimUPS
toggle
(nil)
UltraSimVerilog.opts
UsimPCAFanout
string
"All Selected Nodes
(0)"
UltraSimVerilog.opts
UsimDCASubckt
string
""
UltraSimVerilog.opts
UsimDCAVgsu string
""
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UsimDCATimeIntervals
string
""
simSave
boolean
nil
abstoli
string
"1.00e-12"
UsimUPSWaveformFile
string
""
UsimTAMaxPerTime string
""
ParasiticRCFile_DPF
string
""
UsimPAEnabled
boolean
nil
UsimNAOutputSort string
"name"
simSaveFile string
""
UsimPCAPreserve string
"No"
UsimDCAVgbu string
""
UsimTASigNames
string
""
UsimDCAVdbl string
""
dc
string
"Complete DC (1)"
UsimPNANodeName string
""
other string
""
UsimPADepth string
"1"
simRestart boolean
nil
otherSpice string
""
ParasiticRCFile_CAPFILE
string
""
UsimDCAPreserve string
"No"
wf_tres
string
"1e-12"
UsimPNAAct toggle
(nil)
UsimPATimeIntervals
string
""
UsimDCAVsbl string
""
UsimPCATimePoint string
""
UsimRAMode string
"HCI only"
temp string
"27"
rcr_fmax
string
"1e9"
simTimePoints
string
""
ParasiticRCFile_SPEF
string
""
UsimDCADurationTime
string
""
UsimPCADurationTime
string
"5n"
UsimPNASize toggle
(nil)
UsimTASigEdgeType string
"rise"
UsimPCAPSubckt
string
""
UsimRADeltaDToggle
boolean
t
tol
string
"0.01"
UsimTARefSigEdgeType
string
"rise"
wf_abstoli string
"1e-12"
wf_reltol string
""
wf_format string
"SST2"
UsimDCAVdbu string
""
ParasiticRCFile_SPF
string
""
UsimRAAgeMethod string
"interp"
vrInstanceNames string
""
UsimTASigLowThreshold string
""
UsimNAStop string
""
UsimTAMinLowTime string
""
UsimTAEnabled
boolean
nil
pn_max_res string
""
UsimNA
toggle
(nil)
UsimPCATimeChecked
string
"period"
postl string
"No RCR (0)"
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
Points"
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UltraSimVerilog.opts
UsimPCA
toggle
(nil)
abstolv
string
"1.00e-06"
UsimDCAVgdu string
""
UsimDCAInst string
""
UsimDCAVgdl string
""
UsimNAStart string
""
diode_method
string
"Analog Table (A)"
UsimUPSOutputNode string
""
UsimRAAgeproc
string
""
UsimTAMinHighTime string
""
UsimPCAElements string
""
UsimOptMethod
boolean
nil
UsimPA
toggle
(nil)
UsimDCAReportTitle
string
""
UsimRAMinAge
float 0.0
UsimTAMaxHighTime string
""
UsimTA
toggle
(nil)
tnom string
"27"
UsimUPSPowerNodes string
""
wf_abstolv string
"1e-6"
UsimPCASubcktChecked
string
""
UsimDCAVgsl string
""
simLoadFile string
""
UsimRADeltaD
float 0.1
UsimPCAReportTitle
string
""
UsimPNAElemThreshold
string
"10"
UsimDCAEnabled
boolean
nil
UsimTARefSig
string
""
UsimPALimit string
""
vrNodeNames string
""
mos_method string
"Analog/MS Table (A)"
UsimUPSIrRmsThreshold string
""
UsimUPSIrPeakThreshold string
""
wf_filter boolean
t
UsimTARefSigHighThreshold
string
""
UsimTANegWindow string
""
UsimRANBTIAgeproc string
""
UsimDCAProbe
string
"0"
UsimUPSAllWaveform
string
"Voltage for Tap
UsimTASigHighThreshold string
""
UsimTAStop string
""
UsimTAMinPerTime string
""
UsimTAReportTitle string
""
UsimPCAExiCT
string
"10u"
UsimUPSSpeed
string
"Aggressive (3)"
dump_step string
""
UsimPNAConn toggle
(nil)
UsimPCASubcktExcluded string
""
UsimDCAVdsu string
""
speed string
"Default (5)"
UsimPCAEnabled
boolean
nil
dcut boolean
nil
UsimPAPort string
""
UltraSimVerilog.opts
skip_subckt string
""
UltraSimVerilog.opts
UsimTASubcktName string
""
UltraSimVerilog.opts
UsimPCAZNodes
string
""
UltraSimVerilog.opts
UsimTAStart string
""
UltraSimVerilog.opts
pn_method string
"short"
UltraSimVerilog.opts
UsimPNANode toggle
(nil)
UltraSimVerilog.opts
UsimDCAVgbl string
""
UltraSimVerilog.opts
UsimPNA
toggle
(nil)
UltraSimVerilog.opts
UsimNALimit string
""
UltraSimVerilog.opts
scale string
"1.0"
UltraSimVerilog.opts
UsimRAAgingTime string
"10y"
UltraSimVerilog.opts
UsimPCACheckType string
"Over Current"
UltraSimVerilog.opts
UsimDCADisplaySetting string
""
UltraSimVerilog.opts
rvshort
string
"1e-6"
UltraSimVerilog.opts
UsimPAName string
""
UltraSimVerilog.opts
analog
string
"Default (1)"
UltraSimVerilog.opts
UsimPCATimeIntervals
string
""
UltraSimVerilog.opts
UsimTAMaxLowTime string
""
UltraSimVerilog.opts
UsimPAOutputSort string
"avg"
UltraSimVerilog.opts
skip_subckt_insts string
""
UltraSimVerilog.opts
UsimPAPower boolean
nil
UltraSimVerilog.opts
UsimTASetupTime string
""
UltraSimVerilog.opts
dcut_field string
""
UltraSimVerilog.opts
lshort
string
"0"
UltraSimVerilog.opts
UsimDCAVdsl string
""
UltraSimVerilog.opts
UsimTATrigger
string
"both"
UltraSimVerilog.opts
UsimDCAVsbu string
""
UltraSimVerilog.opts
UsimOptMethodHier boolean
nil
UltraSimVerilog.opts
simRepeat string
""
UltraSimVerilog.opts
UsimPCAVSNodes
string
""
UltraSimVerilog.opts
cgndr string
"0"
UltraSimVerilog.opts
sim_mode
string
"Mixed Signal (MS)"
UltraSimVerilog.opts
UsimTADisplaySetting
string
""
UltraSimVerilog.opts
cgnd string
"1e-20"
UltraSimVerilog.opts
UsimUPSIrDropIteration string
"1"
UltraSimVerilog.opts
UsimRA
toggle
(nil)
UltraSimVerilog.opts
UsimDCAModel
string
""
UltraSimVerilog.opts
UsimTARefSigLowThreshold
string
""
UltraSimVerilog.opts
skip boolean
nil
UltraSimVerilog.opts
UsimTACheckType string
"setup"
UltraSimVerilog.opts
UsimPCAZXSubckt string
""
UltraSimVerilog.opts
UsimUPSIrAvgThreshold string
""
UltraSimVerilog.opts
UsimNASortIs
string
"inc"
UltraSimVerilog.opts
vrCellNames string
""
UltraSimVerilog.opts
scalem
string
"1.0"
UltraSimVerilog.opts
UsimPCADisplaySetting string
""
UltraSimVerilog.opts
UsimTADepth string
""
UltraSimVerilog.opts
UsimPADisplaySetting
string
""
UltraSimVerilog.opts
UsimNANodeNames string
""
UltraSimVerilog.opts
UsimDCA
toggle
(nil)
UltraSimVerilog.opts
lvshort
string
"0"
;UltraSimVerilog outputs partition variables
UltraSimVerilog.outputs
UsimOptionLogicSubckt3 string
""
UltraSimVerilog.outputs
UsimOptionLogicExclude3
string
""
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
""
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
""
UltraSimVerilog.outputs
""
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
UltraSimVerilog.outputs
""
;UltraSimVerilog verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UltraSimVerilog.verimixOpts
UsimOptionLogicDepth3 string
"1"
UsimOptionLogicExclude2
string
""
UsimOptionProbeAnalog boolean
nil
UsimOptionLogicLowThreshold string
""
UsimOptionLogicSubckt2 string
""
UsimOptionLogicIncludeRC
string
""
UsimOptionLogicHighThreshold string
""
UsimOptionLogicExclude5
string
""
UsimElementInfo boolean
nil
UsimOptionLogicSubckt string
""
UsimOptionSubckt string
""
UsimOptionExclude string
""
UsimOptionAllAnalogTC boolean
nil
UsimOptionLogicDepth4 string
"1"
UsimOptionLogicSubckt5 string
""
UsimOptionLogicIncludeRC4
string
""
UsimOptionLogicDepth
string
"1"
UsimOptionLogicLowThreshold2 string
""
UsimOptionNumberVolThreshold string
"1"
UsimOptionLogicIncludeRC2
string
""
UsimOptionAllAnalogNV boolean
nil
UsimOptionLogicHighThreshold4
string
UsimOptionLogicSubckt4 string
""
UsimModelParamInfo
boolean
nil
UsimOptionDepth string
"1"
UsimOptionIncludeRC
string
""
UsimOptionLogicExclude4
string
""
UsimOptionLogicLowThreshold5 string
""
allDigitalNV
boolean
t
UsimOptionLogicExclude string
""
UsimOptionLogicHighThreshold2
string
UsimOptionLogicHighThreshold3
string
UsimOptionLogicIncludeRC3
string
""
UsimOptionLogicIncludeRC5
string
""
UsimOptionLogicLowThreshold3 string
""
UsimOptionLogicDepth5 string
"1"
UsimOptionProbeLogic
boolean
nil
UsimOptionLogicLowThreshold4 string
""
UsimOutputParamInfo
boolean
nil
UsimOptionLogicDepth2 string
"1"
UsimOptionLogicHighThreshold5
string
partition variables
filename6 string
maxDCIter int
0
scope1
string
scope10
string
filename8 string
dcInterval float 0.0
scope3
string
scope8
string
""
""
""
""
""
""
UltraSimVerilog.verimixOpts scope7
string
""
UltraSimVerilog.verimixOpts filename7 string
""
UltraSimVerilog.verimixOpts scope string
""
UltraSimVerilog.verimixOpts filename4 string
""
UltraSimVerilog.verimixOpts filename
string
""
UltraSimVerilog.verimixOpts filename1 string
""
UltraSimVerilog.verimixOpts scope9
string
""
UltraSimVerilog.verimixOpts importsdfswitch boolean
nil
UltraSimVerilog.verimixOpts filename2 string
""
UltraSimVerilog.verimixOpts numberofsdffiles int
2
UltraSimVerilog.verimixOpts scope2
string
""
UltraSimVerilog.verimixOpts scope6
string
""
UltraSimVerilog.verimixOpts filename10 string
""
UltraSimVerilog.verimixOpts delaymodechoice string
"Ignore"
UltraSimVerilog.verimixOpts sdfmixedswitch
boolean
t
UltraSimVerilog.verimixOpts filename9 string
""
UltraSimVerilog.verimixOpts scope5
string
""
UltraSimVerilog.verimixOpts filename5 string
""
UltraSimVerilog.verimixOpts filename3 string
""
UltraSimVerilog.verimixOpts scope4
string
""
;UltraSimVerilog verilogOpts partition variables
UltraSimVerilog.verilogOpts otherOptions
string
"+libext+.v+
+incdir+hdlFilesDir +sdf_verbose +sdf_nocheck_celltype"
UltraSimVerilog.verilogOpts optionsFile string
""
UltraSimVerilog.verilogOpts twinTurbo boolean
nil
UltraSimVerilog.verilogOpts suppressMessages boolean
nil
UltraSimVerilog.verilogOpts libraryFile string
""
UltraSimVerilog.verilogOpts behaveProfile
boolean
nil
UltraSimVerilog.verilogOpts verimixLog string
"verilog.log"
UltraSimVerilog.verilogOpts simVision boolean
nil
UltraSimVerilog.verilogOpts pulseSpec boolean
nil
UltraSimVerilog.verilogOpts commandFile string
""
UltraSimVerilog.verilogOpts pulseError int
100
UltraSimVerilog.verilogOpts stopCompile boolean
nil
UltraSimVerilog.verilogOpts keepNodes string
"Minimum"
UltraSimVerilog.verilogOpts accelerationCA
boolean
nil
UltraSimVerilog.verilogOpts turboRadio string
"Default"
UltraSimVerilog.verilogOpts libraryDir string
""
UltraSimVerilog.verilogOpts suppressWarnings boolean
nil
UltraSimVerilog.verilogOpts accelerationSwitches
boolean
nil
UltraSimVerilog.verilogOpts accelerationNormal
boolean
t
UltraSimVerilog.verilogOpts delayType string
"Typical"
UltraSimVerilog.verilogOpts pulseReject int
100
UltraSimVerilog.verilogOpts delayMode string
"Default"
UltraSimVerilog.verilogOpts vermixBinary
string
"verilog.vmx"
;UltraSimVerilog init partition variables
UltraSimVerilog.init
processPriority int
0
;UltraSimVerilog envOpts partition variables
UltraSimVerilog.envOpts
mspSetupHNLNetlistPwrNets
string
"vdd! vdda! vddd! vcc! vcca! vccd!"
UltraSimVerilog.envOpts
mspSetupNetlistStopSymbolImplicit boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistOverWriteSchTimeScale
boolean
nil
UltraSimVerilog.envOpts
runMode
string
"Batch"
UltraSimVerilog.envOpts
mspSetupNetlistAssignForAlias
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistEscapeName
boolean
nil
UltraSimVerilog.envOpts
autoDisplay boolean
t
UltraSimVerilog.envOpts
mspSetupNetlistSimPrecisionUnit
string
"ns"
UltraSimVerilog.envOpts
interactiveCtrFile
string
""
UltraSimVerilog.envOpts
mspSetupHNLNetlistGndNets
string
"gnd! gnda! gndd! vss! vssa! vssd! vee! veea! veed!"
UltraSimVerilog.envOpts
mspSetupNetlistOverWriteVerimix
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistPinMap boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistBus
boolean
t
UltraSimVerilog.envOpts
mspSetupNetlistDeclareGlobalLocally
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistSimTimeUnit
string
"ns"
UltraSimVerilog.envOpts
mspSetupNetlistTemplate
string
"Verimix"
UltraSimVerilog.envOpts
mspSetupNetlistExplicit
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistSkipTimingInfo
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistUseTestfixture
boolean
t
UltraSimVerilog.envOpts
printComments
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistLaiLmsi boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistSimPrecisionValue int
1
UltraSimVerilog.envOpts
mspSetupNetlistSwitchRC
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistSimTimeValue int
1
UltraSimVerilog.envOpts
mspSetupNetlistUseLib boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistNullPort
boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistDropPortRange boolean
t
UltraSimVerilog.envOpts
userCmdLineOption string
""
UltraSimVerilog.envOpts
mspSetupNetlistUpCase boolean
nil
UltraSimVerilog.envOpts
mspSetupNetlistIncreNetlistConfig boolean
nil
;UltraSimVerilog tran partition variables
UltraSimVerilog.tran
enable
toggle
(nil)
UltraSimVerilog.tran
stop string
""
UltraSimVerilog.tran
saveOP
boolean
nil
;UltraSimVerilog tranOpts partition variables
UltraSimVerilog.tranOpts
start string
""
UltraSimVerilog.tranOpts
outputstart string
""
UltraSimVerilog.tranOpts
step string
"1e-9"
UltraSimVerilog.tranOpts
readic
string
""
UltraSimVerilog.tranOpts
readns
string
""
UltraSimVerilog.tranOpts
write string
""
UltraSimVerilog.tranOpts
writefinal string
""
UltraSimVerilog.tranOpts
method
string
"euler"
UltraSimVerilog.tranOpts
skipstart string
""
UltraSimVerilog.tranOpts
skipstop
string
""
UltraSimVerilog.tranOpts
strobeperiod
string
""
UltraSimVerilog.tranOpts
strobedelay string
""
UltraSimVerilog.tranOpts
infotimes string
""
UltraSimVerilog.tranOpts
maxstep_window
string
""
UltraSimVerilog.tranOpts
maxstepU
string
""
UltraSimVerilog.tranOpts
max_start string
""
UltraSimVerilog.tranOpts
max_stop
string
""
UltraSimVerilog.tranOpts
max_subckt string
""
;UltraSimVerilog envlp partition variables
UltraSimVerilog.envlp enable
toggle
(nil)
UltraSimVerilog.envlp stop string
""
UltraSimVerilog.envlp env_clockf float 0.0
UltraSimVerilog.envlp env_nsamples
int
8
UltraSimVerilog.envlp env_maxnstep
int
10
UltraSimVerilog.envlp env_speed string
"4"
UltraSimVerilog.envlp env_tstart string
""
UltraSimVerilog.envlp env_tstop string
""
UltraSimVerilog.envlp env_tol
float 0.1
UltraSimVerilog.envlp env_trtol int
10
UltraSimVerilog.envlp env_forder int
-1
UltraSimVerilog.envlp env_harms int
1
UltraSimVerilog.envlp acprWizardInfo
string
""
;UltraSimVerilog envlpOpts partition variables
UltraSimVerilog.envlpOpts
start string
""
UltraSimVerilog.envlpOpts
outputstart string
""
UltraSimVerilog.envlpOpts
step string
"1e-9"
UltraSimVerilog.envlpOpts
readic
string
""
UltraSimVerilog.envlpOpts
readns
string
""
UltraSimVerilog.envlpOpts
write string
""
UltraSimVerilog.envlpOpts
writefinal string
""
UltraSimVerilog.envlpOpts
method
string
"euler"
UltraSimVerilog.envlpOpts
skipstart string
""
UltraSimVerilog.envlpOpts
skipstop
string
""
UltraSimVerilog.envlpOpts
strobeperiod
string
""
UltraSimVerilog.envlpOpts
strobedelay string
""
UltraSimVerilog.envlpOpts
infotimes string
""
UltraSimVerilog.envlpOpts
maxstep_window
string
""
UltraSimVerilog.envlpOpts
maxstepU
string
""
UltraSimVerilog.envlpOpts
max_start string
""
UltraSimVerilog.envlpOpts
max_stop
string
""
UltraSimVerilog.envlpOpts
max_subckt string
""
;Abstract Generator environment variables
;FORMAT:
;tool.partition name type value private {choices, minmax} comment
absGen
optionFileNames string
"";Options file names
;1*******************************************************
;2* DLE/DLR Environment Variables
;3*******************************************************
adle drdUseNetName
boolean
nil
adle lxLocalAbutment boolean
nil
adle deleteUnmatchedInsts
boolean
nil
adle deleteUnmatchedPins
boolean
nil
adle colorDraglines
boolean
nil
adle showDraglinesForDistantConns boolean
nil
adle hideDraglinesForGlobalNets
boolean
nil
;ams environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;ams elabOpts partition variables
ams.elabOpts
pliNoWarn boolean
nil
ams.elabOpts
pulse_E
string
"100"
ams.elabOpts
relax boolean
nil
ams.elabOpts
usePulseR boolean
nil
ams.elabOpts
noNeg_tchk boolean
nil
ams.elabOpts
simPrecision
string
"1"
ams.elabOpts
neverWarn boolean
nil
ams.elabOpts
no_vpd_msg boolean
nil
ams.elabOpts
no_sdfa_header
boolean
nil
ams.elabOpts
messages
boolean
t
ams.elabOpts
pulse_Int_E string
"100"
ams.elabOpts
pulse_R
string
"100"
ams.elabOpts
notimingchecks
boolean
nil
ams.elabOpts
additionalArg
string
""
ams.elabOpts
noWarn
string
"DLNOHV"
ams.elabOpts
status
boolean
t
ams.elabOpts
ntc_warn
boolean
nil
ams.elabOpts
noNotifier boolean
nil
ams.elabOpts
delay_type string
"None"
ams.elabOpts
vitalInterconnectDelays
string
"Typical"
ams.elabOpts
access
string
"Read"
ams.elabOpts
useExtendtcheckdatalimit
boolean
nil
ams.elabOpts
no_tchk_msg boolean
nil
ams.elabOpts
noautosdf boolean
nil
ams.elabOpts
genafile
string
""
ams.elabOpts
intermod_path
boolean
nil
ams.elabOpts
afile string
""
ams.elabOpts
pulse_Int_R string
"100"
ams.elabOpts
sdf_nocheck_celltype
boolean
nil
ams.elabOpts
v93
boolean
nil
ams.elabOpts
noipd boolean
nil
ams.elabOpts
simTime
string
"1"
ams.elabOpts
sdf_cmd_file
string
""
ams.elabOpts
extend_tcheck_data_limit
string
"0"
ams.elabOpts
sdf_precision
string
""
ams.elabOpts
disable_enht
boolean
nil
ams.elabOpts
discipline string
"logic"
ams.elabOpts
novitalaccl boolean
t
ams.elabOpts
pliNoOptWarn
boolean
nil
ams.elabOpts
noSource
boolean
nil
ams.elabOpts
epulse_neg boolean
nil
ams.elabOpts
libVerbose boolean
nil
ams.elabOpts
loadPLI1
string
""
ams.elabOpts
anno_simtime
boolean
nil
ams.elabOpts
no_tchk_xgen
boolean
nil
ams.elabOpts
extend_tcheck_reference_limit
string
"0"
ams.elabOpts
usePulseE boolean
nil
ams.elabOpts
unitsSimTime
string
"ns"
ams.elabOpts
usePulseIntR
boolean
nil
ams.elabOpts
sdf_no_warnings boolean
nil
ams.elabOpts
no_vpd_xgen boolean
nil
ams.elabOpts
usePulseIntE
boolean
nil
ams.elabOpts
sdf_worstcase_rounding boolean
nil
ams.elabOpts
epulseFiltering string
"None"
ams.elabOpts
errorMax
string
"50"
ams.elabOpts
pathpulse boolean
nil
ams.elabOpts
unitsSimPrecision string
"ns"
ams.elabOpts
loadVPI
string
""
ams.elabOpts
useExtendtcheckreferencelimit
boolean
ams.elabOpts
delay_mode string
"None"
ams.elabOpts
dresolution boolean
nil
ams.elabOpts
sdf_verbose boolean
nil
;ams simOpts partition variables
ams.simOpts pliNoWarn boolean
nil
ams.simOpts neverWarn boolean
nil
ams.simOpts messages
boolean
t
ams.simOpts profThread boolean
nil
ams.simOpts status
boolean
nil
ams.simOpts noWarn
string
"DLNOHV"
ams.simOpts additionalArg
string
""
ams.simOpts epulse_No_Msg
boolean
nil
ams.simOpts noCIFCheck boolean
nil
ams.simOpts pliNoOptWarn
boolean
nil
ams.simOpts extAssertMsg
boolean
nil
ams.simOpts input string
""
ams.simOpts profile
boolean
nil
ams.simOpts errorMax
string
"50"
ams.simOpts loadVPI
string
""
;ams opts partition variables
ams.opts
audit string
""
ams.opts
mos_vres
string
""
ams.opts
mos_method string
""
ams.opts
sensfileonly
string
""
ams.opts
opptcheck string
""
ams.opts
maxrsd
string
""
ams.opts
maxwarnstologfile string
""
ams.opts
reltol
string
"1e-3"
ams.opts
notation
string
""
ams.opts
approx
string
""
ams.opts
warn string
""
ams.opts
info string
""
ams.opts
quantities string
""
ams.opts
pivabs
string
""
ams.opts
compatible string
""
ams.opts
gmin string
"1e-12"
ams.opts
ignshorts string
""
ams.opts
rforce
string
"1"
ams.opts
homotopy
string
""
ams.opts
note string
""
ams.opts
iabstol
string
"1e-12"
ams.opts
debug string
""
ams.opts
tempeffects string
""
ams.opts
maxwarns
string
"5"
nil
ams.opts
vabstol
string
"1e-6"
ams.opts
macromodels string
""
ams.opts
tnom string
"27"
ams.opts
temp string
"27"
ams.opts
gmin_check string
""
ams.opts
minr string
""
ams.opts
narrate
string
""
ams.opts
maxnotes
string
"5"
ams.opts
scale string
"1.0"
ams.opts
diagnose
string
""
ams.opts
maxnotestologfile string
""
ams.opts
digits
string
"5"
ams.opts
pivotdc
string
""
ams.opts
pivrel
string
"1e-3"
ams.opts
scalem
string
"1.0"
ams.opts
additionalArgs
string
""
ams.opts
limit string
""
ams.opts
topcheck
string
""
ams.opts
inventory string
""
ams.opts
error string
""
;ams UltraSimOpts partition variables
ams.UltraSimOpts UsimPCADcpathCT string
"50u"
ams.UltraSimOpts UsimRAAgeDomain string
"loglog"
ams.UltraSimOpts rshort
string
"1e-6"
ams.UltraSimOpts UsimDCATimeIntervals
string
""
ams.UltraSimOpts UsimDCAVgsu string
""
ams.UltraSimOpts UsimDCASubckt
string
""
ams.UltraSimOpts UsimPCAFanout
string
"All Selected Nodes (0)"
ams.UltraSimOpts UsimUPS
toggle
(nil)
ams.UltraSimOpts simSave
boolean
nil
ams.UltraSimOpts UsimTAMaxPerTime string
""
ams.UltraSimOpts UsimUPSWaveformFile
string
""
ams.UltraSimOpts abstoli
string
"1.00e-12"
ams.UltraSimOpts UsimPAEnabled
boolean
nil
ams.UltraSimOpts ParasiticRCFile_DPF
string
""
ams.UltraSimOpts UsimNAOutputSort string
"name"
ams.UltraSimOpts UsimPCAPreserve string
"No"
ams.UltraSimOpts simSaveFile string
""
ams.UltraSimOpts UsimDCAVgbu string
""
ams.UltraSimOpts UsimDCAVdbl string
""
ams.UltraSimOpts UsimTASigNames
string
""
ams.UltraSimOpts dc
string
"Complete DC (1)"
ams.UltraSimOpts UsimPNANodeName string
""
ams.UltraSimOpts other string
""
ams.UltraSimOpts UsimPADepth string
"1"
ams.UltraSimOpts simRestart boolean
nil
ams.UltraSimOpts otherSpice string
""
ams.UltraSimOpts ParasiticRCFile_CAPFILE
string
""
ams.UltraSimOpts UsimDCAPreserve string
"No"
ams.UltraSimOpts wf_tres
string
"1e-12"
ams.UltraSimOpts UsimPNAAct toggle
(nil)
ams.UltraSimOpts UsimPATimeIntervals
string
""
ams.UltraSimOpts UsimDCAVsbl string
""
ams.UltraSimOpts UsimPCATimePoint string
""
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
UsimRAMode string
"HCI only"
temp string
"27"
rcr_fmax
string
"1e9"
simTimePoints
string
""
ParasiticRCFile_SPEF
string
""
UsimDCADurationTime
string
""
UsimPCADurationTime
string
"5n"
UsimPNASize toggle
(nil)
UsimTASigEdgeType string
"rise"
UsimPCAPSubckt
string
""
UsimRADeltaDToggle
boolean
t
tol
string
"0.01"
UsimTARefSigEdgeType
string
"rise"
wf_reltol string
""
wf_abstoli string
"1e-12"
wf_format string
"SST2"
UsimDCAVdbu string
""
ParasiticRCFile_SPF
string
""
UsimRAAgeMethod string
"interp"
vrInstanceNames string
""
UsimTASigLowThreshold string
""
UsimNAStop string
""
UsimTAMinLowTime string
""
UsimTAEnabled
boolean
nil
pn_max_res string
""
UsimNA
toggle
(nil)
UsimPCATimeChecked
string
"period"
postl string
"No RCR (0)"
UsimPCA
toggle
(nil)
abstolv
string
"1.00e-06"
UsimDCAVgdu string
""
UsimDCAInst string
""
UsimDCAVgdl string
""
UsimNAStart string
""
diode_method
string
"Analog Table (A)"
UsimUPSOutputNode string
""
UsimRAAgeproc
string
""
UsimTAMinHighTime string
""
UsimPCAElements string
""
UsimPA
toggle
(nil)
UsimDCAReportTitle
string
""
UsimRAMinAge
float 0.0
UsimTAMaxHighTime string
""
UsimTA
toggle
(nil)
tnom string
"27"
UsimUPSPowerNodes string
""
wf_abstolv string
"1e-6"
UsimDCAVgsl string
""
UsimPCASubcktChecked
string
""
UsimRADeltaD
float 0.1
simLoadFile string
""
UsimPCAReportTitle
string
""
UsimPNAElemThreshold
string
"10"
UsimDCAEnabled
boolean
nil
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
Points"
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
ams.UltraSimOpts
UsimPALimit string
""
UsimTARefSig
string
""
vrNodeNames string
""
mos_method string
"Analog/MS Table (A)"
UsimUPSIrPeakThreshold string
""
UsimUPSIrRmsThreshold string
""
UsimDCAProbe
string
"0"
UsimRANBTIAgeproc string
""
UsimTANegWindow string
""
UsimTARefSigHighThreshold
string
""
wf_filter boolean
t
UsimTASigHighThreshold string
""
UsimUPSAllWaveform
string
"Voltage for Tap
UsimTAMinPerTime string
""
UsimTAStop string
""
UsimTAReportTitle string
""
UsimPCAExiCT
string
"10u"
UsimPCASubcktExcluded string
""
UsimPNAConn toggle
(nil)
UsimUPSSpeed
string
"Aggressive (3)"
dump_step string
""
UsimDCAVdsu string
""
UsimPCAEnabled
boolean
nil
speed string
"Default (5)"
dcut boolean
nil
UsimPAPort string
""
UsimTASubcktName string
""
skip_subckt string
""
UsimPCAZNodes
string
""
UsimTAStart string
""
UsimDCAVgbl string
""
UsimPNANode toggle
(nil)
pn_method string
"short"
UsimPNA
toggle
(nil)
UsimNALimit string
""
UsimDCADisplaySetting string
""
UsimPCACheckType string
"Over Current"
UsimRAAgingTime string
"10y"
scale string
"1.0"
rvshort
string
"1e-6"
UsimPAName string
""
UsimPCATimeIntervals
string
""
analog
string
"Default (1)"
UsimTAMaxLowTime string
""
UsimPAOutputSort string
"avg"
UsimPAPower boolean
nil
skip_subckt_insts string
""
UsimTASetupTime string
""
UsimDCAVdsl string
""
lshort
string
"0"
dcut_field string
""
UsimDCAVsbu string
""
UsimTATrigger
string
"both"
ams.UltraSimOpts UsimPCAVSNodes
string
""
ams.UltraSimOpts simRepeat string
""
ams.UltraSimOpts UsimTADisplaySetting
string
""
ams.UltraSimOpts sim_mode
string
"Mixed Signal (MS)"
ams.UltraSimOpts cgndr string
"0"
ams.UltraSimOpts cgnd string
"1e-20"
ams.UltraSimOpts UsimDCAModel
string
""
ams.UltraSimOpts UsimRA
toggle
(nil)
ams.UltraSimOpts UsimUPSIrDropIteration string
"1"
ams.UltraSimOpts UsimTARefSigLowThreshold
string
""
ams.UltraSimOpts UsimTACheckType string
"setup"
ams.UltraSimOpts skip boolean
nil
ams.UltraSimOpts UsimPCAZXSubckt string
""
ams.UltraSimOpts UsimUPSIrAvgThreshold string
""
ams.UltraSimOpts UsimNASortIs
string
"inc"
ams.UltraSimOpts UsimPCADisplaySetting string
""
ams.UltraSimOpts scalem
string
"1.0"
ams.UltraSimOpts vrCellNames string
""
ams.UltraSimOpts UsimNANodeNames string
""
ams.UltraSimOpts UsimPADisplaySetting
string
""
ams.UltraSimOpts UsimTADepth string
""
ams.UltraSimOpts UsimDCA
toggle
(nil)
ams.UltraSimOpts lvshort
string
"0"
;ams outputs partition variables
ams.outputs currents
string
"selected"
ams.outputs outputParamInfo boolean
t
ams.outputs modelParamInfo
boolean
t
ams.outputs portType
string
"Input"
ams.outputs netLevelsToSave string
""
ams.outputs currentLevels
string
""
ams.outputs currentLevelsToSave
string
""
ams.outputs domainFilter
string
""
ams.outputs netLevels string
""
ams.outputs elementInfo boolean
t
ams.outputs save string
"selected"
;ams init partition variables
ams.init
processPriority int
0
;ams envOpts partition variables
ams.envOpts stopViewList
string
"spectre verilog veriloga"
ams.envOpts selectRules string
"Built-in"
ams.envOpts runElabOnly toggle
(t nil)
ams.envOpts SpecialHandleForDigLibDirs
boolean
nil
ams.envOpts switchViewList
string
"spectre verilog veriloga
cmos_sch cmos.sch schematic ahdl symbol"
ams.envOpts useSPPFile string
""
ams.envOpts enableArclength boolean
nil
ams.envOpts solver
string
"Spectre"
ams.envOpts spp
string
""
ams.envOpts compatMode string
"spectre"
ams.envOpts runOpts
string
"Batch (normal)"
ams.envOpts netlisterMode
string
"Cellview-based"
ams.envOpts rule string
"ConnRules_5V_full"
ams.envOpts runSimOnly toggle
(t)
ams.envOpts paramRangeCheckFile
string
""
ams.envOpts SpecialHandleForDigitalBlock boolean
nil
ams.envOpts connectRulesPath string
""
ams.envOpts SpecialHandleForDigLibFiles boolean
nil
ams.envOpts runCompOnly toggle
(t nil)
ams.envOpts invocationStyle string
"ncvlog, ncelab, ncsim"
;ams compilerOpts partition variables
ams.compilerOpts compileAsAMSVHDL boolean
nil
ams.compilerOpts relax boolean
nil
ams.compilerOpts maxErrors string
"50"
ams.compilerOpts messages
boolean
t
ams.compilerOpts nowarnVHDL string
"DLNOHV"
ams.compilerOpts ncvlogArguments string
""
ams.compilerOpts status
boolean
nil
ams.compilerOpts ncvhdlArguments string
""
ams.compilerOpts v93
boolean
t
ams.compilerOpts compileExcludeLibs
string
""
ams.compilerOpts vitalcheck boolean
t
ams.compilerOpts hdlVarFile string
""
ams.compilerOpts incdir
string
""
ams.compilerOpts compileAsAMSVlog boolean
nil
ams.compilerOpts neverwarn boolean
nil
ams.compilerOpts linedebug boolean
nil
ams.compilerOpts macroValue string
""
ams.compilerOpts macroName string
""
ams.compilerOpts nowarnVlog string
"DLNOHV"
;ams netlisterOpts partition variables
ams.netlisterOpts vlogSupply0Sigs string
""
ams.netlisterOpts templateScript
string
""
ams.netlisterOpts vlogSupply1Sigs string
""
ams.netlisterOpts amsmaxErrors
string
"50"
ams.netlisterOpts headerText string
"none"
ams.netlisterOpts templateFile
string
""
ams.netlisterOpts amsVerbose boolean
nil
ams.netlisterOpts includeFiles
string
"disciplines.vams
userDisciplines.vams"
ams.netlisterOpts vlogGroundSigs
string
"gnd!"
;ams ncverilogOpts partition variables
ams.ncverilogOpts libDirs
string
""
ams.ncverilogOpts pliNoWarn boolean
nil
ams.ncverilogOpts maxErrors string
""
ams.ncverilogOpts messages
boolean
nil
ams.ncverilogOpts status
boolean
nil
ams.ncverilogOpts additionalArg
string
""
ams.ncverilogOpts libFiles
string
""
ams.ncverilogOpts optsFile
string
""
ams.ncverilogOpts pliNoOptWarn
boolean
nil
ams.ncverilogOpts loadPLI1
string
""
ams.ncverilogOpts anno_simtime
boolean
nil
ams.ncverilogOpts neverwarn boolean
nil
ams.ncverilogOpts nowarnVlog string
"DLNOHV"
ams.ncverilogOpts loadVPI
string
""
;ams tran partition variables
ams.tran
enable
toggle
(nil)
ams.tran
stop string
""
ams.tran
errpreset string
""
;ams tranOpts partition variables
ams.tranOpts
start string
""
ams.tranOpts
step string
""
ams.tranOpts
readic
string
""
ams.tranOpts
write string
"spectre.ic"
ams.tranOpts
writefinal string
"spectre.fc"
ams.tranOpts
method
string
""
ams.tranOpts
skipstart string
""
ams.tranOpts
skipstop
string
""
ams.tranOpts
skipcount string
""
ams.tranOpts
strobeperiod
string
""
ams.tranOpts
strobedelay string
""
ams.tranOpts
infotimes string
""
ams.tranOpts
finalTimeOp string
"yes"
ams.tranOpts
additionalParams string
""
ams.tranOpts
maxstep
string
""
ams.tranOpts
transres
string
""
ams.tranOpts
ic
string
""
ams.tranOpts
skipdc
string
""
ams.tranOpts
readns
string
""
ams.tranOpts
cmin string
""
ams.tranOpts
relref
string
""
ams.tranOpts
lteratio
string
""
ams.tranOpts
fastbreak string
""
ams.tranOpts
maxiters
string
"5"
ams.tranOpts
annotate
string
"status"
ams.tranOpts
stats string
""
ams.tranOpts
title string
""
ams.tranOpts
outputstart string
""
ams.tranOpts
maxstep_window
string
""
ams.tranOpts
maxstepU
string
""
ams.tranOpts
max_start string
""
ams.tranOpts
max_stop
string
""
ams.tranOpts
max_subckt string
""
;ams ac partition variables
ams.ac
enable
toggle
(nil)
ams.ac
sweep string
"Frequency"
ams.ac
rangeType string
"Start-Stop"
ams.ac
sweepChange boolean
nil
ams.ac
start string
""
ams.ac
stop string
""
ams.ac
center
string
""
ams.ac
span string
""
ams.ac
incrType
string
"Automatic"
ams.ac
stepTypeLin string
"Step Size"
ams.ac
stepTypeLog string
"Points Per Decade"
ams.ac
step string
""
ams.ac
lin
string
""
ams.ac
dec
string
""
ams.ac
log
string
""
ams.ac
useDiscrete boolean
nil
ams.ac
values
string
""
ams.ac
special
string
"None"
;ams acOpts partition variables
ams.acOpts readns
string
""
ams.acOpts prevoppoint string
""
ams.acOpts save string
""
ams.acOpts nestlvl
string
""
ams.acOpts oppoint
string
""
ams.acOpts restart
string
""
ams.acOpts annotate
string
"status"
ams.acOpts stats string
""
ams.acOpts title string
""
ams.acOpts additionalParams string
""
;ams dc partition variables
ams.dc
enable
toggle
(nil)
ams.dc
saveOppoint boolean
nil
ams.dc
sweep string
""
ams.dc
sweepChange boolean
nil
;ams dcOpts partition variables
ams.dcOpts additionalParams string
""
;AMS-Direct Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
amsDirect amsCompMode boolean
nil
amsDirect amsLSB_MSB boolean
nil
amsDirect amsScalarInstances
boolean
t
amsDirect includeInstCdfParams
boolean
nil
amsDirect amsVerbose boolean
nil
amsDirect confirmADEStateImport boolean
t
amsDirect useRunDirNetlistsOnly boolean
t
amsDirect useEffectiveCDF boolean
nil
amsDirect netlistToRunDir boolean
nil
amsDirect amsMaxErrors
int
50
amsDirect amsExcludeParams string
""
amsDirect hdlVarFile string
""
amsDirect simRunDirLoc
string
""
amsDirect logFileName string
"ams_direct.log"
amsDirect amsDefinitionViews
string
""
amsDirect initFile
string
""
amsDirect artistStateDirectory
string
"~/.artist_states"
amsDirect amsExpScalingFactor
cyclic
"no"
amsDirect modifyParamScope cyclic
"no"
amsDirect implicitTmpDir
string
""
amsDirect defaultRunDir
string
""
amsDirect.prep
allowUndefParams boolean
t
amsDirect.prep
detailedDisciplineRes boolean
nil
amsDirect.prep
forceGlobalSync boolean
nil
amsDirect.prep
ncsimGUI
boolean
t
amsDirect.prep
ncsimTcl
boolean
nil
amsDirect.prep
runNcelab boolean
t
amsDirect.prep
runNcsim
boolean
t
amsDirect.prep
use5xForVHDL
boolean
t
amsDirect.prep
ncelabAnnoSimtime boolean
nil
amsDirect.prep
ncelabCoverage
boolean
nil
amsDirect.prep
ncelabEpulseNeg boolean
nil
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
ncelabIeee1634
boolean
nil
ncelabInterconnmultisrc
boolean
nil
ncelabLibverbose boolean
nil
ncelabMessages
boolean
nil
ncelabNostdout
boolean
nil
ncelabNoTchkMsg boolean
nil
ncelabNoTchkXgen boolean
nil
ncelabNotimingchecks
boolean
nil
ncelabNovitalaccl boolean
t
ncelabNoVpdmsg
boolean
nil
ncelabNoVpdXgen boolean
nil
ncelabNtcWarn
boolean
nil
ncelabPathpulse boolean
nil
ncelabPlinooptwarn
boolean
nil
ncelabPlinowarn boolean
nil
ncelabPresrvResFn boolean
nil
ncelabSdfNocheckCelltype
boolean
nil
ncelabSdfNoHeader boolean
nil
ncelabSdfNoWarnings
boolean
nil
ncelabSdfWorstcaseRounding
boolean
nil
ncelabStatus
boolean
t
ncelabUpdate
boolean
t
ncelabV93 boolean
nil
ncelabNosource
boolean
nil
ncelabUseAfile
boolean
nil
ncelabUseGenafile boolean
nil
ncelabExpand
boolean
nil
ncelabRelax boolean
nil
ncelabDisableenht boolean
nil
ncelabNonegtchk boolean
nil
ncelabNoipd boolean
nil
ncelabSdfverbose boolean
nil
ncelabNoautosdf boolean
nil
ncelabNeverwarn boolean
nil
ncelabNocopyright boolean
nil
ncelabUseGeneric boolean
nil
ncelabUsePulseR boolean
nil
ncelabUsePulseE boolean
nil
ncelabUsePulseIntR
boolean
nil
ncelabUsePulseIntE
boolean
nil
ncelabUseExtendtcheckdatalimit
boolean
nil
ncelabUseExtendtcheckreferencelimit
boolean
ncelabNonotifier boolean
nil
ncelabUseSdfprecision boolean
nil
ncsimEpulseNoMsg boolean
nil
ncsimExtassertmsg boolean
nil
ncsimMessages
boolean
nil
ncsimNeverwarn
boolean
nil
ncsimNocifcheck boolean
nil
ncsimNosource
boolean
nil
ncsimNostdout
boolean
nil
ncsimPlinooptwarn boolean
nil
ncsimPlinowarn
boolean
nil
ncsimProfile
boolean
nil
nil
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
amsDirect.prep
ncsimProfthread boolean
nil
ncsimRedmem boolean
nil
ncsimStatus boolean
nil
ncsimUnbuffered boolean
nil
ncsimUpdate boolean
t
ncelabUseAddArgs boolean
nil
ncsimUseAddArgs boolean
nil
wfDefInstSaveCurrents boolean
nil
wfDefInstCSaveAll boolean
nil
wfDefInstSaveVoltages boolean
t
wfDefInstVSaveAll boolean
nil
ncelabMixEsc
boolean
nil
useNcelabSdfCmdFile
boolean
t
useNcelabNowarn boolean
t
useSimVisScriptFile
boolean
t
useNcsimNowarn
boolean
t
wfFilter
boolean
nil
ncelabMaxErrors int
50
ncelabPulseE
int
100
ncelabPulseIntE int
100
ncelabPulseIntR int
100
ncelabPulseR
int
100
ncelabExtendtcheckdatalimit int
0
ncelabExtendtcheckreferencelimit int
0
ncsimMaxErrors
int
50
wfDefInstCSaveLvl int
1
wfDefInstVSaveLvl int
1
analogControlFile string
""
cdsGlobalsLib
string
""
cdsGlobalsView
string
""
compileExcludeLibs
string
""
connectRulesCell string
"mixedsignal"
connectRulesCell2 string
"ConnRules_5V_full"
connectRulesLib string
""
connectRulesView string
""
discipline string
"logic"
language
string
"verilog"
ncelabArguments string
""
ncsimArguments
string
""
simVisScriptFile string
""
timescale string
"1ns/1ns"
vlogGroundSigs
string
"gnd!"
vlogSupply0Sigs string
""
vlogSupply1Sigs string
""
ncelabAfile string
""
ncelabGenafile
string
""
ncelabLoadpli1
string
""
ncelabLoadvpi
string
""
ncelabSdfCmdFile string
""
ncelabTopLvlGeneric
string
""
ncelabSdfprecision
string
""
ncelabNowarn
string
""
ncsimLoadvpi
string
""
ncsimNowarn string
""
amsDirect.prep
ncelabModelFilePaths
string
""
amsDirect.prep
ncelabmodelIncDirs
string
""
amsDirect.prep
ncelabsolverInfo string
"Spectre"
amsDirect.prep
wfDefaultDatabase string
"waves"
amsDirect.prep
compileMode cyclic
"incremental"
amsDirect.prep
netlistMode cyclic
"incremental"
amsDirect.prep
ncelabAccess
cyclic
"Read"
amsDirect.prep
ncelabDelayMode cyclic
"None"
amsDirect.prep
ncelabDelayType cyclic
"None"
amsDirect.prep
ncelabEpulseFiltering cyclic
"None"
amsDirect.prep
ncelabLogFileAction
cyclic
"Overwrite log file"
amsDirect.prep
ncelabOmichecklvl cyclic
"Standard"
amsDirect.prep
ncelabVipdelay
cyclic
"Typical"
amsDirect.prep
ncsimLogFileAction
cyclic
"Overwrite log file"
amsDirect.prep
ncsimOmichecklvl cyclic
"None"
amsDirect.prep
wfDefInstVSaveObjects cyclic
"All_data"
amsDirect.prep
wfFilterSpec
cyclic
"none"
amsDirect.simcntl scapprox
boolean
nil
amsDirect.simcntl scdebug
boolean
nil
amsDirect.simcntl scdiagnose boolean
nil
amsDirect.simcntl scerror
boolean
t
amsDirect.simcntl scignshorts boolean
nil
amsDirect.simcntl scinfo
boolean
t
amsDirect.simcntl scmacromod boolean
nil
amsDirect.simcntl scnarrate boolean
t
amsDirect.simcntl scnote
boolean
t
amsDirect.simcntl scopptcheck boolean
t
amsDirect.simcntl scpivotdc boolean
nil
amsDirect.simcntl scscfusefileflag boolean
nil
amsDirect.simcntl scspscflag boolean
nil
amsDirect.simcntl scstats
boolean
nil
amsDirect.simcntl scwarn
boolean
t
amsDirect.simcntl scfastbreak boolean
nil
amsDirect.simcntl scusemodeleval
boolean
nil
amsDirect.simcntl useScscfincfile boolean
t
amsDirect.simcntl useScaddlglblopts boolean
t
amsDirect.simcntl useScaddltranopts boolean
t
amsDirect.simcntl useUsimTranAddlOptions boolean
t
amsDirect.simcntl useScic
boolean
t
amsDirect.simcntl useScreadic boolean
t
amsDirect.simcntl useScreadns boolean
t
amsDirect.simcntl useScwrite boolean
t
amsDirect.simcntl useScwritefinal boolean
t
amsDirect.simcntl dcop boolean
nil
amsDirect.simcntl usimWFFilter
boolean
t
amsDirect.simcntl usimenableTA
boolean
nil
amsDirect.simcntl usimenablePA
boolean
nil
amsDirect.simcntl usimenableNA
boolean
nil
amsDirect.simcntl usimenableRA
boolean
nil
amsDirect.simcntl usimDcut
boolean
nil
amsDirect.simcntl usimRADeltaDToggle
boolean
t
amsDirect.simcntl usimUseAddlOptions
boolean
t
amsDirect.simcntl scdigits
int
5
amsDirect.simcntl scmaxiters int
5
amsDirect.simcntl scmaxnotes int
5
amsDirect.simcntl scmaxwarn int
5
amsDirect.simcntl scscale
int
1
amsDirect.simcntl scscalem
int
1
amsDirect.simcntl scskipcount int
0
amsDirect.simcntl scspeed
int
0
amsDirect.simcntl scmaxnotestologfile
int
5
amsDirect.simcntl usimSpeed int
5
amsDirect.simcntl scmaxwarntologfile
int
5
amsDirect.simcntl sccmin
string
"0.0"
amsDirect.simcntl scaddlglblopts
string
""
amsDirect.simcntl scaddltranopts
string
""
amsDirect.simcntl usimTranAddlOptions
string
""
amsDirect.simcntl scgmin
string
"1e-12"
amsDirect.simcntl sciabstol string
"1e-12"
amsDirect.simcntl scicstmt
string
""
amsDirect.simcntl sclteratio string
""
amsDirect.simcntl scmaxstep string
""
amsDirect.simcntl scpivabs
string
"0.0"
amsDirect.simcntl scpivrel
string
"1e-3"
amsDirect.simcntl screadic
string
""
amsDirect.simcntl screadns
string
""
amsDirect.simcntl screltol
string
""
amsDirect.simcntl scrforce
string
"1.0"
amsDirect.simcntl scalem
string
"1.0"
amsDirect.simcntl scale string
"1.0"
amsDirect.simcntl scscfincfile
string
""
amsDirect.simcntl scscftimestamp
string
""
amsDirect.simcntl scskipstart string
"0.0"
amsDirect.simcntl scskipstop string
"0.0"
amsDirect.simcntl scstep
string
""
amsDirect.simcntl scstop
string
"0.0"
amsDirect.simcntl scstrobedelay
string
"0.0"
amsDirect.simcntl scstrobeperiod
string
"0.0"
amsDirect.simcntl sctemp
string
"27.0"
amsDirect.simcntl sctitle
string
""
amsDirect.simcntl sctnom
string
"27.0"
amsDirect.simcntl scvabstol string
"1e-6"
amsDirect.simcntl scwrite
string
""
amsDirect.simcntl scwritefinal
string
""
amsDirect.simcntl scmosvres string
"0.05"
amsDirect.simcntl scmaxrsd
string
""
amsDirect.simcntl usimTol
string
"0.01"
amsDirect.simcntl usimAbstolv string
"1e-6"
amsDirect.simcntl usimAbstoli string
"1e-12"
amsDirect.simcntl usimLshort string
"0.0"
amsDirect.simcntl usimLvshort string
"0.0"
amsDirect.simcntl usimRshort string
"1e-6"
amsDirect.simcntl usimRvshort string
"1e-6"
amsDirect.simcntl usimCgnd
string
"1e-20"
amsDirect.simcntl usimCgndr string
"0"
amsDirect.simcntl usimRcrfmax string
"1e9"
amsDirect.simcntl start string
"0.0"
amsDirect.simcntl usimMaxstep string
""
amsDirect.simcntl usimMaxstepStart string
""
amsDirect.simcntl usimMaxstepStop string
""
amsDirect.simcntl usimMaxstepSubckt string
""
amsDirect.simcntl usimWFReltol
string
"0.0"
amsDirect.simcntl usimWFAbstolv
string
"1e-6"
amsDirect.simcntl usimWFAbstoli
string
"1e-12"
amsDirect.simcntl usimWFTres string
"1e-12"
amsDirect.simcntl usimDumpStep
string
""
amsDirect.simcntl usimNALimit string
"0"
amsDirect.simcntl usimRAAgingTime string
"10y"
amsDirect.simcntl usimRAAgeproc
string
""
amsDirect.simcntl usimRANBTIAgeproc string
""
amsDirect.simcntl usimRADeltaD
string
"0.1"
amsDirect.simcntl usimRAMinAge
string
"0.0"
amsDirect.simcntl usimDcutField
string
""
amsDirect.simcntl paramRangeCheckFile
string
""
amsDirect.simcntl usimVectorFile
string
""
amsDirect.simcntl usimVcdFile string
""
amsDirect.simcntl usimOutputStart string
"0.0"
amsDirect.simcntl usimSpfFile string
""
amsDirect.simcntl usimSpefFile
string
""
amsDirect.simcntl usimDpfFile string
""
amsDirect.simcntl usimCapFile string
""
amsDirect.simcntl usimVcdInfoFile string
""
amsDirect.simcntl usimAddlOptions string
""
amsDirect.simcntl scglobalminr
string
"0.0"
amsDirect.simcntl scannotate cyclic
"status"
amsDirect.simcntl scaudit
cyclic
"detailed"
amsDirect.simcntl sccheckstmt cyclic
"all"
amsDirect.simcntl sccompatible
cyclic
"spectre"
amsDirect.simcntl scerrpreset cyclic
"moderate"
amsDirect.simcntl scgmincheck cyclic
"max_v_only"
amsDirect.simcntl schomotopy cyclic
"all"
amsDirect.simcntl scic cyclic
"all"
amsDirect.simcntl scinventory cyclic
"detailed"
amsDirect.simcntl sclimit
cyclic
"dev"
amsDirect.simcntl scmethod
cyclic
"<Default value>"
amsDirect.simcntl scnotation cyclic
"eng"
amsDirect.simcntl scquantities
cyclic
"no"
amsDirect.simcntl screlref
cyclic
"<Default value>"
amsDirect.simcntl scskipdc
cyclic
"no"
amsDirect.simcntl sctempeffects
cyclic
"all"
amsDirect.simcntl sctopcheck cyclic
"full"
amsDirect.simcntl sctransave cyclic
"allpub"
amsDirect.simcntl scmodelevaltype cyclic
"s"
amsDirect.simcntl usimSimMode cyclic
"Mixed signal"
amsDirect.simcntl usimAnalog cyclic
"Default"
amsDirect.simcntl usimDCMethod
cyclic
"Complete DC"
amsDirect.simcntl usimPostl cyclic
"No RCR"
amsDirect.simcntl usimDiodeMethod cyclic
"Analog table"
amsDirect.simcntl usimMosMethod
cyclic
"Analog/MS table"
amsDirect.simcntl usimNAOutputSort cyclic
"max_vo"
amsDirect.simcntl usimNASortIs
cyclic
"inc"
amsDirect.simcntl usimRAMode cyclic
"HCI only"
amsDirect.simcntl usimRAAgeMethod cyclic
"interp"
amsDirect.simcntl usimRAAgeDomain cyclic
"loglog"
amsDirect.simcntl simcompat cyclic
"spectre"
amsDirect.vhdl
allowNoEntityUpdate
boolean
nil
amsDirect.vhdl
checkAndNetlist boolean
nil
amsDirect.vhdl
checkOnly boolean
nil
amsDirect.vhdl
compileAsAMS
boolean
t
amsDirect.vhdl
forceEntitySync boolean
nil
amsDirect.vhdl
forceInlineCompDecl
boolean
nil
amsDirect.vhdl
netlistAfterCdfChange boolean
nil
amsDirect.vhdl
prohibitCompile boolean
nil
amsDirect.vhdl
useProcessViewNamesOnly
boolean
nil
amsDirect.vhdl
lexpragma boolean
nil
amsDirect.vhdl
messages
boolean
nil
amsDirect.vhdl
neverwarn boolean
nil
amsDirect.vhdl
nopragmawarn
boolean
nil
amsDirect.vhdl
nostdout
boolean
nil
amsDirect.vhdl
novitalcheck
boolean
nil
amsDirect.vhdl
pragma
boolean
nil
amsDirect.vhdl
relax boolean
nil
amsDirect.vhdl
status
boolean
nil
amsDirect.vhdl
update
boolean
t
amsDirect.vhdl
v93
boolean
t
amsDirect.vhdl
vhdllinedebug
boolean
nil
amsDirect.vhdl
ncvhdlUseAddArgs boolean
nil
amsDirect.vhdl
useNowarn boolean
t
amsDirect.vhdl
maxErrors int
50
amsDirect.vhdl
amsEligibleViewTypes
string
"schematic
schematicSymbol"
amsDirect.vhdl
defaultObject
string
"quantity"
amsDirect.vhdl
defaultScalarNature
string
"real"
amsDirect.vhdl
defaultScalarType string
"quantity"
amsDirect.vhdl
defaultVectorNature
string
"real_vector"
amsDirect.vhdl
defaultVectorType string
"quantity"
amsDirect.vhdl
defPackageNames string
""
amsDirect.vhdl
excludeViewNames string
""
amsDirect.vhdl
ncvhdlArguments string
""
amsDirect.vhdl
processViewNames string
""
amsDirect.vhdl
templateFile
string
""
amsDirect.vhdl
templateScript
string
""
amsDirect.vhdl
logFileAction
cyclic
"Overwrite log file"
amsDirect.vhdl
nowarn
string
""
amsDirect.vhdl
allowDeviantBuses cyclic
"no"
amsDirect.vhdl
allowIllegalIdentifiers
cyclic
"warn"
amsDirect.vhdl
allowNameCollisions
cyclic
"warn"
amsDirect.vhdl
allowPortNetMismatches cyclic
"no"
amsDirect.vhdl
allowSparseBuses cyclic
"warn"
amsDirect.vhdl
headerText cyclic
"none"
amsDirect.vlog
checkAndNetlist boolean
nil
amsDirect.vlog
checkOnly boolean
nil
amsDirect.vlog
compileAsAMS
boolean
t
amsDirect.vlog
ifdefLanguageExtensions
boolean
nil
amsDirect.vlog
netlistAfterCdfChange boolean
nil
amsDirect.vlog
prohibitCompile boolean
nil
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
amsDirect.vlog
useDefparam boolean
nil
useProcessViewNamesOnly
boolean
nil
checktasks boolean
nil
ieee1364
boolean
nil
noline
boolean
nil
lexpragma boolean
nil
markcelldefines boolean
nil
messages
boolean
nil
neverwarn boolean
nil
nomempack boolean
nil
nopragmawarn
boolean
nil
nostdout
boolean
nil
pragma
boolean
nil
status
boolean
nil
update
boolean
t
vloglinedebug
boolean
nil
ncvlogUseAddArgs boolean
nil
netlistUDFAsMacro boolean
nil
bindCdsAliasLib boolean
t
bindCdsAliasView boolean
t
verboseUpdate
boolean
t
useNowarn boolean
t
maxErrors int
50
amsEligibleViewTypes
string
"schematic"
excludeViewNames string
""
includeFiles
string
"(disciplines.vams)"
ncvlogArguments string
""
paramDefVals
string
""
paramGlobalDefVal string
"0"
processViewNames string
""
templateFile
string
""
templateScript
string
""
incdir
string
""
macro string
""
nowarn
string
""
allowDeviantBuses cyclic
"no"
allowIllegalIdentifiers
cyclic
"warn"
allowNameCollisions
cyclic
"warn"
allowSparseBuses cyclic
"warn"
headerText cyclic
"none"
logFileAction
cyclic
"Overwrite log file"
iterInstExpFormat string
"%b_%i"
netClashFormat
string
"%b_netclash"
instClashFormat string
"%b_instclash"
aliasInstFormat string
"ams_alias_inst_%i"
;Analog Artist Simulation Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
;Session Options
asimenv
windowBased boolean
t
asimenv
schematicBased
boolean
nil
asimenv
saveDir
string
"~/.artist_states"
asimenv
saveAsCellview
boolean
nil
asimenv
saveQuery boolean
t
asimenv
loadCorners boolean
t
asimenv
designEditMode
boolean
nil;edit/read open mode
asimenv
showWhatsNew
string
"yes"
asimenv.startup simulator string
"spectre"
asimenv.startup projectDir string
"~/simulation"
asimenv.startup hostMode
string
"local"
asimenv.startup host string
""
asimenv.startup remoteDir string
""
asimenv.startup digitalHostMode string
"local"
asimenv.startup digitalHost string
""
asimenv.startup filteredSimList string
""
asimenv.startup showCdsSpice
boolean
nil
asimenv.startup amsOSSNetlisterFlag
boolean
nil
asimenv.startup cds_ade_wftool
string
"wavescan"
;Window Options
asimenv.window
x
int
1
asimenv.window
y
int
317
;Load State Dialog
asimenv.loadstate modelSetup boolean
t
;when set to nil, loadstate form opens with Model Setup deselected by
default
;Plotting Options
asimenv.plotting autoPlot
boolean
t
asimenv.plotting artistPlottingMode
string
"Replace"
asimenv.plotting directPlotPlottingMode string
"Append"
asimenv.plotting immediatePlot
boolean
nil
asimenv.plotting designName boolean
t
asimenv.plotting simulationDate
boolean
t
asimenv.plotting temperature boolean
nil
asimenv.plotting variables boolean
nil
asimenv.plotting scalarOutputs
boolean
nil
asimenv.plotting icons boolean
t
asimenv.plotting fontSize
int
11
asimenv.plotting width int
564
asimenv.plotting height
int
428
asimenv.plotting x
int
577
asimenv.plotting y
int
373
asimenv.plotting displayMode cyclic
"auto"
asimenv.plotting stripModeType
cyclic
"auto"
asimenv.plotting immediatePrint
boolean
t
asimenv.plotting printInlines
boolean
t
;Command-Type-In Window
asimenv.window
width int
650
asimenv.window
height
int
275
;Parametric Analysis
asimenv.paraplot deleteDataWhenStopped boolean
nil
;MonteCarlo
asimenv.monte
seedValue string
"1"
asimenv.monte
mcStateDir string
""
asimenv.monte
mcStateFile string
""
;Miscellaneous
asimenv.misc
saveDefaultsToOCEAN
boolean
nil
asimenv.misc
numberOfSavedRuns int
0
asimenv.misc
browserCenterMode boolean
nil
asimenv.misc
oceanScriptFile string
"./oceanScript.ocn"
asimenv.misc
paraplotUpdateSimulatorLog
boolean
nil
asimenv.misc
doNotDeleteCornersData boolean
nil
asimenv.userPref printCommentChar string
"#"
;Noise summary options
asimenv.noiseSummary
digits
int
6
asimenv.noiseSummary
percentDecimals int
2
;obsolete simulator startup form variables
asimenv.startup sessionName string
"schematic"
asimenv.startup libName
string
""
asimenv.startup cellName
string
""
asimenv.startup viewName
string
""
asimenv.startup versionName string
""
asimenv.netlist obsoleteWarnings int
1
;Distributed Processing
;Please do not add any options other than for Artist Distributed
;below this point.
;asimenv.startup hostMode - value of 'distributed' enables distributed
processing
asimenv.distributed
externalServer
boolean
nil
;If set non-nil, the job server is started remotely.
asimenv.distributed
autoJobSubmit
boolean
nil
;If set non-nil, job setup form is NOT presented to user at job submit
time.
asimenv.distributed
showMessages
boolean
t
;If set non-nil, a message is displayed in the CIW or OCEAN terminal
;when a job is completed.
asimenv.distributed
queueName string
""
;Sets the default queue name. If unspecified, system default is used.
asimenv.distributed
hostName
string
""
;Sets the default host name. If unspecified, host is automatically
selected.
asimenv.distributed
startTime string
""
;Sets the default start time for a job (in 24 hr. format). If
unspecified, job runs immediately.
asimenv.distributed
startDay
cyclic
"today"
;Sets the default start day for a job. If `today', then job will always
run on the same day it is submitted
asimenv.distributed
expTime
string
""
;Sets the default expiration time for a job (in 24 hr. format). If
unspecified, expiration time
;is based on the value of the `timeLimit' variable.
asimenv.distributed
expDay
cyclic
"today"
;Sets the default expiration day for a job. If `today', then job will
always run on the same day it is submitted
asimenv.distributed
timeLimit cyclic
"none"
;Sets the default time limit for a job. If `none', then no time limit is
imposed.
;If `unspecified', then expiration time is based on value of `expTime'
and `expDay' variables.
asimenv.distributed
emailNotify boolean
t
;If set non-nil, email notification is provided following job
termination.
asimenv.distributed
mailTo
string
""
;Sets the default list of users who will receive job termination
notification email.
;If unspecified, and emailNotify is t, then default value is the user's
id.
asimenv.distributed
logsInEmail boolean
t
;If set non-nil, stdout and stderr logs will be included in the
termination email.
asimenv.distributed
stateFile string
"~/.adpState"
;Sets the filename containing the job server's state.
asimenv.distributed
daysBeforeExpire int
3
;Specifies the number of days after which terminated jobs will be deleted
from
;the job server.
asimenv.distributed
block boolean
nil
;If set non-nil, the process will be blocked until the job has completed.
asimenv.distributed
copyMode
boolean
nil
;If set non-nil, the input data for the job is copied to /tmp on the
execution host,
;the job is run there locally (i.e. no network read/write), and the
output data is
;copied back to the submission host.
asimenv.distributed
copyModeDir string
"/tmp"
;The directory, relative to the execution host, that will be used for
setting up the
;working directory of a copy mode job.
asimenv.distributed
loginShell cyclic
"none"
;Specifies login shell for the job. If `none', then the user's local
environment
;is copied over to the execution host and used as the job's environment.
asimenv.distributed
numOfTasks int
0
;Specifies the default number of tasks a job should be broken into.
;This is used by the Monte Carlo tool.
;If zero, then number of tasks is bases on queue and/or host settings
asimenv.distributed
jobArgsInOceanScript
boolean
nil
;Indicates job arguments should be added to run commands when
;OCEAN script is generated.
asimenv.distributed
puttogetherqueue string
""
;Used to specify the queue to be used for Put Together Job
asimenv.distributed
copyNetlist boolean
nil
;Used to specify whether netlist directory needs to be copied from
execution
;host to submission host. This may be required if during simulation, some
files
;are generated under netlist dir
;Used to specify whether to remove the job from DP database after job
completion.
;So that, if set to t, the same job name is used repeatedly.
;Used to specify whether list option for specifying hosts needs to be
displayed
;in Job Submit Form. If set to nil the list option will not be displayed.
;Used to specify the default value for Shell_Cmd_at_Finish as available
in job
;submit form.
asimenv.distributed
logsDir
string
"/tmp"
;Used to specify the directory where the log files would be generated
asimenv.distributed
mailAllLogs boolean
nil
;Used to specify whether to send the log files in mail for all the tasks
;If set to t, log files would be mailed for each task and a summary mail
would be
;sent after completion of all the tasks. If set to nil just a summary
mail would be
;sent after completion of all the tasks
asimenv.distributed
logFile
string
"~/cdsDPSetupChk.log"
asimenv.distributed
clockSync boolean
nil
asimenv.distributed
lsfResourceString string
""
;Used to specify the Default LSF Resource String
asimenv.distributed
donotCopyCore
boolean
t
;Used to specify whether DP need to filter the core files while copying
the directories
;If set to t then all the files with name starting from core will be
filtered out
;If set to nil then all the files will be copied
;Used to specify the Default DRMS Command
asimenv.distributed
userDefinedJobName
string
""
;Used to specify User Defined Job Name string
;to allow user to override default name `Job'
asimenv.distributed
bypassNetlist
boolean
nil
;If this variable is set then in distributed mode, the netlisting step is
not
;done for all the tasks of a multitask job.
asimenv.distributed
logsInPsf boolean
nil
;If this variable is set, then the stdout and stderr log files will be
stored
;in the psf directory corresponding to the task
asimenv.distributed
queueNameExclusionList string
""
;List of queue names that are not to be shown/used while running
;DP jobs
;
Copyright (C) 1991
;
Cadence Design Systems, Analog Division
;
All Rights Reserved.
; $Source: /cvsrep/group/artist/src/auCdl/cdsenv,v $
; $Author: cvsadm $
; $Revision: 1.1 $
; $Date: 2003/10/06 04:16:43 $
; $State: Exp $
;auCdl Environment Variables
;For cell-view to cell-view:
auCdl.envOpts
defaultSubcircuitCall string
"ansCdlSubcktCall"
;auCore Environment Variables
;Note: The tool registration file should not be edited.
;Customization should be based on the file in <cdshier>/samples/.cdsenv.
;Tool Filter list of simulators
auCore.toolFilter toolList
string
"spectre spectreS cdsSpice ams
auCdl auLvs hspiceS hspiceD UltraSim "
;Tool Filter list of simulators selected by default
auCore.toolFilter defaultTools
string
"spectre spectreS auCdl
auLvs"
;Tool Filter variable to enable auto update
auCore.toolFilter autoUpdate boolean
nil
auCore.toolFilter ignoreSchModified boolean
nil
;Logic Levels form variables
auCore.voltageLevels
highlayer cyclic
"y2"
auCore.voltageLevels
midlayer
cyclic
"y4"
auCore.voltageLevels
lowlayer
cyclic
"y6"
auCore.voltageLevels
high float 2.0
auCore.voltageLevels
low
float 0.8
auCore.voltageLevels
type string
"DC"
auCore.voltageLevels
time float 0.0
;The following variable indicates the release to which the Artist cdsenv
;variables are compliant. Set to the current release to disable
;automatic variable detection/conversion of user's .cdsenv file.
auCore
artVarVer string
""
auCore.misc updateCDFtermOrder
boolean
nil
auCore.misc queryCDFtermOrder boolean
t
auCore.misc createCDFtermOrder
boolean
t
auCore.misc labelDigits int
0
auCore.misc vaTemplateScript string
""
auCore.userPref printNotation
cyclic
"suffix"
;Label font size used to highlight selected terminal
auCore.selection termFontSize
float 0.25
auCore.selection alwaysSelectLayoutNets boolean
nil
;
Copyright (C) 1991
;
Cadence Design Systems, Analog Division
;
All Rights Reserved.
; $Source: /cvsrep/group/artist/src/auLvs/cdsenv,v $
; $Author: cvsadm $
; $Revision: 1.1 $
; $Date: 2003/10/06 04:17:12 $
; $State: Exp $
;auCdl Environment Variables
;For cell-view to cell-view:
auLvs.envOpts
defaultSubcircuitCall string
"ansLvsCompPrim"
;Calculator environment variables
;FORMAT: tool[.partition] varName varType default private
{choices,min/max}
;calculator modes
calculator mode cyclic
"RPN"
calculator uimode
cyclic
"standard"
calculator eval boolean
nil
calculator dstack
boolean
nil
calculator oldexpr
boolean
t
calculator browserOldExpr
boolean
nil
;clip special function variables
calculator.clip from string
""
calculator.clip to
string
""
;value special function variables
calculator.value at
string
""
calculator.value multi cyclic
"single"
calculator.value period
string
""
calculator.value xName cyclic
"time"
calculator.value histoDisplay
cyclic
"no"
calculator.value hBinNo
string
"1"
;x value at maximum special function variables
calculator.xmax nth
string
"1"
;x value at minimum special function variables
calculator.xmin nth
string
"1"
;ipn special function variables
calculator.ipn
spurOrder string
"3"
calculator.ipn
refHarmonic string
"1"
calculator.ipn
spurHarmonic
string
"1"
calculator.ipn
extPoint
string
"0"
calculator.ipn
refOrder
string
"1"
;ipnVRI special function variables
calculator.ipnVRI spurHarmonic
string
""
calculator.ipnVRI refHarmonic string
""
calculator.ipnVRI ordspur
string
""
calculator.ipnVRI epoint
string
""
calculator.ipnVRI rport string
""
calculator.ipnVRI measurePrompt
cyclic
"Input Referred IPN"
calculator.ipnVRI powerSwPrompt
cyclic
"Variable Sweep"
calculator.ipnVRI measure
string
""
calculator.ipnVRI psweep
string
""
;phaseNoise special function variables
calculator.phaseNoise nth
string
"0"
calculator.phaseNoise noiseResNam string
"pnoise.pss"
;compression special function variables
calculator.compression nth
string
"0"
calculator.compression x
string
"0"
calculator.compression compress
string
"1"
;ipnVRI special function variables
calculator.compressionVRI
harmonic
string
""
calculator.compressionVRI
epoint
string
""
calculator.compressionVRI
rport string
""
calculator.compressionVRI
gcomp string
""
calculator.compressionVRI
measurePrompt
cyclic
"Input Referred
Compression"
calculator.compressionVRI
measure
string
""
;harmonic special function variable
calculator.harmonic
nth
string
"0"
calculator.harmonic
spurHarmonic
string
"1"
calculator.harmonic
refHarmonic string
"1"
;harmonicFreq special function variable
calculator.harmonicFreq
nth
string
"1"
;tangent special function variables
calculator.tangent
x
string
"0"
calculator.tangent
y
string
"0"
calculator.tangent
slope string
"1.0"
;discrete fourier transform special function variables
calculator.dft
from string
""
calculator.dft
to
string
""
calculator.dft
samples
string
"64"
calculator.dft
windowName cyclic
"Rectangular"
calculator.dft
smooth
float 1.0
calculator.dft
cgtype
cyclic
"(none)"
calculator.dft
cohGain
float 1.0
;discrete fourier transform baseband special function variables
calculator.dftbb from string
""
calculator.dftbb to
string
""
calculator.dftbb samples
string
"64"
calculator.dftbb windowName cyclic
"Rectangular"
calculator.dftbb smooth
float 1.0
calculator.dftbb cgtype
cyclic
"(none)"
calculator.dftbb cohGain
float 1.0
calculator.dftbb spectrumType
cyclic
"SingleSided"
calculator.psd
from string
""
calculator.psd
to
string
""
calculator.psd
samples
string
"512"
calculator.psd
windowName cyclic
"Hanning"
calculator.psd
smooth
float 1.0
calculator.psd
cgtype
cyclic
"(none)"
calculator.psd
cohGain
float 1.0
calculator.psd
detrending cyclic
"None"
calculator.psd
windowSize string
"256"
calculator.psdbb from string
""
calculator.psdbb to
string
""
calculator.psdbb samples
string
"512"
calculator.psdbb windowName cyclic
"Hanning"
calculator.psdbb smooth
float 1.0
calculator.psdbb cgtype
cyclic
"(none)"
calculator.psdbb cohGain
float 1.0
calculator.psdbb detrending cyclic
"None"
calculator.psdbb windowSize string
"256"
;total harmonic distortion special function variables
calculator.thd
from string
""
calculator.thd
to
string
""
calculator.thd
samples
string
"64"
calculator.thd
fundamental string
""
;threshold crossing special function variables
calculator.cross value string
"2.5"
calculator.cross nth
string
"1"
calculator.cross type cyclic
"either"
calculator.cross multi cyclic
"single"
calculator.cross xName cyclic
"time"
;root value special function variables
calculator.root value string
"2.5"
calculator.root nth
string
"1"
;fourier evaluation special function variables
calculator.fourEval
from string
""
calculator.fourEval
to
string
""
calculator.fourEval
by
string
""
;convolution special function variables
calculator.convolve
from string
""
calculator.convolve
to
string
""
calculator.convolve
incr cyclic
"linear"
calculator.convolve
by
string
""
;threshold delay special function variables
calculator.delay value_a
string
"2.5"
calculator.delay nth_a string
"1"
calculator.delay type_a
cyclic
"either"
calculator.delay value_b
string
"2.5"
calculator.delay nth_b string
"1"
calculator.delay type_b
cyclic
"either"
calculator.delay period_a
string
"1"
calculator.delay period_b
string
"1"
calculator.delay multi cyclic
"single"
calculator.delay xName cyclic
"trigger time"
calculator.delay histoDisplay
cyclic
"no"
calculator.delay hBinNo
string
"1"
;bandwidth special function variables
calculator.bandwidth
db
string
"3"
calculator.bandwidth
type cyclic
"low"
;rise time special function variables
calculator.riseTime
initial
string
""
calculator.riseTime
itype cyclic
"y at x"
calculator.riseTime
final string
""
calculator.riseTime
ftype cyclic
"y at x"
calculator.riseTime
theta_a
string
"10"
calculator.riseTime
theta_b
string
"90"
calculator.riseTime
multi cyclic
"single"
calculator.riseTime
xName cyclic
"time"
calculator.riseTime
histoDisplay
cyclic
"no"
calculator.riseTime
hBinNo
string
"1"
calculator.freq crossType cyclic
"rising"
calculator.freq mode cyclic
"auto"
calculator.freq threshold string
""
calculator.freq xName cyclic
"time"
calculator.freq histoDisplay
cyclic
"no"
calculator.freq hBinNo
string
"1"
calculator.d2a
vprevSTART string
"(vhi + vlo)/2.0"
;slew rate special function variables
calculator.slewRate
initial
string
""
calculator.slewRate
itype cyclic
"y at x"
calculator.slewRate
final string
""
calculator.slewRate
ftype cyclic
"y at x"
calculator.slewRate
theta_a
string
"10"
calculator.slewRate
theta_b
string
"90"
calculator.slewRate
multi cyclic
"single"
calculator.slewRate
xName cyclic
"time"
calculator.slewRate
histoDisplay
cyclic
"no"
calculator.slewRate
hBinNo
string
"1"
;sample special function variables
calculator.sample from string
""
calculator.sample to
string
""
calculator.sample incr cyclic
"linear"
calculator.sample by
string
""
;settling time special function variables
calculator.settlingTime
initial
string
""
calculator.settlingTime
itype cyclic
"y at x"
calculator.settlingTime
final string
""
calculator.settlingTime
ftype cyclic
"y at x"
calculator.settlingTime
theta string
"5"
calculator.settlingTime
multi cyclic
"single"
calculator.settlingTime
xName cyclic
"time"
;overshoot special function variables
calculator.overshoot
initial
string
""
calculator.overshoot
itype cyclic
"y at x"
calculator.overshoot
final string
""
calculator.overshoot
ftype cyclic
"y at x"
calculator.overshoot
multi cyclic
"single"
calculator.overshoot
xName cyclic
"time"
calculator.overshoot
histoDisplay
cyclic
"no"
calculator.overshoot
hBinNo
string
"1"
;table special function variables
calculator.table filename
string
""
calculator.table name string
""
calculator.table xcolumn
int
1
calculator.table ycolumn
int
2
calculator.table xskip int
0
calculator.table yskip int
0
;left shift special function variables
calculator.lshift delta string
"0"
;rms noise special function variables
calculator.rmsNoise
from string
""
calculator.rmsNoise
to
string
""
;eyeDiagram special function variables
calculator.eyeDiagram start string
"0"
calculator.eyeDiagram stop string
"0"
calculator.eyeDiagram period
string
"0"
;defInteg special function variables
calculator.integ initial
string
""
calculator.integ final string
""
calculator.evmQpsk
delay string
""
calculator.evmQpsk
samplingT string
""
calculator.evmQpsk
autoLevelDetect boolean
t
calculator.evmQpsk
voltage
string
""
calculator.evmQpsk
offset
string
""
calculator.evmQpsk
normalize boolean
nil
;
; cdba Environment Variables
; This tool registration file should not be edited
; since customization is done via the file in
; <cdshier>/samples/.cdsenv
;
cdba dbArrayInstNamePrefix string
"M"
cdba dbInstNamePrefix string
"I"
cdba dbInstNamingGlobalInc boolean
nil
cdba dbStatCacheOn
boolean
nil
cdba dbNumCPU
int
1
cdba.DBUPerUU
PCB
int
160
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.DBUPerUU
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdba.userUnits
cdlIn
cdlIn
cdlIn
cdlIn
cdlIn
cdlIn
cdlIn
behavioral int
160
graphic
int
1000
logicModel int
160
maskLayout int
1000
netlist
int
160
package
int
160
schematic int
160
schematicSymbol int
160
stranger
int
1000
symbolic
int
1000
verilogMap int
160
PCB
cyclic
"inch"
behavioral cyclic
"inch"
graphic
cyclic
"micron"
logicModel cyclic
"inch"
maskLayout cyclic
"micron"
netlist
cyclic
"inch"
package
cyclic
"inch"
schematic cyclic
"inch"
schematicSymbol cyclic
"inch"
stranger
cyclic
"micron"
symbolic
cyclic
"micron"
verilogMap cyclic
"inch"
expandMOSMultiplicityFactor boolean
nil
viewType
string
"schematic"
viewName
string
"schematic"
caseSensitivity string
"Preserve"
hierarchy string
"Full"
globalNodeExpansion
string
"Full"
overwriteCells
string
"all"
; this file is tools/dfII/etc/tools/cdsLibEditor/.cdsenv, format is:
; tool[.partition]
varName
varType default private
{choices,min/max}
cdsLibEditor.main autoExclEdit
boolean
nil
cdsLibEditor.main warnExclLock
boolean
t
; this file is tools/dfII/etc/tools/cdsLibManager/.cdsenv, format is:
; tool[.partition]
varName
varType default private
{choices,min/max}
cdsLibManager.ckCancel useOptionText
string
""
cdsLibManager.ckCancel useOptionsOn
boolean
nil
cdsLibManager.ckGlobal libCellAlsoManaged
boolean
nil
cdsLibManager.ckIn
selectMatches
toggle
(t nil nil nil)
cdsLibManager.ckIn
useOptionText
string
""
cdsLibManager.ckIn
useOptionsOn
boolean
nil
cdsLibManager.ckOut
useOptionText
string
""
cdsLibManager.ckOut
useOptionsOn
boolean
nil
cdsLibManager.copy
addToCategoryName string
""
cdsLibManager.copy
addToCategoryOn boolean
nil
cdsLibManager.copy
addToCellsPattern string
"*"
cdsLibManager.copy
allViewsOn boolean
t
cdsLibManager.copy
exactHierOn boolean
nil
cdsLibManager.copy
extraViews string
""
cdsLibManager.copy
hierOn
boolean
nil
cdsLibManager.copy
skipLibsOn boolean
t
cdsLibManager.copy
skipLibsText
string
""
cdsLibManager.copy
skipLibsText1
string
""
cdsLibManager.copy
skipLibsText10
string
""
cdsLibManager.copy
skipLibsText11
string
""
cdsLibManager.copy
skipLibsText12
string
""
cdsLibManager.copy
skipLibsText13
string
""
cdsLibManager.copy
skipLibsText14
string
""
cdsLibManager.copy
skipLibsText15
string
""
cdsLibManager.copy
skipLibsText2
string
""
cdsLibManager.copy
skipLibsText3
string
""
cdsLibManager.copy
skipLibsText4
string
""
cdsLibManager.copy
skipLibsText5
string
""
cdsLibManager.copy
skipLibsText6
string
""
cdsLibManager.copy
skipLibsText7
string
""
cdsLibManager.copy
skipLibsText8
string
""
cdsLibManager.copy
skipLibsText9
string
""
cdsLibManager.copy
updateChoice
toggle
(t nil)
cdsLibManager.copy
updateOn
boolean
nil
cdsLibManager.copy
viewsText string
""
cdsLibManager.copy
addPropFiles
boolean
t
cdsLibManager.copyError
overwriteAll
boolean
nil
cdsLibManager.copyGlobals
addCellPropFiles boolean
t
cdsLibManager.copyGlobals
addLibPropFiles boolean
t
cdsLibManager.copyGlobals
expandRadio toggle
(t nil)
cdsLibManager.copyGlobals
mpsRadio
toggle
(t nil)
cdsLibManager.copyGlobals
stopMutations
boolean
t
cdsLibManager.copyGlobals
useMonitor boolean
t
cdsLibManager.copyGlobals
warnRenameDM
boolean
t
cdsLibManager.copyVersion
openView
boolean
t
cdsLibManager.copyVersion
toLibrary string
""
cdsLibManager.copyVersion
toView
string
""
cdsLibManager.copyVersion
useOptionText
string
""
cdsLibManager.copyVersion
useOptionsOn
boolean
nil
cdsLibManager.copyWizard
addToCategoryName string
""
cdsLibManager.copyWizard
addToCategoryOn boolean
nil
cdsLibManager.copyWizard
addToCellsPattern string
"*"
cdsLibManager.copyWizard
extraViews string
""
cdsLibManager.copyWizard
skipLibsText
string
""
cdsLibManager.copyWizard
skipLibsText1
string
""
cdsLibManager.copyWizard
skipLibsText10
string
""
cdsLibManager.copyWizard
skipLibsText11
string
""
cdsLibManager.copyWizard
skipLibsText12
string
""
cdsLibManager.copyWizard
skipLibsText13
string
""
cdsLibManager.copyWizard
skipLibsText14
string
""
cdsLibManager.copyWizard
skipLibsText15
string
""
cdsLibManager.copyWizard
skipLibsText2
string
""
cdsLibManager.copyWizard
skipLibsText3
string
""
cdsLibManager.copyWizard
skipLibsText4
string
""
cdsLibManager.copyWizard
skipLibsText5
string
""
cdsLibManager.copyWizard
skipLibsText6
string
""
cdsLibManager.copyWizard
skipLibsText7
string
""
cdsLibManager.copyWizard
skipLibsText8
string
""
cdsLibManager.copyWizard
skipLibsText9
string
""
cdsLibManager.copyWizard
updateChoice
toggle
(t nil)
cdsLibManager.copyWizard
updateOn
boolean
t
cdsLibManager.customize
mapTimeout float 5.0
cdsLibManager.customize
showDFIIWarning boolean
t
cdsLibManager.customize
startupFile string
"cdsLibMgr.il"
cdsLibManager.database addDb1
string
""
cdsLibManager.database addDb2
string
""
cdsLibManager.database addDb3
string
""
cdsLibManager.database addDb4
string
""
cdsLibManager.database addDb5
string
""
cdsLibManager.database addDb6
string
""
cdsLibManager.database addDb7
string
""
cdsLibManager.database addDb8
string
""
cdsLibManager.database addDb9
string
""
cdsLibManager.database ddDb string
"com.cadence.interfaces.libAccess.cddLib5xDatabase"
cdsLibManager.database server
string
"com.cadence.interfaces.libAccess.ladLibraryServer"
cdsLibManager.defaults fileRadio toggle
(nil t nil)
cdsLibManager.defaults saveAllOn boolean
nil
cdsLibManager.defaults saveAsText string
".cdsenv"
cdsLibManager.delete
libCheckOn boolean
t
cdsLibManager.delete
localRadio toggle
(t nil)
cdsLibManager.deleteTag
overrideRadio
toggle
(t nil nil nil)
cdsLibManager.deleteView
localRadio toggle
(t nil)
cdsLibManager.filter
cellFilter string
""
cdsLibManager.filter
viewFilter string
""
; The log file format is 'baseName[.UID][.host][.PID][.sequence].log'
cdsLibManager.log addHostID boolean
nil
cdsLibManager.log addProcID boolean
nil
cdsLibManager.log addSequence boolean
nil
cdsLibManager.log addUserID boolean
nil
cdsLibManager.log baseName
string
"libManager"
cdsLibManager.main
categoryText
string
""
cdsLibManager.main
cellLevelText
string
""
cdsLibManager.main
dblClickEditCellView
boolean
t
cdsLibManager.main
libraryText string
""
cdsLibManager.main
showCategoriesOn boolean
nil
cdsLibManager.main
showFilesOn boolean
nil
cdsLibManager.main
viewLevelText
string
""
cdsLibManager.newLib
dmRadio
toggle
(t nil)
cdsLibManager.newLib
pathText
string
""
cdsLibManager.option
forceEnv
boolean
nil
cdsLibManager.option
useDMfilter boolean
t
cdsLibManager.option
useFastDM boolean
t
cdsLibManager.rename
updateOn
boolean
t
cdsLibManager.renameRefLib
refreshSessionOn boolean
t
cdsLibManager.submit
useNameOn boolean
nil
cdsLibManager.submit
useNameText string
""
cdsLibManager.submit
useOptionText
string
""
cdsLibManager.submit
useOptionsOn
boolean
nil
cdsLibManager.update
useNameOn boolean
nil
cdsLibManager.update
cdsLibManager.update
cdsLibManager.update
useNameText string
""
useOptionText
string
useOptionsOn
boolean
""
nil
;cdsSpice environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;cdsSpice opts partition variables
cdsSpice.opts
TEMPDC
string
"25"
cdsSpice.opts
NSIG string
"4"
cdsSpice.opts
TIMSAT
string
"0"
cdsSpice.opts
RELTOL
string
"1e-3"
cdsSpice.opts
MKS
string
"1"
cdsSpice.opts
ITL5 string
"999999"
cdsSpice.opts
ARTSTR
string
"0"
cdsSpice.opts
GMINON
string
"2"
cdsSpice.opts
LVLTIM
string
"2"
cdsSpice.opts
VCESAT
string
"0.0"
cdsSpice.opts
ITL3 string
"4"
cdsSpice.opts
SCALEM
string
"1"
cdsSpice.opts
GO2OFF
string
"0"
cdsSpice.opts
ITL4 string
"25"
cdsSpice.opts
AVSTEP
string
".33"
cdsSpice.opts
ITL1 string
"100"
cdsSpice.opts
ITL2 string
"100"
cdsSpice.opts
METHOD
string
"1"
cdsSpice.opts
CHGTOL
string
"1e-14"
cdsSpice.opts
TNOM string
"25"
cdsSpice.opts
MORBKP
string
".1"
cdsSpice.opts
ABSTOL
string
"1e-12"
cdsSpice.opts
UIC
string
"0"
cdsSpice.opts
SINWAV
string
"1e+6"
cdsSpice.opts
GRTEXT
string
"1"
cdsSpice.opts
PRNOTE
string
"1"
cdsSpice.opts
VLIMAX
string
"50000"
cdsSpice.opts
GMIN string
"1e-12"
cdsSpice.opts
GSAVE string
"0"
cdsSpice.opts
INTTIM
string
"0"
cdsSpice.opts
CNVREV
string
"2.4"
cdsSpice.opts
DCSAT string
"0"
cdsSpice.opts
TINIT string
""
cdsSpice.opts
VBESAT
string
"0.6"
cdsSpice.opts
QADLIN
string
"0"
cdsSpice.opts
MFNOIS
string
"0"
cdsSpice.opts
TRTOL string
"7"
cdsSpice.opts
SCALE string
"1"
cdsSpice.opts
PSFFLG
string
"1"
cdsSpice.opts
SPICE2G
string
"0"
cdsSpice.opts
WRFLAG
string
"0"
cdsSpice.opts
SPTEMP
string
"1"
cdsSpice.opts
RON
string
"1"
cdsSpice.opts
NRAMP string
"0"
cdsSpice.opts
VNTOL string
"1e-6"
cdsSpice.opts
NOWARN
string
"0"
cdsSpice.opts
VBCSAT
string
"0.15"
cdsSpice.opts
DCOPPT
string
"1"
cdsSpice.opts
MAXORD
string
"2"
cdsSpice.opts
SPMESS
string
"1"
;cdsSpice outputs partition variables
cdsSpice.outputs allAnalogNV boolean
t
cdsSpice.outputs allAnalogTC boolean
nil
;cdsSpice envOpts partition variables
cdsSpice.envOpts updateFile string
""
cdsSpice.envOpts stimulusFile
string
""
cdsSpice.envOpts instViewListTable string
""
cdsSpice.envOpts doInstBased boolean
nil
cdsSpice.envOpts stopViewList
string
"cdsSpice spice"
cdsSpice.envOpts includeSyntax
string
"cdsSpice"
cdsSpice.envOpts initFile
string
""
cdsSpice.envOpts printComments
boolean
nil
cdsSpice.envOpts netlistType string
"hierarchical"
cdsSpice.envOpts generateMapFile boolean
nil
cdsSpice.envOpts includeFile string
""
cdsSpice.envOpts instStopListTable string
""
cdsSpice.envOpts switchViewList
string
"cdsSpice spice cmos_sch
cmos.sch schematic"
;cdsSpice init partition variables
cdsSpice.init
processPriority int
0
;cdsSpice dc partition variables
cdsSpice.dc enable
toggle
(nil)
cdsSpice.dc from string
""
cdsSpice.dc to
string
""
cdsSpice.dc by
string
""
cdsSpice.dc device
string
""
;cdsSpice dcOpts partition variables
;cdsSpice noise partition variables
cdsSpice.noise
enable
toggle
(nil)
cdsSpice.noise
source
string
""
cdsSpice.noise
output
string
""
cdsSpice.noise
listEvery string
"1"
;cdsSpice noiseOpts partition variables
;cdsSpice ac partition variables
cdsSpice.ac enable
toggle
(nil)
cdsSpice.ac from string
""
cdsSpice.ac to
string
""
cdsSpice.ac incrType
string
"Logarithmic"
cdsSpice.ac lin
string
""
cdsSpice.ac log
string
""
;cdsSpice acOpts partition variables
;cdsSpice tran partition variables
cdsSpice.tran
enable
toggle
(nil)
cdsSpice.tran
from string
""
cdsSpice.tran
to
string
""
cdsSpice.tran
by
string
""
cdsSpice.tran
delmax
string
""
cdsSpice.tran
continue
boolean
nil
cdsSpice.tran
newParam
boolean
nil
;cdsSpice tranOpts partition variables
;cdsSpiceVerilog environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;cdsSpiceVerilog opts partition variables
cdsSpiceVerilog.opts
TEMPDC
string
"25"
cdsSpiceVerilog.opts
NSIG string
"4"
cdsSpiceVerilog.opts
TIMSAT
string
"0"
cdsSpiceVerilog.opts
RELTOL
string
"1e-3"
cdsSpiceVerilog.opts
MKS
string
"1"
cdsSpiceVerilog.opts
ITL5 string
"999999"
cdsSpiceVerilog.opts
ARTSTR
string
"0"
cdsSpiceVerilog.opts
GMINON
string
"2"
cdsSpiceVerilog.opts
LVLTIM
string
"2"
cdsSpiceVerilog.opts
VCESAT
string
"0.0"
cdsSpiceVerilog.opts
ITL3 string
"4"
cdsSpiceVerilog.opts
SCALEM
string
"1"
cdsSpiceVerilog.opts
GO2OFF
string
"0"
cdsSpiceVerilog.opts
ITL4 string
"25"
cdsSpiceVerilog.opts
AVSTEP
string
".33"
cdsSpiceVerilog.opts
ITL1 string
"100"
cdsSpiceVerilog.opts
ITL2 string
"100"
cdsSpiceVerilog.opts
METHOD
string
"1"
cdsSpiceVerilog.opts
CHGTOL
string
"1e-14"
cdsSpiceVerilog.opts
TNOM string
"25"
cdsSpiceVerilog.opts
MORBKP
string
".1"
cdsSpiceVerilog.opts
ABSTOL
string
"1e-12"
cdsSpiceVerilog.opts
UIC
string
"0"
cdsSpiceVerilog.opts
GRTEXT
string
"1"
cdsSpiceVerilog.opts
SINWAV
string
"1e+6"
cdsSpiceVerilog.opts
PRNOTE
string
"1"
cdsSpiceVerilog.opts
VLIMAX
string
"50000"
cdsSpiceVerilog.opts
INTTIM
string
"0"
cdsSpiceVerilog.opts
GSAVE string
"0"
cdsSpiceVerilog.opts
GMIN string
"1e-12"
cdsSpiceVerilog.opts
DCSAT string
"0"
cdsSpiceVerilog.opts
CNVREV
string
"2.4"
cdsSpiceVerilog.opts
TINIT string
""
cdsSpiceVerilog.opts
MFNOIS
string
"0"
cdsSpiceVerilog.opts
QADLIN
string
"0"
cdsSpiceVerilog.opts
VBESAT
string
"0.6"
cdsSpiceVerilog.opts
PSFFLG
string
"1"
cdsSpiceVerilog.opts
SCALE string
"1"
cdsSpiceVerilog.opts
TRTOL string
"7"
cdsSpiceVerilog.opts
SPICE2G
string
"0"
cdsSpiceVerilog.opts
SPTEMP
string
"1"
cdsSpiceVerilog.opts
WRFLAG
string
"0"
cdsSpiceVerilog.opts
RON
string
"1"
cdsSpiceVerilog.opts
NRAMP string
"0"
cdsSpiceVerilog.opts
NOWARN
string
"0"
cdsSpiceVerilog.opts
VNTOL string
"1e-6"
cdsSpiceVerilog.opts
MAXORD
string
"2"
cdsSpiceVerilog.opts
DCOPPT
string
"1"
cdsSpiceVerilog.opts
VBCSAT
string
"0.15"
cdsSpiceVerilog.opts
SPMESS
string
"1"
;cdsSpiceVerilog outputs partition variables
cdsSpiceVerilog.outputs
allDigitalNV
boolean
t
cdsSpiceVerilog.outputs
allAnalogNV boolean
t
cdsSpiceVerilog.outputs
allAnalogTC boolean
nil
;cdsSpiceVerilog verimixOpts partition variables
cdsSpiceVerilog.verimixOpts filename6 string
""
cdsSpiceVerilog.verimixOpts maxDCIter int
0
cdsSpiceVerilog.verimixOpts scope1
string
""
cdsSpiceVerilog.verimixOpts scope10
string
""
cdsSpiceVerilog.verimixOpts filename8 string
""
cdsSpiceVerilog.verimixOpts dcInterval float 0.0
cdsSpiceVerilog.verimixOpts scope3
string
""
cdsSpiceVerilog.verimixOpts scope8
string
""
cdsSpiceVerilog.verimixOpts scope7
string
""
cdsSpiceVerilog.verimixOpts filename7 string
""
cdsSpiceVerilog.verimixOpts scope string
""
cdsSpiceVerilog.verimixOpts filename4 string
""
cdsSpiceVerilog.verimixOpts filename
string
""
cdsSpiceVerilog.verimixOpts filename1 string
""
cdsSpiceVerilog.verimixOpts scope9
string
""
cdsSpiceVerilog.verimixOpts importsdfswitch boolean
nil
cdsSpiceVerilog.verimixOpts filename2 string
""
cdsSpiceVerilog.verimixOpts numberofsdffiles int
2
cdsSpiceVerilog.verimixOpts scope2
string
""
cdsSpiceVerilog.verimixOpts scope6
string
""
cdsSpiceVerilog.verimixOpts filename10 string
""
cdsSpiceVerilog.verimixOpts delaymodechoice string
"Ignore"
cdsSpiceVerilog.verimixOpts sdfmixedswitch
boolean
t
cdsSpiceVerilog.verimixOpts filename9 string
""
cdsSpiceVerilog.verimixOpts scope5
string
""
cdsSpiceVerilog.verimixOpts filename5 string
""
cdsSpiceVerilog.verimixOpts filename3 string
""
cdsSpiceVerilog.verimixOpts scope4
string
""
;cdsSpiceVerilog verilogOpts partition variables
cdsSpiceVerilog.verilogOpts otherOptions
string
"+libext+.v+
+incdir+hdlFilesDir +sdf_verbose +sdf_nocheck_celltype"
cdsSpiceVerilog.verilogOpts optionsFile string
""
cdsSpiceVerilog.verilogOpts twinTurbo boolean
nil
cdsSpiceVerilog.verilogOpts suppressMessages boolean
nil
cdsSpiceVerilog.verilogOpts libraryFile string
""
cdsSpiceVerilog.verilogOpts behaveProfile
boolean
nil
cdsSpiceVerilog.verilogOpts verimixLog string
"verilog.log"
cdsSpiceVerilog.verilogOpts simVision boolean
nil
cdsSpiceVerilog.verilogOpts pulseSpec boolean
nil
cdsSpiceVerilog.verilogOpts commandFile string
""
cdsSpiceVerilog.verilogOpts pulseError int
100
cdsSpiceVerilog.verilogOpts stopCompile boolean
nil
cdsSpiceVerilog.verilogOpts keepNodes string
"Minimum"
cdsSpiceVerilog.verilogOpts accelerationCA
boolean
nil
cdsSpiceVerilog.verilogOpts turboRadio string
"Default"
cdsSpiceVerilog.verilogOpts libraryDir string
""
cdsSpiceVerilog.verilogOpts suppressWarnings boolean
nil
cdsSpiceVerilog.verilogOpts accelerationSwitches
boolean
nil
cdsSpiceVerilog.verilogOpts accelerationNormal
boolean
t
cdsSpiceVerilog.verilogOpts delayType string
"Typical"
cdsSpiceVerilog.verilogOpts pulseReject int
100
cdsSpiceVerilog.verilogOpts delayMode string
"Default"
cdsSpiceVerilog.verilogOpts vermixBinary
string
"verilog.vmx"
;cdsSpiceVerilog init partition variables
cdsSpiceVerilog.init
processPriority int
0
;cdsSpiceVerilog envOpts partition variables
cdsSpiceVerilog.envOpts
mspSetupHNLNetlistPwrNets
string
"vdd! vdda! vddd! vcc! vcca! vccd!"
cdsSpiceVerilog.envOpts
mspNetlistMode
string
"Flat"
cdsSpiceVerilog.envOpts
mspSetupNetlistStopSymbolImplicit boolean
nil
cdsSpiceVerilog.envOpts
mspSetupFNLNetlistMakePrimHNL
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistOverWriteSchTimeScale
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistAssignForAlias
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistEscapeName
boolean
nil
cdsSpiceVerilog.envOpts
updateFile string
""
cdsSpiceVerilog.envOpts
stimulusFile
string
""
cdsSpiceVerilog.envOpts
mspSetupNetlistSimPrecisionUnit
string
"ns"
cdsSpiceVerilog.envOpts
mspSetupHNLNetlistGndNets
string
"gnd! gnda! gndd! vss! vssa! vssd! vee! veea! veed!"
cdsSpiceVerilog.envOpts
mspSetupNetlistOverWriteVerimix
boolean
nil
cdsSpiceVerilog.envOpts
includeSyntax
string
"cdsSpice"
cdsSpiceVerilog.envOpts
mspSetupNetlistPinMap boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistBus
boolean
t
cdsSpiceVerilog.envOpts
mspSetupNetlistDeclareGlobalLocally
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistSimTimeUnit
string
"ns"
cdsSpiceVerilog.envOpts
mspSetupNetlistTemplate
string
"Verimix"
cdsSpiceVerilog.envOpts
mspSetupFNLNetlistGndNets
string
"gnd! gnda! gndd! vss! vssa! vssd! vee! vssa! veed!"
cdsSpiceVerilog.envOpts
mspSetupNetlistExplicit
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistSkipTimingInfo
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupFNLNetlistSwitchRC
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistUseTestfixture
boolean
t
cdsSpiceVerilog.envOpts
initFile
string
""
cdsSpiceVerilog.envOpts
printComments
boolean
nil
cdsSpiceVerilog.envOpts
includeFile string
""
cdsSpiceVerilog.envOpts
mspSetupNetlistLaiLmsi boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistSimPrecisionValue int
1
cdsSpiceVerilog.envOpts
mspSetupNetlistSwitchRC
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupFNLNetlistExplicit
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupFNLNetlistPwrNets
string
"vdd! vdda! vddd! vcc! vcca! vccd!"
cdsSpiceVerilog.envOpts
mspSetupNetlistSimTimeValue int
1
cdsSpiceVerilog.envOpts
mspSetupNetlistUseLib boolean
nil
cdsSpiceVerilog.envOpts
mspSetupFNLNetlistProcessNullPort boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistNullPort
boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistDropPortRange boolean
t
cdsSpiceVerilog.envOpts
mspSetupNetlistUpCase boolean
nil
cdsSpiceVerilog.envOpts
generateMapFile boolean
nil
cdsSpiceVerilog.envOpts
mspSetupNetlistIncreNetlistConfig boolean
nil
;cdsSpiceVerilog dc partition variables
cdsSpiceVerilog.dc
enable
toggle
(nil)
cdsSpiceVerilog.dc
from string
""
cdsSpiceVerilog.dc
to
string
""
cdsSpiceVerilog.dc
by
string
""
cdsSpiceVerilog.dc
device
string
""
;cdsSpiceVerilog dcOpts partition variables
;cdsSpiceVerilog noise partition variables
cdsSpiceVerilog.noise enable
toggle
(nil)
cdsSpiceVerilog.noise source
string
""
cdsSpiceVerilog.noise output
string
""
cdsSpiceVerilog.noise listEvery string
"1"
;cdsSpiceVerilog noiseOpts partition variables
;cdsSpiceVerilog ac partition variables
cdsSpiceVerilog.ac
enable
toggle
(nil)
cdsSpiceVerilog.ac
from string
""
cdsSpiceVerilog.ac
to
string
""
cdsSpiceVerilog.ac
incrType
string
"Logarithmic"
cdsSpiceVerilog.ac
lin
string
""
cdsSpiceVerilog.ac
log
string
""
;cdsSpiceVerilog acOpts partition variables
;cdsSpiceVerilog tran partition variables
cdsSpiceVerilog.tran
enable
toggle
(nil)
cdsSpiceVerilog.tran
from string
""
cdsSpiceVerilog.tran
to
string
""
cdsSpiceVerilog.tran
by
string
""
cdsSpiceVerilog.tran
delmax
string
""
cdsSpiceVerilog.tran
continue
boolean
nil
cdsSpiceVerilog.tran
newParam
boolean
nil
;cdsSpiceVerilog tranOpts partition variables
;************************************************************************
******
;************************************************************************
******
;
CMX CONSTRAINT MANAGER - ENVIRONMENT VARIABLES (FACTORY DEFAULTS)
;
;
This tool registration file should not be edited since
customization
;
is done via the file in <cdshier>/samples/.cdsenv
;
;************************************************************************
******
;************************************************************************
******
;
;FACTORY DEFAULTS FOR NET-BASED SUPER CATEGORY (cmxNetBasedSuperCat)
;===================================================================
;
;Net Class To Net Category (cmxClassToNetCat)
;-------------------------------------------;default weight for constraints
cmx.cmxClassToNetCat
defaultWeight
int
200
;
;inter-layer clearance
cmx.cmxClassToNetCat
defVal_ilc float 0.0
cmx.cmxClassToNetCat
minVal_ilc float 0.0
cmx.cmxClassToNetCat
maxVal_ilc float 0.0
;
;layer depth
cmx.cmxClassToNetCat
defVal_depth
int
0
cmx.cmxClassToNetCat
minVal_depth
int
0
cmx.cmxClassToNetCat
maxVal_depth
int
0
;
;
;Net-Class To Net-Class Category (cmxClassToClassCat)
;---------------------------------------------------;default weight for constraints
cmx.cmxClassToClassCat defaultWeight
int
200
;
;inter-layer clearance
cmx.cmxClassToClassCat defVal_ilc float 0.0
cmx.cmxClassToClassCat minVal_ilc float 0.0
cmx.cmxClassToClassCat maxVal_ilc float 0.0
;
;layer depth
cmx.cmxClassToClassCat defVal_depth
int
0
cmx.cmxClassToClassCat minVal_depth
int
0
cmx.cmxClassToClassCat maxVal_depth
int
0
;
;
;Net To Net Category (cmxNetToNetCat)
;-----------------------------------;default weight for constraints
cmx.cmxNetToNetCat
defaultWeight
int
200
;
;inter-layer clearance
cmx.cmxNetToNetCat
defVal_ilc float 0.0
cmx.cmxNetToNetCat
minVal_ilc float 0.0
cmx.cmxNetToNetCat
maxVal_ilc float 0.0
;
;layer depth
cmx.cmxNetToNetCat
defVal_depth
int
0
cmx.cmxNetToNetCat
minVal_depth
int
0
cmx.cmxNetToNetCat
maxVal_depth
int
0
;
;differential pair gap
cmx.cmxNetToNetCat
defVal_diffgap
float 0.0
cmx.cmxNetToNetCat
minVal_diffgap
float 0.0
cmx.cmxNetToNetCat
maxVal_diffgap
float 0.0
;
;tandem shield overhang
cmx.cmxNetToNetCat
defVal_tanhang
float 0.0
cmx.cmxNetToNetCat
minVal_tanhang
float 0.0
cmx.cmxNetToNetCat
maxVal_tanhang
float 0.0
;
;parallel shield gap
cmx.cmxNetToNetCat
defVal_pargap
float 0.0
cmx.cmxNetToNetCat
minVal_pargap
float 0.0
cmx.cmxNetToNetCat
maxVal_pargap
float 0.0
;
;parallel shield width
cmx.cmxNetToNetCat
defVal_parwidth float 0.0
cmx.cmxNetToNetCat
minVal_parwidth float 0.0
cmx.cmxNetToNetCat
maxVal_parwidth float 0.0
;
;
;Net/Net-Class Category (cmxNetCat)
;---------------------------------;default weight for constraints
cmx.cmxNetCat
defaultWeight
int
200
;
;net priority
cmx.cmxNetCat
defVal_pri int
0
cmx.cmxNetCat
minVal_pri int
0
cmx.cmxNetCat
maxVal_pri int
255
;
;net width
cmx.cmxNetCat
defVal_width
float 0.0
cmx.cmxNetCat
minVal_width
float 0.0
cmx.cmxNetCat
maxVal_width
float 0.0
;
;minimum IR drop
cmx.cmxNetCat
defVal_minird
float 0.0
cmx.cmxNetCat
minVal_minird
float 0.0
cmx.cmxNetCat
maxVal_minird
float 0.0
;
;maximum IR drop
cmx.cmxNetCat
defVal_maxird
float 0.0
cmx.cmxNetCat
minVal_maxird
float 0.0
cmx.cmxNetCat
maxVal_maxird
float 0.0
;
;
;
;
;FACTORY DEFAULTS FOR GEOMETRIC SUPER CATEGORY (cmxGeometricSuperCat)
;====================================================================
;
;Symmetry Category (cmxSymCat)
;----------------------------;default weight for constraints
cmx.cmxSymCat
defaultWeight
int
200
;
;axis name
cmx.cmxSymCat
defVal_axis string
""
;
;
;Grouping Category (cmxGroupingCat)
;---------------------------------;default weight for constraints
cmx.cmxGroupingCat
defaultWeight
int
200
;
;preserve relative position
cmx.cmxGroupingCat
defVal_prPos
boolean
t
;
;no fence needed
cmx.cmxGroupingCat
defVal_noFence
boolean
t
;
;fence shape name
cmx.cmxGroupingCat
defVal_fence
string
""
;
;exclude all
cmx.cmxGroupingCat
defVal_exclAll
boolean
nil
;
;
;Alignment Category (cmxAlignCat)
;-------------------------------;default weight for constraints
cmx.cmxAlignCat defaultWeight
int
200
;
;orientation
cmx.cmxAlignCat defVal_orient
string
"NO_CARE"
;
;alignment reference
cmx.cmxAlignCat defVal_alignRef string
"NO_CARE"
;
;reference segment
cmx.cmxAlignCat defVal_segment
int
0
;
;inner or outer edge
cmx.cmxAlignCat defVal_inner
boolean
t
;
;alignment layer
cmx.cmxAlignCat defVal_alignLayer string
"bBox"
;
;check ordering
cmx.cmxAlignCat defVal_order
boolean
t
;
;compactor direction (for Compactor only)
cmx.cmxAlignCat defVal_compactDir boolean
nil
;
;
;Fixed Category (cmxFixedCat)
;---------------------------;default weight for constraints
cmx.cmxFixedCat defaultWeight
int
200
;
;check x position
cmx.cmxFixedCat defVal_x
boolean
t
;
;default x value
cmx.cmxFixedCat defVal_xVal float 0.0
;
;check y position
cmx.cmxFixedCat defVal_y
boolean
t
;
;default y value
cmx.cmxFixedCat defVal_yVal float 0.0
;
;default orientation
cmx.cmxFixedCat defVal_orient
string
"AS_IS"
;
;default reference
cmx.cmxFixedCat defVal_refer
string
"CENTER"
;
;default user movable
cmx.cmxFixedCat defVal_userMovable
boolean
nil
;
;x position suggested
cmx.cmxFixedCat defVal_xSug boolean
nil
;
;y position suggested
cmx.cmxFixedCat defVal_ySug boolean
nil
;
;default max x displacement
cmx.cmxFixedCat defVal_maxXDist float 0.0
;
;default max y displacement
cmx.cmxFixedCat defVal_maxYDist float 0.0
;
;
;Distance Category (cmxDistCat)
;-----------------------------;default weight for constraints
cmx.cmxDistCat
defaultWeight
int
200
;
;check minimum manhattan x distance
cmx.cmxDistCat
defVal_minX boolean
t
;
;minimum manhattan x distance value
cmx.cmxDistCat
defVal_minXVal
float 0.0
;
;check maximum manhattan x distance
cmx.cmxDistCat
defVal_maxX boolean
t
;
;maximum manhattan x distance value
cmx.cmxDistCat
defVal_maxXVal
float 0.0
;
;check minimum manhattan y distance
cmx.cmxDistCat
defVal_minY boolean
t
;
;minimum manhattan y distance value
cmx.cmxDistCat
defVal_minYVal
float 0.0
;
;check maximum manhattan y distance
cmx.cmxDistCat
defVal_maxY boolean
t
;
;maximum manhattan y distance
cmx.cmxDistCat
defVal_maxYVal
float 0.0
;
;reference for measurement on x-axis
cmx.cmxDistCat
defVal_referX
string
;
;reference for measurement on y-axis
cmx.cmxDistCat
defVal_referY
string
;
;
;ROD Alignment Category (cmxRodAlignCat)
;--------------------------------------;default weight for constraints
;
;default name for ROD object 1
;
;default handle name for ROD object 1
;
;default name for ROD object 2
;
;default handle name for ROD object 2
"CENTER"
"CENTER"
compactor
compactor
compactor
compactor
compactor
compactor
compactor
compactor
compactor
compactor
compactor
hilite1LPP string
"hilite drawing"
hilite2LPP string
"marker error"
hilite3LPP string
"hilite drawing1"
hilite4LPP string
"hilite drawing2"
cpCpathLPP string
"annotate drawing7"
cpAuxLPP
string
"annotate drawing8"
cpOutlineLPP
string
"annotate drawing9"
useDBox
boolean
t
arrowScale float 1.0
zoomScale float 1.2
checkSaved boolean
t
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
src_sch_lib string
""
src_cell_name
string
""
src_view_name
string
""
dest_sch_lib
string
""
dest_view_name
string
"schematic"
dest_cell_name
string
""
dest_symbol_view_name string
"symbol"
ref_lib_list
string
"analogLib basic
log_file_name
string
"./conn2sch.log"
import_if_exists int
0
sheet_symbol
string
"none "
line_line_spacing float 0.2
line_component_spacing float 0.5
density_level
int
0
sample"
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
conn2sch
label_height
float 0.0625
pin_placement
string
"left_and_right_sides"
page_col_limit
int
1024
page_row_limit
int
1024
full_place_and_route
boolean
t
optimize_wire_label_locn
boolean
nil
minimize_crossovers
boolean
nil
generate_square_schematic
boolean
t
extract_schematic boolean
t
verbose
boolean
nil
;Digital Ciruit Design Flow Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
;Session Options
dcdf dcdfNoDirectNetlister boolean
nil
dcdf dcdfDefaultFileDir
string
""
;
; ddserv Environment Variables
; This tool registration file should not be edited
; since customization is done via the file in
; <cdshier>/samples/.cdsenv
;
ddserv
showWhatsNew
string
"yes"
ddserv.browser
onOpen
boolean
nil
ddserv.browser
onOther
boolean
nil
ddserv.browser
onPlace
boolean
nil
ddserv.ciw promptOnExit
boolean
t
ddserv.he hierEditor boolean
nil
ddserv.he objectFilter
string
"same"
ddserv.he objectScope string
"current instance"
ddserv.he schEditor boolean
t
ddserv.lib dmChoice
string
"No DM"
ddserv.lib enableCopyInDFII boolean
nil
ddserv.lib newLibDir string
""
ddserv.lib techChoice cyclic
"compile"
;*********************************************************
; Graphics Editor and Design Editor Environment Variables
; Installation-wide customizations should be made to the
; to the .cdsenv file in $CDS_INST_DIR/tools/dfII/samples
; directory. Make user-specific customizations to the
; .cdsenv file in your home directory.
;*********************************************************
graphic
accessEdgesOn
boolean
nil
graphic
arrayDisplay
string
"Full"
graphic
askSaveOnReturn boolean
t
graphic
coalesceLimit
int
10
graphic
coalesceRatio
int
10
graphic
defaultNewCellName
string
""
graphic
defaultNewLibName string
""
graphic
defaultNewViewName
string
""
graphic
defaultOpenCellName
string
""
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
defaultOpenLibName
string
""
defaultOpenViewName
string
""
defaultToolName string
""
displayPinNames boolean
t
displayStretchHandles boolean
t
displaySchDashText
boolean
nil
dotsOn
boolean
t
drawAxesOn boolean
t
drawDottedGridOn boolean
t
drawGridOn boolean
t
drawInstancePins boolean
t
drawPRBoundary
boolean
nil
drawSurroundingOn boolean
t
drfPath
string
""
dynamicHilightOn boolean
t
eipRedraw boolean
t
filterSize float 1.0
filterSizeDrawingStyle string
"filled"
gridMultiple
int
5
gridSpacing float 1.0
iconsOn
boolean
t
instLabel string
"master"
iterNum
int
500
jumpersOn boolean
t
leCheckMissingLayers
boolean
nil
leCheckMissingMasters boolean
nil
leDispNetExpr
boolean
t
markerZoom boolean
nil
markerShowIgnore boolean
t
markerSeverity
string
"all"
markerZoomScale int
4
maskLayoutDefaultApp
string
""
maxDragFig int
500
minLabelSize
float 1.0
minRefreshArea
int
500
minStippleSize
float 1.0
netsOn
boolean
t
originMarkersOn boolean
t
outlineOnly boolean
t
packetDialogBoxes boolean
t
partialSelect
boolean
t
pathCL
string
"yes"
netExprDisplay
string
"expression only"
pathWidth float 5.0
probeTextOn boolean
t
pruneSize float 10
schematicDefaultApp
string
""
schematicSymbolDefaultApp
string
""
segSnapMode string
"anyAngle"
selectionAperture int
5
snapMode
string
"anyAngle"
snapToGrid boolean
t
startLevel int
0
stopLevel int
0
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
graphic
stretchHandlesLayer
string
textJustificationOn
boolean
tinyInstDetail
boolean
nil
transformMarkersOn
boolean
useTrueBBox boolean
t
viewNameList
string
""
viewNameToTypeList
string
xSnapSpacing
float 1.0
ySnapSpacing
float 1.0
cursorShape boolean
nil
drawEngine int
0
openToStopLevel boolean
nil
displayViaShapes boolean
nil
checkMissingRouteSpecs boolean
"y0 drawing"
t
t
""
nil
;hspiceD environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;hspiceD opts partition variables
hspiceD.opts
PARHIER
string
"LOCAL"
hspiceD.opts
GENK boolean
nil
hspiceD.opts
RISETIME
string
"0"
hspiceD.opts
RELQ string
"1e-2"
hspiceD.opts
FFTOUT
boolean
nil
hspiceD.opts
LVLTIM
string
"DVDT (1)"
hspiceD.opts
ARTIST
string
"On (2)"
hspiceD.opts
NEWTOL
boolean
nil
hspiceD.opts
ABSMOS
string
"1e-6"
hspiceD.opts
DIAGNOSTIC boolean
nil
hspiceD.opts
MODMONTE
boolean
nil
hspiceD.opts
VFLOOR
string
"0"
hspiceD.opts
FS
string
"25e-2"
hspiceD.opts
CO
string
"Narrow (80)"
hspiceD.opts
DEFAS string
"0"
hspiceD.opts
RESMIN
string
"1e-5"
hspiceD.opts
WARNLIMIT string
"1"
hspiceD.opts
TRCON string
"On (1)"
hspiceD.opts
PSF
string
"ASCII (2)"
hspiceD.opts
BRIEF boolean
nil
hspiceD.opts
ICSWEEP
boolean
nil
hspiceD.opts
DCFOR string
"0"
hspiceD.opts
DCHOLD
string
"1"
hspiceD.opts
GMIN string
"1e-12"
hspiceD.opts
INGOLD
string
"SPICE (2)"
hspiceD.opts
NODE boolean
nil
hspiceD.opts
DCSTEP
string
"0"
hspiceD.opts
ZUKEN string
"Off (1)"
hspiceD.opts
TRTOL string
"7"
hspiceD.opts
BYTOL string
"5e-5"
hspiceD.opts
AUTOSTOP
boolean
nil
hspiceD.opts
LIMTIM
string
"2.0"
hspiceD.opts
EXPMAX
string
"8e+1"
hspiceD.opts
DVDT string
"Balance (3)"
hspiceD.opts
PUTMEAS
boolean
nil
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
NOWARN
boolean
nil
MONTECON
boolean
nil
BEEP string
"Off (0)"
MEASSORT
boolean
nil
NUMDGT
string
"4"
ITL5 string
"0"
BADCHR
boolean
nil
PIVTOL
string
"1e-15"
METHOD
string
"TRAP"
LIMPTS
string
"2001"
CHGTOL
string
"1e-15"
GSHUNT
string
"0"
DVTR string
"1e+3"
DI
string
"0"
HIER_SCALE string
"None ()"
CSHDC string
"1e-12"
RELI string
"1e-2"
PATHNUM
boolean
nil
DEFW string
"1e-4"
POST_VERSION
string
"2001"
DCON string
"Auto (0)"
DEFL string
"1e-4"
DEFNRS
string
"0"
ABSV string
"5e-5"
CONVERGE
string
"Autoconvergance (0)"
NOPIV boolean
nil
LENNAM
string
"8"
DCAP string
"2"
DLENCSDF
string
"5"
ITLPZ string
"100"
MBYPASS
string
"1"
GMINDC
string
"1e-12"
RELV string
"1e-3"
GRAMP string
"0"
ASPEC boolean
nil
DV
string
"1e+3"
SEED string
"259200"
RMIN string
"1e-9"
RELVDC
string
"1e-3"
ACCT string
"Enabled (1)"
RELMOS
string
"5e-2"
TEMPDC
string
"25"
ACCURATE
boolean
nil
CSDF boolean
nil
SEARCH
boolean
nil
MAXAMP
string
"0"
NOMOD boolean
nil
PIVREF
string
"1e8"
NOPAGE
boolean
nil
ALT9999
boolean
nil
CAPTAB
boolean
nil
ITL1 string
"2e+2"
ITL2 string
"5e+1"
POST string
"Binary (1)"
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
hspiceD.opts
PIVREL
string
"1e-20"
ALT999
boolean
nil
MEASFAIL
boolean
nil
STATFL
string
"Create .st0 (0)"
BKPSIZ
string
"5000"
ABSVAR
string
".5"
ITRPRT
boolean
nil
SPICE boolean
nil
GMAX string
"1e+2"
RMAX string
"2"
OFF
boolean
nil
BYPASS
string
"1"
OPTS boolean
nil
IMIN string
"3"
KCLTEST
boolean
nil
CDS
string
"Off (0)"
RELH string
"5e-2"
ALTCHK
string
"On (1)"
ACOUT string
"Star-Hspice Method (1)"
FT
string
"25e-2"
WL
boolean
nil
LIST boolean
nil
RELVAR
string
".30"
MAXORD
string
"Normal (2)"
DEFPS string
"0"
MEASOUT
string
"On (1)"
FAST boolean
nil
CPTIME
string
"1e+7"
IMAX string
"8"
DEFNRD
string
"0"
OPTLST
string
"No Info (0)"
ABSVDC
string
"5e-5"
INTERP
boolean
nil
PROBE boolean
nil
DCCAP boolean
nil
DEFAD string
"0"
TIMERES
string
"1e-12"
SCALM string
"1"
TNOM string
"25"
POSTTOP
string
"0"
MODSRH
boolean
nil
KLIM string
"1e-2"
H9007 boolean
nil
UNWRAP
boolean
nil
CVTOL string
".2"
PLIM string
"SPICE (1)"
MENTOR
string
"Off (0)"
MEASDGT
string
"4"
NOTOP boolean
nil
MU
string
".5"
DEFPD string
"0"
SLOPETOL
string
".5"
SCALE string
"1"
CSHUNT
string
"0"
hspiceD.opts
EPSMIN
string
"1e-28"
hspiceD.opts
EXPLI string
"0"
hspiceD.opts
NOELCK
boolean
nil
hspiceD.opts
ABSI string
"1e-9"
hspiceD.opts
BINPRINT
boolean
nil
hspiceD.opts
PIVOT string
"Fast, non-pivoting (10)"
hspiceD.opts
ITLPTRAN
string
"30"
hspiceD.opts
PURETP
boolean
nil
hspiceD.opts
ABSH string
"0"
;hspiceD outputs partition variables
hspiceD.outputs allAnalogNV boolean
t
hspiceD.outputs allAnalogTC boolean
nil
;hspiceD envOpts partition variables
hspiceD.envOpts autoDisplay boolean
t
hspiceD.envOpts stopViewList
string
"hspiceD spice"
hspiceD.envOpts printComments
boolean
nil
hspiceD.envOpts switchViewList
string
"hspiceD spice cmos_sch
cmos.sch schematic"
;hspiceD init partition variables
hspiceD.init
processPriority int
0
;hspiceD ac partition variables
hspiceD.ac enable
toggle
(nil)
hspiceD.ac sweepType string
"decade"
hspiceD.ac numPoints string
"10"
hspiceD.ac fstart
string
""
hspiceD.ac fstop string
""
hspiceD.ac points
string
""
;hspiceD acOpts partition variables
;hspiceD dc partition variables
hspiceD.dc enable
toggle
(nil)
hspiceD.dc sweep string
"Source"
hspiceD.dc designVar string
""
hspiceD.dc source
string
""
hspiceD.dc sweepType string
"Automatic"
hspiceD.dc start string
""
hspiceD.dc stop string
""
hspiceD.dc stepSize
string
""
hspiceD.dc numPoints string
""
hspiceD.dc points
string
""
;hspiceD dcOpts partition variables
;hspiceD noise partition variables
hspiceD.noise
enable
toggle
(nil)
hspiceD.noise
node string
""
hspiceD.noise
insrc string
""
hspiceD.noise
nums string
"0"
;hspiceD noiseOpts partition variables
;hspiceD op partition variables
hspiceD.op enable
toggle
(nil)
hspiceD.op format
string
"all"
hspiceD.op time string
""
;hspiceD opOpts partition variables
;hspiceD tran partition variables
hspiceD.tran
enable
toggle
(nil)
hspiceD.tran
start string
""
hspiceD.tran
stop string
""
hspiceD.tran
step string
""
hspiceD.tran
uic
boolean
nil
;hspiceD tranOpts partition variables
;hspiceS environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;hspiceS opts partition variables
hspiceS.opts
PARHIER
string
"GLOBAL"
hspiceS.opts
GENK string
"1"
hspiceS.opts
RELQ string
"1e-2"
hspiceS.opts
LVLTIM
string
"default ( 1 )"
hspiceS.opts
BKPSZE
string
"5000"
hspiceS.opts
ARTIST
string
"artistdefault ( 2 )"
hspiceS.opts
ABSMOS
string
"1e-6"
hspiceS.opts
NEWTOL
string
"off"
hspiceS.opts
RMAXDC
string
"1e+2"
hspiceS.opts
DIAGNOSTIC string
"off"
hspiceS.opts
VFLOOR
string
"0"
hspiceS.opts
FS
string
"25e-2"
hspiceS.opts
CO
string
"78"
hspiceS.opts
DEFAS string
"0"
hspiceS.opts
UIC
string
"off"
hspiceS.opts
RESMIN
string
"1e-5"
hspiceS.opts
WARNLIMIT string
"1"
hspiceS.opts
PSF
string
"artistdefault ( 2 )"
hspiceS.opts
BRIEF string
"off"
hspiceS.opts
ICSWEEP
string
"default ( 1 )"
hspiceS.opts
DCFOR string
"0"
hspiceS.opts
DCHOLD
string
"1"
hspiceS.opts
GMIN string
"1e-12"
hspiceS.opts
INGOLD
string
"artistdefault ( 2 )"
hspiceS.opts
DCSTEP
string
"0"
hspiceS.opts
NODE string
"off"
hspiceS.opts
TRTOL string
"7"
hspiceS.opts
LIMTIM
string
"2.0"
hspiceS.opts
EXPMAX
string
"8e+1"
hspiceS.opts
DVDT string
"default ( 3 )"
hspiceS.opts
NOWARN
string
"off"
hspiceS.opts
ITL5 string
"0"
hspiceS.opts
NUMDGT
string
"default ( 4 )"
hspiceS.opts
BADCHR
string
"off"
hspiceS.opts
PIVTOL
string
"1e-15"
hspiceS.opts
LIMPTS
string
"2001"
hspiceS.opts
METHOD
string
"TRAP"
hspiceS.opts
CHGTOL
string
"1e-15"
hspiceS.opts
GSHUNT
string
"0"
hspiceS.opts
DVTR string
"1e+3"
hspiceS.opts
DI
string
"1e+2"
hspiceS.opts
CSHDC string
"1e-12"
hspiceS.opts
RELI string
"1e-2"
hspiceS.opts
PATHNUM
string
"off"
hspiceS.opts
DEFW string
"1e-4"
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
hspiceS.opts
DCON string
"default ( 0 )"
DEFL string
"1e-4"
DEFNRS
string
"0"
TIMRES
string
"1E-12"
CONVERGE
string
"default (
ABSV string
"5e-5"
LENNAM
string
"8"
NOPIV string
"off"
DCAP string
"default ( 2 )"
GMINDC
string
"1e-12"
RELV string
"1e-3"
GRAMP string
"0"
ASPEC string
"off"
DV
string
"1e+3"
RMIN string
"1e-9"
RELVDC
string
"1e-3"
ACCT string
"default ( 1 )"
SPMESS
string
"default (
RELMOS
string
"5e-2"
TEMPDC
string
"25"
CSDF string
"off"
ACCURA
string
"off"
MAXAMP
string
"0"
NOMOD string
"off"
PIVREF
string
"1e8"
NOPAGE
string
"off"
CAPTAB
string
"off"
ITL1 string
"2e+2"
ITL2 string
"5e+1"
POST string
"default ( 0 )"
PIVREL
string
"1e-4"
ABSVAR
string
".5"
ITRPRT
string
"off"
SPICE string
"off"
GMAX string
"1e+2"
RMAX string
"2"
BYPASS
string
"default (
OFF
string
"0"
IMIN string
"3"
KCLTEST
string
"off"
OPTS string
"off"
RELH string
"5e-2"
ACOUT string
"default ( 1 )"
FT
string
"25e-2"
WL
string
"default ( 0 )"
LIST string
"on"
RELVAR
string
".30"
DCOPPT
string
"1"
MAXORD
string
"default (
DEFPS string
"0"
MEASOUT
string
"on"
FAST string
"off"
CPTIME
string
"1e+7"
IMAX string
"8"
1 )"
1 )"
0 )"
2 )"
hspiceS.opts
DEFNRD
string
"0"
hspiceS.opts
OPTLST
string
"default ( 0 )"
hspiceS.opts
ABSVDC
string
"5e-5"
hspiceS.opts
INTERP
string
"0"
hspiceS.opts
DCCAP string
"off"
hspiceS.opts
DEFAD string
"0"
hspiceS.opts
SCALM string
"1"
hspiceS.opts
TNOM string
"25"
hspiceS.opts
DOIRSCAL
string
"0"
hspiceS.opts
KLIM string
"1e-2"
hspiceS.opts
AUTOST
string
"off"
hspiceS.opts
H9007 string
"off"
hspiceS.opts
UNWRAP
string
"off"
hspiceS.opts
CVTOL string
".2"
hspiceS.opts
PLIM string
"default ( 1 )"
hspiceS.opts
K2LIM string
"0"
hspiceS.opts
MBYPAS
string
"1"
hspiceS.opts
MEASDGT
string
"default ( 4 )"
hspiceS.opts
DEFPD string
"0"
hspiceS.opts
MU
string
".5"
hspiceS.opts
NOTOP string
"off"
hspiceS.opts
SCALE string
"1"
hspiceS.opts
CSHUNT
string
"0"
hspiceS.opts
EPSMIN
string
"1e-28"
hspiceS.opts
SDA
string
"default ( 0 )"
hspiceS.opts
ABSI string
"1e-9"
hspiceS.opts
NOELCK
string
"off"
hspiceS.opts
PIVOT string
"default ( 10 )"
hspiceS.opts
ABSH string
"0"
;hspiceS outputs partition variables
hspiceS.outputs allAnalogNV boolean
t
;hspiceS envOpts partition variables
hspiceS.envOpts updateFile string
""
hspiceS.envOpts stimulusFile
string
""
hspiceS.envOpts instViewListTable string
""
hspiceS.envOpts doInstBased boolean
nil
hspiceS.envOpts stopViewList
string
"hspiceS spice"
hspiceS.envOpts reNetlist boolean
nil
hspiceS.envOpts includeSyntax
string
"cdsSpice"
hspiceS.envOpts initFile
string
""
hspiceS.envOpts printComments
boolean
nil
hspiceS.envOpts netlistType string
"hierarchical"
hspiceS.envOpts generateMapFile boolean
nil
hspiceS.envOpts includeFile string
""
hspiceS.envOpts instStopListTable string
""
hspiceS.envOpts switchViewList
string
"hspiceS spice cmos_sch
cmos.sch schematic"
;hspiceS init partition variables
hspiceS.init
processPriority int
0
;hspiceS dc partition variables
hspiceS.dc enable
toggle
(nil)
hspiceS.dc from string
""
hspiceS.dc to
string
""
hspiceS.dc by
string
""
hspiceS.dc device
string
""
;hspiceS dcOpts partition variables
;hspiceS noise partition variables
hspiceS.noise
enable
toggle
(nil)
hspiceS.noise
source
string
""
hspiceS.noise
output
string
""
hspiceS.noise
listEvery string
"1"
;hspiceS noiseOpts partition variables
;hspiceS ac partition variables
hspiceS.ac enable
toggle
(nil)
hspiceS.ac from string
""
hspiceS.ac to
string
""
hspiceS.ac incrType
string
"Logarithmic"
hspiceS.ac lin
string
""
hspiceS.ac log
string
""
;hspiceS acOpts partition variables
;hspiceS tran partition variables
hspiceS.tran
enable
toggle
(nil)
hspiceS.tran
from string
""
hspiceS.tran
to
string
""
hspiceS.tran
by
string
""
hspiceS.tran
delmax
string
""
;hspiceS tranOpts partition variables
;***********************************************************************
; Copyright (C) 1997
; Cadence Design Systems Inc. All Rights Reserved.
;***********************************************************************
;
$Author: bessarab $
;
$Revision: 1.3.2.5 $
;
$Date: 2006/07/24 17:02:03 $
;
$State: Exp $
;***********************************************************************
iccTranslator
enableProbing
boolean
t
iccTranslator
enableComponentUpdate boolean
t
iccTranslator
enableAutoImport boolean
t
iccTranslator
exportCommand
string
"cdba2icc"
iccTranslator
importCommand
string
"icc2cdba"
iccTranslator
iccCommand string
"vcar"
iccTranslator
iccDirectory
string
""
iccTranslator
exportDirectory string
""
iccTranslator
rulesDirectory
string
""
iccTranslator
logOpPointLimit int
0
iccTranslator
oversizePins
boolean
t
iccTranslator
singleImageClusters
boolean
nil
iccTranslator
exportVersion
int
11
iccTranslator
iccMajorSubVersion
int
1
iccTranslator
iccMinorSubVersion
int
33
iccTranslator
permutablePins
boolean
t
iccTranslator
caseSensitive
boolean
t
iccTranslator
schematicStrict boolean
nil
iccTranslator
keepViaImageOrigin
boolean
nil
iccTranslator
exportTo
string
"VCR"
iccTranslator
pinByPinModelEvaluate boolean
nil
iccTranslator
alwaysPinModelEvaluate boolean
nil
iccTranslator
autoTrimPins
boolean
t
iccTranslator
makeVariantViasSpare
boolean
nil
iccTranslator
hierIncrementUpdate
boolean
nil
iccTranslator
MPPAsPolygons
boolean
nil
iccTranslator
noIoPorts boolean
nil
iccTranslator
findKeepoutWidth boolean
nil
iccTranslator
makeFirstMetalLayerTop boolean
nil
iccTranslator.ExportEditor
layoutLibrary
string
""
iccTranslator.ExportEditor
layoutCell string
""
iccTranslator.ExportEditor
layoutView string
""
iccTranslator.ExportEditor
layoutArea string
""
iccTranslator.ExportEditor
netlistSource
string
"layoutCellview"
iccTranslator.ExportEditor
netlistLibrary
string
""
iccTranslator.ExportEditor
netlistCell string
""
iccTranslator.ExportEditor
netlistView string
""
iccTranslator.ExportEditor
netlistFile string
""
iccTranslator.ExportEditor
useAlternateViews boolean
nil
iccTranslator.ExportEditor
alternateViews
string
""
iccTranslator.ExportEditor
conductorDepth
int
32
iccTranslator.ExportEditor
keepoutDepth
int
32
iccTranslator.ExportEditor
pinConnection
string
"strong"
iccTranslator.ExportEditor
useMarker boolean
nil
iccTranslator.ExportEditor
exportMode string
"foreground"
iccTranslator.ExportEditor
fullConnectivity boolean
t
iccTranslator.ExportEditor
cutToEdge boolean
nil
iccTranslator.ExportEditor
interLayer boolean
t
iccTranslator.ExportEditor
incrementalUpdate boolean
t
iccTranslator.ExportEditor
useRulesFile
boolean
nil
iccTranslator.ExportEditor
rulesFile string
""
iccTranslator.ExportEditor
routerOption
string
"vcar"
iccTranslator.ExportEditor
startICC
boolean
t
iccTranslator.ExportEditor
iccOptions string
""
iccTranslator.ExportEditor
preRoutesAsRoute boolean
nil
iccTranslator.ImportEditor
importFile string
""
iccTranslator.ImportEditor
importFileType
string
"session"
iccTranslator.ImportEditor
importLibrary
string
""
iccTranslator.ImportEditor
importCell string
""
iccTranslator.ImportEditor
importView string
""
iccTranslator.ImportEditor
boundary
boolean
t
iccTranslator.ImportEditor
placement boolean
t
iccTranslator.ImportEditor
routes
boolean
t
iccTranslator.ImportEditor
importMode string
"foreground"
iccTranslator.ImportEditor
segmentedPath
boolean
nil
iccTranslator.ImportEditor
usePinPurpose
boolean
nil
ihdl
ihdl
ihdl
ihdlLoadFileName string
"~/.ihdlEnvFile";Load file name
pin_master_basic_lib
boolean
t
pin_master_cells string
"ipin opin iopin"
;1***********************************************************************
*
;2* Layout Environment Variables
;3* There are three categories in this file. Public env, wire editor env
;4* and private env. Privat envs should never be touched by customers.
;5***********************************************************************
*
;
;
;************************************************************************
*
;* The following layout editor environment variables are for customer
;* use. Refer to the documentation for complete information.
;************************************************************************
*
layout
abutServerOn
boolean
t
layout
accessEdgesOn
boolean
nil
layout
acuteAnglePath
boolean
t
layout
alignApplySeparation
boolean
t
layout
alignApplySpacings
string
"Component Space"
layout
alignDirection
string
"vertical"
layout
alignLayer string
""
layout
alignMethod string
"Component Origin"
layout
alignSelectionMode
string
"preselect"
layout
alignSeparation float 1.0
layout
applyBounce boolean
nil
layout
arrayDisplay
string
"Full"
layout
autoContact boolean
nil
layout
autoExtractEnabled
boolean
nil
layout
autoExtractSaveEnabled boolean
t
layout
autoInstPin boolean
nil
layout
autoNetName boolean
t
layout
autoPermutePins boolean
t
layout
autoRefresh boolean
nil
layout
autoSetRefPoint boolean
t
layout
chopShape cyclic
"rectangle"
layout
confirmDelete
boolean
nil
layout
contactColumns
int
1
layout
contactDefFromTechfile boolean
t
layout
contactDelX float 0.0
layout
contactDelY float 0.0
layout
contactJustify
cyclic
"centerCenter"
layout
contactLength
float 0.8
layout
contactName string
""
layout
contactResetDelXY boolean
t
layout
contactResetXYBias
boolean
t
layout
contactResetRowCol
boolean
t
layout
contactRows int
1
layout
contactWidth
float 0.8
layout
copyColumns int
1
layout
copyResetRowCol boolean
nil
layout
copyRows
int
1
layout
copyToLayer boolean
nil
layout
createPinLabel
boolean
nil
layout
cursorShape boolean
nil
layout
deleteTarget
cyclic
"selected"
layout
descendPromptForView
boolean
nil
layout
devClass
string
""
layout
devType
string
""
layout
displayPinNames boolean
nil
layout
displayRefPoint boolean
nil
layout
displayRowName
boolean
nil
layout
displayRowSite
boolean
nil
layout
displayStartLevel int
0
layout
displayStopLevel int
0
layout
displayStretchHandles boolean
nil
layout
dotsOn
boolean
nil
layout
drawAxesOn boolean
t
layout
drawDottedGridOn boolean
t
layout
drawGridOn boolean
t
layout
drawInstancePins boolean
nil
layout
drawSurroundingOn boolean
t
layout
drdEditMode cyclic
"off"
layout
drdEditHierDepth int
0
layout
drdEditDisplayMarkers boolean
nil
layout
drdEditDisplayHalo
boolean
nil
layout
drdEditDisplayText
boolean
t
layout
drdEditDisplayArrows
boolean
t
layout
drdEditDisplayEdges
boolean
nil
layout
drdEditTextHeight int
10
layout
drdEditVioLimit int
10
layout
drdEditAutoText boolean
t
layout
dynamicHilightOn boolean
t
layout
extractEnabled
boolean
t
layout
extractStopLevel int
0
layout
extractShowWeakMarkers boolean
t
layout
extractShowMustConnectMarkers
boolean
t
layout
extractShowUnimplementedInstTerms boolean
t
layout
filterSize float 6.0
layout
filterSizeDrawingStyle string
"empty"
layout
fixedWidthPaths boolean
nil
layout
flattenMode cyclic
"one level"
layout
flattenPCells
boolean
nil
layout
gravityAperture float 0.3
layout
gravityBounceX
float 0.0
layout
gravityBounceY
float 0.0
layout
gravityDepth
int
0
layout
gravityOn boolean
nil
layout
gravityType string
"pin edge midpoint nexus vertex end
centerline"
layout
gridMultiple
int
5
layout
gridSpacing float 1.0
layout
iconsOn
boolean
nil
layout
ignoreBounce
boolean
nil
layout
instCellName
string
""
layout
instColumns int
1
layout
instDelX
float 0.0
layout
instDelY
float 0.0
layout
instLabel string
"master"
layout
instLibName string
""
layout
instName
string
""
layout
instRows
int
1
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
instViewName
string
"layout"
keepFirstTermName boolean
nil
keepRuler boolean
t
labelAttach boolean
nil
labelDrafting
boolean
t
labelFontStyle
cyclic
"stick"
labelHeight float 1.0
labelJustify
cyclic
"centerCenter"
labelOverbar
boolean
nil
labelText string
""
leDispNetExpr
boolean
t
leWindowBBox
string
"((120 185) (1020 875))"
lockAngles boolean
t
magnification
float 1.0
maintainConnections
boolean
nil
markerZoomScale int
1
maxDragFig int
500
modCornerRadius float 1.0
modCornerType
cyclic
"radial"
modalCommands
boolean
t
moveToLayer boolean
nil
mppASCIIFileName string
""
mppTemplate string
"New"
multiSegRuler
boolean
nil
muteUnstretchableOption
boolean
t
nPtsToTaper int
20
allowLockedRoutes boolean
nil
netsOn
boolean
nil
numLevels int
32
numSides
int
20
openToStopLevel boolean
t
orientation cyclic
"R0"
resetOrientation boolean
t
originMarkersOn boolean
nil
partialSelect
boolean
nil
pathBeginExt
float 0.0
pathCL
cyclic
"yes"
pathEndExt float 0.0
pathJustify cyclic
"center"
pathOffset float 0.0
pathStyle cyclic
"truncateExtend"
pathWidth float 0.6
pinAccessDir
string
"left right top bottom"
pinLength float 0.6
pinSelectionMode cyclic
"ignoreLPP"
pinShape
cyclic
"rectangle"
pinTextLayer
string
"text"
pinTextPurpose
string
"drawing"
pinLayerPurpose string
""
pinTextSameLayer boolean
nil
pinType
string
""
pinWidth
float 0.6
pinXPitch float 0.0
pinYPitch float 0.0
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
pinsAreSymbolic boolean
t
polygonArc boolean
nil
preservePins
boolean
nil
preserveRodObjects
boolean
nil
preserveTerminalContacts
boolean
nil
propClass cyclic
"attributes"
propEditorWidth int
575
propEditorHeight int
320
recursionCheck
boolean
t
removeChopShape boolean
t
replaceFigs boolean
t
reshapeType cyclic
"rectangle"
rodAutoName string
""
segSnapMode string
"orthogonal"
selectOnlyInstWithSelectableShapes boolean
selectionAperture int
5
showLPPFullName boolean
nil
showWhatsNew
string
"yes"
snapMode
string
"orthogonal"
snapToGrid boolean
nil
snapToTrack boolean
nil
startLevel int
0
stopLevel int
0
stretchPCellApplyToName
boolean
t
taperStyle cyclic
"linear"
termDirection
cyclic
"inputOutput"
termName
string
""
textJustificationOn
boolean
t
tinyInstDetail
boolean
nil
trlBendFac float 1.0
trlBendStyle
cyclic
"bend"
trlChamFac float 0.6
trlNPtsToPI int
20
trlRadFac float 3.0
trlWidth1 float 20.0
trlWidth2 float 20.0
updatePCellIncrement
float -1.0
useDefaultVia
boolean
t
useDisplayGrid
boolean
nil
useEditorBackgroundColorForLSW
boolean
useTrueBBox boolean
nil
userVia
string
""
xSnapSpacing
float 0.1
ySnapSpacing
float 0.1
yankShape cyclic
"rectangle"
zoomToFig boolean
nil
cdsViaXcutSpacing float 0.0
cdsViaYcutSpacing float 0.0
cdsViaLayer1XEnclosure float 0.0
cdsViaLayer1YEnclosure float 0.0
cdsViaLayer2XEnclosure float 0.0
cdsViaLayer2YEnclosure float 0.0
cdsViaLayer1XDirEnclosure
float 0.0
cdsViaLayer1YDirEnclosure
float 0.0
nil
t
layout
cdsViaLayer2XDirEnclosure
float 0.0
layout
cdsViaLayer2YDirEnclosure
float 0.0
layout
cdsViaLayer1Direction string
""
layout
cdsViaLayer2Direction string
""
layout
cdsViaStackedVias boolean
nil
layout
cdsViaResetXYCutSpacing
boolean
t
layout
cdsViaResetLayer1XYEnclosure boolean
t
layout
cdsViaResetLayer2XYEnclosure boolean
t
layout
cdsViaResetLayer1XYDirEnclosure
boolean
t
layout
cdsViaResetLayer2XYDirEnclosure
boolean
t
layout
cdsViaResetLayerDirection
boolean
t
layout
cdsViaResetOrigin boolean
t
layout
displayViaShapes boolean
nil
layout
tapType
string
"layer"
layout
layerTapCycle
boolean
nil
layout
layerTapPick
boolean
nil
layout
remasterInstCheckTerms boolean
nil
layout
remasterInstSearchLibText
string
""
layout
remasterInstSearchCellText
string
""
layout
remasterInstSearchViewText
string
""
layout
remasterInstUpdateLibText
string
""
layout
remasterInstUpdateCellText
string
""
layout
remasterInstUpdateViewText
string
""
layout
chainMode cyclic
"selected"
layout
anchor
cyclic
"left"
layout
shortEnhContactForm
boolean
nil
layout
markNetRange
cyclic
"Top to bottom"
layout
markNetStartLevel int
0
layout
markNetStopLevel int
0
layout
markNetAutoColorCycle boolean
t
layout
markNetDumpNetObj boolean
nil
layout
measurementDisplayOn
boolean
nil
layout
measurementTextHeight int
10
layout
measurementDisplayTypes
string
"deltaXY distance
radius area length"
layout
autoCompleteContact
boolean
t
layout
makeCellUseOrigin boolean
nil
;
;
;************************************************************************
*****
;* The following layout editor environment variables are for customer use
;* with the wire editor functionality in Virtuoso XL Layout Editor only.
;* Refer to the documentation for complete information.
;************************************************************************
*****
layout
allowFloatingNets boolean
nil
layout
allowJogs boolean
t
layout
allowRedundantWiring
boolean
t
layout
autoAdjustLength boolean
nil
layout
autoShield boolean
nil
layout
autoViaArrayExpand
boolean
t
layout
busOverride cyclic
"ignore"
layout
busOverrideValue float 1.0
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
layout
busWireSpacing
float 0.0
busWireSpacingType
cyclic
"wirewire"
checkConflict
boolean
t
checkCornerCorner boolean
nil
checkCrossTalk
boolean
t
checkLength boolean
t
checkLimitWay
boolean
nil
checkMaxProcessWireWidth
boolean
nil
checkMaxStackViaDepth boolean
nil
checkMaxTotalVia boolean
nil
checkMinMaskEdgeLength boolean
nil
checkMinProcessWireWidth
boolean
t
checkMiter boolean
nil
checkNetOrder
boolean
nil
checkOffManGridPin
boolean
nil
checkOffWireGridPin
boolean
nil
checkPinSpacing boolean
t
checkPolygonWire boolean
t
checkProtected
boolean
nil
checkReentrantPath
boolean
t
checkRegion boolean
t
checkSameNet
boolean
nil
checkSegment
boolean
nil
checkStub boolean
nil
checkUseLayers
boolean
nil
checkPreferLayers boolean
nil
checkUseVias
boolean
nil
checkWireExtension
boolean
nil
doFile
string
""
enableBusRouting boolean
t
enableTandemPair boolean
nil
fitViaPattern
boolean
nil
gatherBusWires
boolean
nil
grEnclosedBy
float 0.0
inaccessiblePin boolean
t
interactiveChecking
boolean
t
matchPinWidth
boolean
nil
matchPinWidthValue
cyclic
"narrow"
matchTargetPinWidth
boolean
nil
matchTargetPinWidthValue
cyclic
"narrow"
matchWireWidth
boolean
nil
matchWidthSticky boolean
nil
multiplePinsConnection boolean
t
pinLargerMaxProcessWidth
boolean
nil
pinSmallerMinProcessWidth
boolean
t
pushComponent
boolean
nil
pushRouting boolean
t
routeAsManyAsPossible boolean
nil
routeToCursor
boolean
nil
routeToCursorStyle
cyclic
"singleLayer"
sameNetChecking boolean
nil
showTimingMeter boolean
nil
showTimingOctagon boolean
nil
snapToPinOrigin boolean
nil
layout
useDoFile boolean
nil
layout
viaAssistance
cyclic
"snap"
layout
viaPattern cyclic
"diag_1"
layout
allowViaOnCurrentLayer boolean
t
layout
allowSameNetPicking
boolean
nil
;
;
;************************************************************************
********
;* The following layout editor environment variables are for internal
Cadence
;* use only. Changing the values of these variables my cause the layout
editor
;* or other Cadence software to behave improperly or quit.
;************************************************************************
********
layout
abutPerpSnapOn
boolean
t
layout
coalesceLimit
int
16
layout
coalesceRatio
int
50
layout
connStatus cyclic
"needToConnect"
layout
contactInstLibName
string
""
layout
criticality int
10
layout
eipRedraw boolean
nil
layout
figOrder
cyclic
"current"
layout
iterNum
int
0
layout
jumpersOn boolean
nil
layout
minLabelSize
float 1.0
layout
minRefreshArea
int
10
layout
minStippleSize
float 1.0
layout
moreDialogs boolean
t
layout
netName
string
""
layout
grMode
string
"Rectilinear"
layout
outlineOnly boolean
t
layout
pickNCreate boolean
nil
layout
pinInstLibName
string
""
layout
probeTextOn boolean
t
layout
pruneSize float 1.0
layout
routeMenuOn boolean
t
layout
toggle
boolean
nil
layout
transformMarkersOn
boolean
t
layout
viewNameList
string
""
;
;
;************************************************************************
******
;* The following variables are for OA native object editing and VCE
;************************************************************************
******
layout
autoTap
boolean
nil
layout
autoReshape boolean
nil
layout
autoTerminate
boolean
nil
layout
routeSpec string
""
layout
routeType string
"symbolic"
layout
routePurpose
string
"drawing"
layout
routeStatus cyclic
"fixed"
layout
routeTopology
cyclic
"none"
layout
rowSiteEnable
boolean
nil
layout
remasterInstVCEConnVerifyer boolean
nil
;
;
;************************************************************************
*
;************************************************************************
*
;************************************************************************
*
layout
toolboxDisplayMenus
boolean
nil
layout
toolboxUseBindkeys
boolean
t
layout
toolboxUseMousekeys
boolean
t
layout
toolboxRulesFile string
""
;1***********************************************************************
*
;2* Layout Environment Variables
;3* There are three categories in this file. Public env, LO env
;4* and private env. Private envs should never be touched by customers.
;5***********************************************************************
*
;
;
;************************************************************************
*
;* The following layout editor environment variables are for customer
;* use. Refer to the documentation for complete information.
;************************************************************************
*
layoutMigrate
priorityUserDefined
int
12
layoutMigrate
priorityMaximum int
11
layoutMigrate
priorityUser
int
10
layoutMigrate
priorityAreaHole int
9
layoutMigrate
priorityScale
int
8
layoutMigrate
prioritySpace
int
7
layoutMigrate
priorityEnclose int
6
layoutMigrate
priorityExtend
int
5
layoutMigrate
priorityWidth
int
4
layoutMigrate
priorityNoJog
int
3
layoutMigrate
priorityAlign
int
2
layoutMigrate
priorityTopology int
1
layoutMigrate
priorityOverlap int
0
layoutMigrate
addToSelection
boolean
nil
layoutMigrate
visibleLayer
boolean
t
layoutMigrate
selectableLayer boolean
nil
layoutMigrate
exclusiveLayer
boolean
nil
layoutMigrate
exclusiveArea
boolean
nil
layoutMigrate
migrateLibraries boolean
nil
layoutMigrate
mapPcellParams
boolean
nil
layoutMigrate
useSchematicLinks boolean
nil
layoutMigrate
schematicViewName string
"schematic"
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
;legacy typo
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
Icon"
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
target value"
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
debugMode boolean
t
localWorkingDir string
doPreProcess
boolean
preprocessScript string
"."
t
"preprocess.qts"
doAstractSource boolean
nil
doAbstractSource boolean
nil
abstractSourceScript
string
"abstractsource.qts"
doAbstractTarget boolean
nil
abstractTargetScript
string
"abstracttarget.qts"
doPremigrate
boolean
nil
premigrateScript string
"premigrate.qts"
doNetlistBinding boolean
nil
netlistFile string
"netlist.cdl"
useConstraintScript
boolean
nil
constraintScript string
"constraints.qts"
doPostprocess
boolean
t
postprocessScript string
"postprocess.qts"
lastScript string
""
layerOrder string
"Alphabetical Order"
layerDisplay
string
"Display Layer/Purpose
targetCellLib
string
"Target libraries"
srcValidLayer
boolean
nil
tgtValidLayer
boolean
nil
autoMap
string
"source value always map to
previewLibPath
string
"./"
migrateMapFile
string
"migratemap.il"
oldMapFileFormat boolean
nil
techfile
string
""
ignorePurpose
boolean
t
optimizeX boolean
t
optimizeY boolean
t
allowZeroEdges
boolean
t
allow45Edges
boolean
t
scaleDevicesWires boolean
t
sumDeviceLegs
boolean
t
lockPcells boolean
nil
useQuickSolver
boolean
nil
useHyperSolveMode boolean
nil
centerLabels
boolean
nil
minimizeMemory
boolean
nil
keepResultsOnDisk boolean
t
yieldOptimization boolean
nil
expandSingletons boolean
nil
optimizeEnclose boolean
nil
genConstraintStats
boolean
nil
justFixMode boolean
nil
optimizeEffort
int
1
autoRelaxDevices boolean
nil
autorelaxMaxConstraints
boolean
nil
autorelaxConstraintsByType
boolean
nil
autoRelaxTolerance
float 50.0
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
layoutMigrate
autoJogEdges
boolean
nil
autoJogWires
boolean
nil
autoJogLimit
int
1000
autoJogThreshold float 100.0
autoInfeasJogEdges
boolean
nil
autoInfeasJogWires
boolean
nil
autoInfeasJogLimit
int
1000
revisitOneByOne2D boolean
nil
revisit2DCost
float 100.0
revisitFlash2D
boolean
t
manufGrid2D boolean
nil
changeEndOfLine boolean
t
changeCommonRun boolean
t
changeCornerCorner
boolean
t
changeCornerDir boolean
t
changeCornerXY
boolean
nil
manufGridEnable boolean
t
manufGridPercent float 0.1
manufGridIteration
int
500
manufGridCPUTime int
10000
manufGridCPUTimeBestResult
int
2000
manufGridNoQuickSolver boolean
t
ioGridEnable
boolean
t
ioGridPercent
float 1.0
ioGridIteration int
500
ioGridCPUTime
int
5000
ioGridCPUTimeBestResult
int
1000
collapseVirtuals boolean
t
ignoreLengthsNetlistBinding boolean
nil
ignoreLengthsLayoutExtraction
boolean
propagateTieoffs boolean
t
reduceResistors boolean
t
caseInsensitive boolean
t
remoteHost string
""
remoteWorkingDir string
""
useRemoteHost
boolean
nil
remoteVirtuosoDir string
""
RemoteQtkDir
string
""
remoteExecPath
string
""
processPriority int
15
newAnnotation
boolean
t
newConstraintsBrowser boolean
t
constraintShowShortcut boolean
t
constraintCostMin float -1000000.0
constraintCostMax float 1000000.0
zoomToConstraint boolean
t
centerOnConstraint
boolean
t
descendDisplayConstraint
boolean
t
annotateArrowSize float 0.01
annotateSelectAperture float 0.02
topCellView string
"None"
doGroupAbutment boolean
nil
nil
;1***********************************************************************
*
;2* Layout Environment Variables
;3* There are three categories in this file. Public env, LO env
;4* and private env. Private envs should never be touched by customers.
;5***********************************************************************
*
;
;
;************************************************************************
*
;* The following layout editor environment variables are for customer
;* use. Refer to the documentation for complete information.
;************************************************************************
*
layoutOptimize
priorityUserDefined
int
12
layoutOptimize
priorityMaximum int
11
layoutOptimize
priorityUser
int
10
layoutOptimize
priorityAreaHole int
9
layoutOptimize
priorityScale
int
8
layoutOptimize
prioritySpace
int
7
layoutOptimize
priorityEnclose int
6
layoutOptimize
priorityExtend
int
5
layoutOptimize
priorityWidth
int
4
layoutOptimize
priorityNoJog
int
3
layoutOptimize
priorityAlign
int
2
layoutOptimize
priorityTopology int
1
layoutOptimize
priorityOverlap int
0
layoutOptimize
addToSelection
boolean
nil
layoutOptimize
visibleLayer
boolean
t
layoutOptimize
selectableLayer boolean
nil
layoutOptimize
exclusiveLayer
boolean
nil
layoutOptimize
exclusiveArea
boolean
nil
layoutOptimize
debugMode boolean
t
layoutOptimize
localWorkingDir string
"."
layoutOptimize
doPreProcess
boolean
nil
layoutOptimize
preprocessScript string
"preprocess.qts"
layoutOptimize
useConstraintScript
boolean
nil
layoutOptimize
constraintScript string
"constraints.qts"
layoutOptimize
doPostprocess
boolean
nil
layoutOptimize
postprocessScript string
"postprocess.qts"
layoutOptimize
lastScript string
""
layoutOptimize
migrateMapFile
string
"migratemap.il"
layoutOptimize
techfile
string
""
layoutOptimize
ignorePurpose
boolean
t
layoutOptimize
optimizeX boolean
t
layoutOptimize
optimizeY boolean
t
layoutOptimize
allow45Edges
boolean
t
layoutOptimize
sumDeviceLegs
boolean
nil
layoutOptimize
lockPcells boolean
nil
layoutOptimize
keepResultsOnDisk boolean
nil
layoutOptimize
yieldOptimization boolean
nil
layoutOptimize
expandSingletons boolean
nil
layoutOptimize
optimizeEnclose boolean
nil
layoutOptimize
genConstraintStats
boolean
nil
layoutOptimize
justFixMode boolean
nil
layoutOptimize
optimizeEffort
int
1
layoutOptimize
autoRelaxDevices boolean
nil
layoutOptimize
autorelaxMaxConstraints
boolean
nil
layoutOptimize
autorelaxConstraintsByType
boolean
nil
layoutOptimize
autoRelaxTolerance
float 50.0
layoutOptimize
autoJogEdges
boolean
nil
layoutOptimize
autoJogWires
boolean
nil
layoutOptimize
autoJogLimit
int
1000
layoutOptimize
autoJogThreshold float 100.0
layoutOptimize
autoInfeasJogEdges
boolean
nil
layoutOptimize
autoInfeasJogWires
boolean
nil
layoutOptimize
autoInfeasJogLimit
int
1000
layoutOptimize
revisitOneByOne2D boolean
nil
layoutOptimize
revisit2DCost
float 100.0
layoutOptimize
revisitFlash2D
boolean
t
layoutOptimize
manufGrid2D boolean
nil
layoutOptimize
changeEndOfLine boolean
t
layoutOptimize
changeCommonRun boolean
t
layoutOptimize
changeCornerCorner
boolean
t
layoutOptimize
changeCornerDir boolean
t
layoutOptimize
changeCornerXY
boolean
t
layoutOptimize
manufGridEnable boolean
t
layoutOptimize
manufGridPercent float 0.1
layoutOptimize
manufGridIteration
int
500
layoutOptimize
manufGridCPUTime int
1000
layoutOptimize
manufGridCPUTimeBestResult
int
500
layoutOptimize
manufGridNoQuickSolver boolean
t
layoutOptimize
ignoreLengthsLayoutExtraction
boolean
layoutOptimize.state
menuState string
"Migrate"
layoutOptimize
newAnnotation
boolean
t
layoutOptimize
newConstraintsBrowser boolean
t
layoutOptimize
constraintShowShortcut boolean
t
layoutOptimize
constraintCostMin float -1000000.0
layoutOptimize
constraintCostMax float 1000000.0
layoutOptimize
zoomToConstraint boolean
t
layoutOptimize
centerOnConstraint
boolean
t
layoutOptimize
descendDisplayConstraint
boolean
t
layoutOptimize
annotateArrowSize float 0.01
layoutOptimize
annotateSelectAperture float 0.02
layoutOptimize
topCellView string
"None"
layoutOptimize
doGroupAbutment boolean
nil
;1************************************************************
;2* Layout XL Environment Variables
;3* Note: entries in this file must be in alphabetical order.
;4************************************************************
layoutXL
autoAbutment
boolean
t
layoutXL
autoArrange boolean
t
layoutXL
autoSpace boolean
t
layoutXL
chainPartitionSizeMax int
8
layoutXL
checkTimeStamps boolean
t
layoutXL
ciwWindow string
"((0 0) (0 0))"
nil
layoutXL
constraintAssistedMode boolean
nil
layoutXL
createBoundaryLabel
boolean
nil
layoutXL
crossSelect boolean
t
layoutXL
ignoredParams
string
""
layoutXL
ignoreParamsForCAS
string
""
layoutXL
checkOldIgnoredParamsProps
boolean
nil
layoutXL
paramsToIgnore
string
""
layoutXL
paramsToIgnoreForCheck string
""
layoutXL
ignoreNames string
""
layoutXL
propsUsedToIgnoreObjs string
"lxRemoveDevice lvsIgnore
ignore nlAction"
layoutXL
propsUsedToIgnoreObjsForCheck
string
""
layoutXL
deleteUnmatchedInsts
boolean
nil
layoutXL
deleteUnmatchedPins
boolean
nil
layoutXL
dlrNetConstNetClass
string
"neutral"
layoutXL
dlrNetConstNetWeight
string
"normal"
layoutXL
dlrRoutingBoundaryOption
string
"auto boundary"
layoutXL
flightLineEnable boolean
t
layoutXL
incNetCycleHilite boolean
t
layoutXL
incNetHiliteLayer string
"y0 drawing"
layoutXL
initAspectRatio float 1.0
layoutXL
initAspectRatioOption string
"Aspect Ratio W/H"
layoutXL
initBoundaryLayer string
"prBoundary drawing"
layoutXL
initEstimateArea boolean
t
layoutXL
initIOLabelType string
"Label"
layoutXL
initIOPinLayer
string
""
layoutXL
initIOPinName
string
""
layoutXL
initPinHeight
float 0.0
layoutXL
initPinWidth
float 0.0
layoutXL
initPinMultiplicity
int
1
layoutXL
initPrBoundaryH float 0.0
layoutXL
initPrBoundaryW float 0.0
layoutXL
initSymbolicPins boolean
nil
layoutXL
initUtilization float 25.0
layoutXL
initGlobalNetPins boolean
t
layoutXL
initCreatePins
boolean
t
layoutXL
initCreateInstances
boolean
t
layoutXL
initCreateBoundary
boolean
t
layoutXL
initDoStacking
boolean
nil
layoutXL
initDoFolding
boolean
nil
layoutXL
layoutWindow
string
"((0 0) (0 0))"
layoutXL
lswWindow string
"((0 0) (0 0))"
layoutXL
lxAllowPseudoParallelNets
boolean
nil
layoutXL
lxDeltaWidth
float 0.0
layoutXL
lxDoNotCheck
boolean
nil
layoutXL
lxGetSignifDigits int
0
layoutXL
drdUseNetName
boolean
nil
layoutXL
lxLocalAbutment boolean
nil
layoutXL
lxSchExtractTopLevelOnly
boolean
nil
layoutXL
lxStackPartitionParameters
string
"(1 100)"
layoutXL
lxUseLibList
string
""
layoutXL
lxWidthTolerance string
"(0.0 0.0)"
layoutXL
mfactorNames
string
"m M"
layoutXL
mfactorSplit
boolean
t
layoutXL
moveAsGroup boolean
t
layoutXL
orientation string
"R0"
layoutXL
paramTolerance
float 1e-06
layoutXL
pathLayerFilter string
""
layoutXL
pathProbe boolean
t
layoutXL
pathPurposeList string
"drawing net pin"
layoutXL
pathSwitchLayer boolean
t
layoutXL
pathSwitchPurpose boolean
t
layoutXL
pinTextSamePurpose
boolean
nil
layoutXL
preserveTerminalContacts
boolean
nil
layoutXL
probeCycleHilite boolean
t
layoutXL
probeDevice boolean
t
layoutXL
probeHiliteLayer string
"hilite drawing"
layoutXL
probeNet
boolean
t
layoutXL
probePin
boolean
t
layoutXL
probeInfoInCIW
boolean
nil
layoutXL
infoWindow boolean
t
layoutXL
schematicWindow string
"((0 0) (0 0))"
layoutXL
shapeSwitchLayer boolean
nil
layoutXL
showIncNetEnable boolean
nil
layoutXL
stopList
string
"layout compacted symbolic"
layoutXL
templateFileName string
""
layoutXL
updateReplacesMasters boolean
t
layoutXL
updateWithMarkers boolean
t
layoutXL
viewList
string
"schematic netlist symbol layout
compacted symbolic"
layoutXL
vxlDebugLog boolean
nil
layoutXL
vxlDebugLogFileName
string
"/tmp/vxl.log"
layoutXL
initCreateMTM
boolean
nil
layoutXL
sfactorNames
string
"s S"
layoutXL
sfactorParam
string
"r R c C l L"
layoutXL
mfactorSplitParamNames string
"w"
layoutXL
setPPConn boolean
t
layoutXL
lxSupplyNetNames string
"vcc vcc! vcc: vdd vdd! vdd:"
layoutXL
lxGroundNetNames string
"gnd gnd! gnd: vss vss! vss:"
layoutXL
lxGenerationOrientation
string
"preserve"
layoutXL
lxGenerationTopLevelOnly
boolean
nil
layoutXL
lxInitResetSource boolean
nil
layoutXL
compTypeRefLibs string
"NONE"
layoutXL
traverseMixedHierarchies
boolean
nil
layoutXL
lxEvalCDFCallbacks
boolean
nil
layoutXL
cloningDoExactMatch
boolean
nil
layoutXL
colorDraglines
boolean
nil
layoutXL
showDraglinesForDistantConns boolean
nil
layoutXL
hideDraglinesForGlobalNets
boolean
nil
;*********** AUTO-PLACE-FORM **************************
layoutXL.placement
allowRotation
boolean
t
layoutXL.placement
globalPlacement boolean
t
layoutXL.placement
openWindow boolean
nil
layoutXL.placement
optimizePlacement boolean
nil
layoutXL.placement
rulesFile string
""
layoutXL.placement
runTime
cyclic
"moderate"
layoutXL.placement
saveAs
boolean
nil
layoutXL.placement
saveAsCellName
string
""
layoutXL.placement
saveAsLibName
string
""
layoutXL.placement
saveAsViewName
string
""
layoutXL.placement
vcpConductorDepth int
2
layoutXL.placement
vcpKeepoutDepth int
2
;*********** PP-FORM **************************
layoutXL.placement
adjustBdy boolean
nil
layoutXL.placement
allowChain boolean
t
layoutXL.placement
alignComp cyclic
"Outside"
layoutXL.placement
railAlignment
cyclic
"Bottom"
layoutXL.placement
allowPins boolean
nil
layoutXL.placement
allowRowsBeyondRegion boolean
nil
layoutXL.placement
allowRowsBeyondPartition
boolean
nil
layoutXL.placement
createPinRow
boolean
nil
layoutXL.placement
expandRowDirection
cyclic
"Horizontally"
layoutXL.placement
enableInterRowPairUtil boolean
nil
layoutXL.placement
enableIntraRowPairUtil boolean
t
layoutXL.placement
edgeOffset string
""
layoutXL.placement
intraRowPairSpacing
string
""
layoutXL.placement
intraRowPairUtil cyclic
"100%"
layoutXL.placement
intraRowPairUtilValue string
""
layoutXL.placement
interRowPairSpacing
string
""
layoutXL.placement
interRowPairUtil cyclic
"Spacing"
layoutXL.placement
interRowPairUtilValue string
""
layoutXL.placement
layoutGenerateOption
cyclic
"Generate Only
Missing Components"
layoutXL.placement
minIntraRowPairSpacing string
""
layoutXL.placement
nDiffSpacing
string
""
layoutXL.placement
nThreshold string
""
layoutXL.placement
numRowOption
cyclic
"Maximum"
layoutXL.placement
pThreshold string
""
layoutXL.placement
pDiffSpacing
string
""
layoutXL.placement
preserveConstrainedObj boolean
nil
layoutXL
lxStackMinimalFolding boolean
nil
layoutXL
lxFingeringNames string
"fingers finger"
layoutXL.placement
rowCount
string
""
layoutXL.placement
rowDirection
cyclic
"Horizontal"
layoutXL.placement
rowGroundLayer
string
""
layoutXL.placement
rowGroundName
string
""
layoutXL.placement
rowGroundWidth
float 1.0
layoutXL.placement
rowPowerLayer
string
""
layoutXL.placement
rowPowerName
string
""
layoutXL.placement
rowPowerWidth
float 1.0
layoutXL.placement
rowSupplyPosition cyclic
"Outside"
layoutXL.placement
rowMOSSupplyPattern
cyclic
"N-P-P-N"
layoutXL.placement
railToDeviceSpacing
string
""
layoutXL.placement
rowSupplySpacing string
""
layoutXL.placement
rowSTDAllowFlip boolean
t
layoutXL.placement
rowSTDSupplyPattern
cyclic
"G-P-P-G"
layoutXL.placement
congestionMapLayers
string
"((designFlow
drawing) (designFlow drawing1) (designFlow drawing2) (designFlow
drawing3) (designFlow drawing4) (designFlow drawing5) (designFlow
drawing6) (designFlow drawing7) (designFlow drawing8) (designFlow
drawing9))"
layoutXL.placement
vcpGlobalPathScript
string
""
layoutXL.placement
layoutXL.placement
layoutXL.placement
layoutXL.placement
vcpVerboseLevel int
1
vcpWriteToCIW
boolean
nil
vcpCellBoundaryLPPs
string
vcpCellBoundaryUI boolean
nil
""
;
; Library Converter Environment Variables
; This tool registration file should not be edited
; since customization is done via the file in
; <cdshier>/samples/.cdsenv
;
libcvt
allVersions boolean
nil
libcvt
attachedTechfileName
string
"<NONE>"
libcvt
blankReplace
string
"_"
libcvt
checkDiskSpace
boolean
t
libcvt
dmChoice
string
"No DM"
libcvt
dstName
string
""
libcvt
dstPath
string
"."
libcvt
edgeDBU
int
160
libcvt
edgeLayerFile
string
""
libcvt
edgeLevels int
4
libcvt
edgePath
string
""
libcvt
edgeSchViews
string
"schematic"
libcvt
edgeSymViews
string
"symbol ieee"
libcvt
edgeTechFile
string
""
libcvt
edgeUU
cyclic
"inch"
libcvt
errorMsg
boolean
t
libcvt
fixAnalogLibPins boolean
t
libcvt
ilResultOutput
boolean
t
libcvt
libName
string
""
libcvt
logFile
string
"libcvt.out"
libcvt
menuCmdInput
boolean
nil
libcvt
menuCmdOutput
boolean
nil
libcvt
newExtractTechDir string
""
libcvt
overwriteLog
boolean
t
libcvt
promptInput boolean
nil
libcvt
promptOnExit
boolean
t
libcvt
reportSpaceUsed boolean
t
libcvt
showAllLib boolean
t
libcvt
techFileOption
cyclic
"keepOrig"
libcvt
textFont
string
"-*-lucidatypewriter-medium-r-*-*-12-*"
libcvt
userOutput boolean
t
libcvt
viewReport boolean
t
libcvt
warningMsg boolean
t
;mmsimenv environment variables
;FORMAT: tool.partition varName type value private {choices, minmax}
comment
;mmsimenv configuration defaults
mmsimenv.conf
analogStopViewSet string
"spectreS cdsSpice spice
veriloga ahdl auLvs spectre"
mmsimenv.conf
digitalStopViewSet
string
"verilog behavioral
functional hdl system verilogNetlist msps"
modelwriter path string
""
modelwriter nodefault boolean
modelwriter editWithModelWriter
nil
boolean
nil
; Copyright (C) 2001 Cadence Design Systems, Inc. All Rights Reserved.
; $Revision: 1.1.2.3 $
;Mixed Signal Parasitic Simulation (MSPS) Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
;Globals
msps schematicLibName string
""
msps schematicCellName string
""
msps schematicViewName string
"schematic"
msps extractedLibName string
""
msps extractedCellName string
""
msps extractedViewName string
"extracted"
msps switchViewList
string
"cdsSpice spice cmos_sch cmos.sch
schematic"
msps stopViewList
string
"cdsSpice spice"
msps instViewListTable string
""
;Parasitic Probing
msps.parProbe
maxListSize int
20
msps.parProbe
sortBy
string
"C"
msps.parProbe
parasiticProbeFile
string
"parasitic_probing"
;Back Annotation
msps.backAnnotate fontSize
float 0.05
msps.backAnnotate xOffset
float 0.01
msps.backAnnotate yOffset
float 0.01
msps.backAnnotate sortBy
string
"C"
msps.backAnnotate parasiticFile
string
"parasitic_file"
;Build Analog
msps.buildAnalog setParasitics
string
"Include All"
msps.buildAnalog analogExtractedViewName
string
"analog_extracted"
; Copyright (C) 2000 Cadence Design Systems, Inc. All Rights Reserved.
; $Revision: 1.2.2.6 $
;Mixed Signal Parasitic Simulation for Assura Verification (MSPS-AV)
Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
;Globals
mspsAv
schematicLibName string
""
mspsAv
schematicCellName string
""
mspsAv
schematicViewName string
"schematic"
mspsAv
extractedLibName string
""
mspsAv
extractedCellName string
""
mspsAv
extractedViewName string
"av_extracted"
mspsAv
switchViewList
string
"cdsSpice spice cmos_sch cmos.sch
schematic"
mspsAv
stopViewList
string
"cdsSpice spice"
mspsAv
instViewListTable string
""
;Setup
mspsAv
setupType string
"Schematic/Extracted"
mspsAv
effectiveAccuracyThreshold
float 1e-05
;Options
mspsAv
backAnnotateR
boolean
t
mspsAv
backAnnotateC
boolean
t
mspsAv
backAnnotateL
boolean
t
mspsAv.options
sortBy
string
"Instance"
mspsAv.options
extNetGrouped
boolean
nil
mspsAv.options
fontSize
float 0.05
mspsAv.options
xOffset
float 0.0
mspsAv.options
yOffset
float 0.0
mspsAv.options
powerR
string
"accuracy"
mspsAv.options
importspresistor boolean
t
mspsAv.options
importspcapacitor boolean
t
mspsAv.options
importspcapacitor2
boolean
t
mspsAv.options
importspinductor boolean
t
mspsAv.options
stateSaveDir
string
"~"
mspsAv.options
maxConsecutiveMessages int
5
mspsAv.parProbe parasiticProbeFile
string
"parasitic_probing"
mspsAv.instProbe instProbeFile
string
"instance_probing"
mspsAv.backAnnotate
parasiticFile
string
"parasitic_file"
mspsAv.backAnnotate
sortBy
string
"C"
;Build Analog
mspsAv.buildAnalog
setParasitics
string
"Include All"
mspsAv.buildAnalog
analogExtractedViewName
string
"av_analog_extracted"
;*******************************
; Neocell Environment Variables
;*******************************
neocell
ArchFile
string
""
neocell
MapFile
string
""
neocell
DefaultFile string
""
neocell
UseBlockRules
boolean
nil
neocell
BlockRulesFile
string
""
neocell
ExportDir string
""
neocell
StartNeoCell
boolean
t
neocell
ImportViewName
string
"layout"
neocell
ImportTextDisplay boolean
nil
neocell
ImportBoundary
boolean
t
neocell
ExportOptions
string
""
neocell
SymViewList string
"symbolic layout"
neocell
IgnoreParamTolerance
boolean
t
neocell
VariantParamTolerance int
10
neocell
VerboseWarn boolean
t
neocell
InitDFIIlib string
""
neocell
NewDFIIlib string
""
neocell
TempDir
string
""
neocell
TechPath
string
""
neocell
IgnorePinAccess boolean
nil
neocell
ImportPinLabelFont
string
"stick"
neocell
ImportPinLabelSize
float -1.0
neocell
DisableSchExtract boolean
nil
neocell
TechFile
string
""
neocell
DisplayFile string
""
neocell
neocell
neocell
pinConnection
string
"full"
CEDefaultTab
string
"DevStyle"
overridePinPurpose
string
""
;*********************************************************
; ROD Environment Variables
; Installation-wide customizations should be made to the
; to the .cdsenv file in $CDS_INST_DIR/tools/dfII/samples
; directory. Make user-specific customizations to the
; .cdsenv file in your home directory.
;*********************************************************
rod
evalILExprOn
boolean
t
rod
minWidthCheckingOn
boolean
t
rod
minSpacingCheckingOn
boolean
t
rod
minEnclosureCheckingOn boolean
t
rod
preserveAlignInfoOn
boolean
t
;******************************************************************
; Composer Schematic Editor Environment Variables
; NOTE: This file should NOT be edited because it is automatically
; generated; customizations should be made to the file in
; <install_dir>/tools/dfII/samples/.cdsenv.
;******************************************************************
schematic autoDot
boolean
t
schematic blockDirRules
cyclic
"edge"
schematic broadcast boolean
nil
schematic browserShowSchViews
boolean
nil
schematic browserType string
"library"
schematic bundleDisplayMode cyclic
"horizontal"
schematic checkAlways boolean
nil
schematic checkBeepOn boolean
t
schematic checkHierSave
boolean
t
schematic checkSupportAMS boolean
nil
schematic checkSymTime
int
1
schematic compBackTrace
boolean
t
schematic condOp
cyclic
"=="
schematic createCvOptions boolean
t
schematic createInstResetCDF
boolean
t
schematic createInstShowCDF boolean
t
schematic createLabelAutoCenterSize
float 0.4
schematic createLabelAutoJustify boolean
nil
schematic createLabelAutoOffset float 0.045
schematic createLabelAutoSpacer float 0.0
schematic createLabelFontHeight float 0.0625
schematic createLabelFontStyle
cyclic
"stick"
schematic createLabelHorzOffset float 0.045
schematic createLabelHorzPosition
cyclic
"above"
schematic createLabelHorzRotation
cyclic
"R0"
schematic createLabelJustify
cyclic
"lowerCenter"
schematic createLabelOffsetMode boolean
t
schematic createLabelVertOffset float 0.045
schematic createLabelVertPosition
cyclic
"left"
schematic createLabelVertRotation
cyclic
"R90"
schematic cvDisplay boolean
t
schematic defWideWireWidth float 0.0625
schematic defaultsFile
string
""
schematic deleteInstPinsWire
boolean
t
schematic descendSheet
string
"sheet"
schematic descendTarget
string
"query user"
schematic differential
boolean
nil
schematic dotOverlap float 0.03125
schematic drawMode
string
"anyAngle"
schematic drawModeRoute
string
"route"
schematic echoToCIW boolean
t
schematic editPropCDFParamView
string
"Use Tools Filter"
schematic editPropChangeAllConfirm
string
"instance,pin,pin
inherited,symbol pin,symbol pin inherited"
schematic editPropShowCDF boolean
t
schematic editPropShowSystem
boolean
nil
schematic editPropShowUser boolean
t
schematic findAccessMode
string
"edit"
schematic findZoomButton
boolean
nil
schematic flightWidth float 0.0
schematic gravityOn boolean
t
schematic inhConnDisableDefNetField
boolean
nil
schematic inhConnDisablePinFields
boolean
nil
schematic inhConnGenNetExprDefNet
boolean
nil
schematic inhConnGenPinNetExpr
boolean
nil
schematic invokeKanjiEditor string
"kterm -km euc -e vi"
schematic libList
string
""
schematic markerSeverity
string
"all"
schematic markerShowIgnore boolean
t
schematic markerSource
toggle
(t t t t)
schematic markerType toggle
(t t)
schematic markerZoom boolean
nil
schematic maxLabelOffsetUU float 0.045
schematic minMarkerSize
float 0.05
schematic modalCommands
boolean
t
schematic netExprDisplay
cyclic
"value only"
schematic netNameMethod
cyclic
"prefix"
schematic netNamePrefix
string
"net"
schematic netNameRangeDescend
boolean
nil
schematic netNameSeparator string
"_"
schematic noteFontHeight
float 0.0625
schematic noteFontStyle
cyclic
"stick"
schematic noteJustify cyclic
"lowerCenter"
schematic noteShape cyclic
"line"
schematic noteShapeLineStyle
cyclic
"solid"
schematic noteType
cyclic
"normalLabel"
schematic pinBackTrace
boolean
t
schematic pinNameSeed string
"pin"
schematic pinNetExprJustify cyclic
"lowerCenter"
schematic pinNetExprPosition
cyclic
"upperCenter"
schematic pinNetExprXOffset float 0.0
schematic pinNetExprYOffset float 0.03125
schematic pinRefDuplicates boolean
nil
schematic pinRefFormat
string
"<sheetNumber><zone><referenceName>"
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
pinRefSorting
string
"Sheet Number"
replSaveChanges boolean
nil
runSRC
boolean
t
runVIC
boolean
t
saveAction cyclic
"Ask Me"
schCoalesceLimit int
2
schCursorShape
boolean
nil
schDisplayDepth int
3
schDrawAxesOn
boolean
nil
schDynamicHilightOn
boolean
t
schFilterSize
float 0.3
schGridMultiple int
8
schGridSpacing
float 0.125
schGridType cyclic
"dotted"
schMaxDragFig
int
100
schPathType cyclic
"full"
schShowDirectConnects boolean
nil
schShowLabelOrigin
boolean
nil
schSnapSpacing
float 0.0625
schWindowBBox
string
"((120 185) (1020 875))"
schematicAreaPartialSelect
boolean
nil
schematicSelectFilter string
"allSchObj instancePin"
sensitiveMenu
boolean
t
shapeLineType
cyclic
"narrow"
shapeLineWidth
float 0.0625
simProbeAutoPlace boolean
nil
simProbeFormat
string
"<state>"
simProbeType
string
"monitor"
snapEnabled boolean
t
spanLib
string
"do not check"
srcCheckFloatingSignals
boolean
nil
srcConnByName
cyclic
"ignored"
srcFixedGlobalsCheck
cyclic
"ignored"
srcFloatingBIDIR cyclic
"warning"
srcFloatingIO
cyclic
"warning"
srcFloatingInput cyclic
"warning"
srcFloatingNets cyclic
"warning"
srcFloatingOutput cyclic
"warning"
srcInhPinNetCollision cyclic
"ignored"
srcInheritedConn cyclic
"ignored"
srcInstNameExpr string
""
srcInstNameSyntax cyclic
"ignored"
srcInstOverlap
cyclic
"warning"
srcInstOverlapValue
int
10
srcMaxLabelOffset cyclic
"ignored"
srcNameCollision cyclic
"warning"
srcNetNameExpr
string
""
srcNetNameSyntax cyclic
"ignored"
srcNoOverrideNet cyclic
"warning"
srcOffsheetConnCheck
cyclic
"ignored"
srcPinNetCollision
cyclic
"ignored"
srcShortedOutputs cyclic
"warning"
srcSolderOnCrossover
cyclic
"warning"
srcSparseIndexBus cyclic
"ignored"
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
schematic
srcTermNameExpr string
""
srcTermNameSyntax cyclic
"ignored"
srcUnconnectedWires
cyclic
"ignored"
srcVHDLSyntax
cyclic
"ignored"
srcVerilogSyntax cyclic
"ignored"
ssgPackageLabels cyclic
"none"
ssgSortPins cyclic
"alphanumeric"
statusBanner
boolean
t
statusBannerXY
boolean
nil
stickyWireLabelRange
float 0.3125
symCoalesceLimit int
2
symCursorShape
boolean
nil
symDisplayDepth int
3
symDrawAxesOn
boolean
nil
symDynamicHilightOn
boolean
t
symFilterSize
float 0.3
symGridMultiple int
8
symGridSpacing
float 0.125
symGridType cyclic
"dotted"
symMaxDragFig
int
100
symPathType cyclic
"full"
symShowDirectConnects boolean
nil
symShowLabelOrigin
boolean
nil
symSnapSpacing
float 0.0625
symWindowBBox
string
"((120 185) (1020 875))"
symbolAreaPartialSelect
boolean
nil
symbolLabelChoice cyclic
"instance label"
symbolLabelChoiceText string
""
symbolLabelFontHeight float 0.0625
symbolLabelFontStyle
cyclic
"stick"
symbolLabelJustify
cyclic
"lowerCenter"
symbolLabelType cyclic
"NLPLabel"
symbolPinFlatten boolean
t
symbolPinIncrCount
int
1
symbolPinLocate cyclic
"left"
symbolPinUsage
string
""
symbolSelectFilter
string
"allSymObj"
symbolShape cyclic
"line"
symbolShapeFillStyle
cyclic
"outline"
transToSheetType string
"single"
tsgExcludeInhConnPins cyclic
"None"
tsgExcludeInhConnPinsNames
string
""
tsgTemplateType string
"digital"
updateConn boolean
t
updateInstResetCDF
boolean
t
vertBundleDisplay boolean
nil
vicExactPinMatch boolean
nil
vicIgnoreInhTermAll
boolean
t
vicIgnoreInhTermList
string
""
vicIgnoreInhTermViewList
string
""
vicInheritedPinMatch
boolean
t
vicInheritedPins boolean
t
vicSeverity cyclic
"warning"
vicTermSupplySens boolean
t
schematic vicViewList string
"symbol schematic functional behavioral
system opt_sch opt_net"
schematic viewNameList
string
"schematic symbol"
schematic wireBackTrace
boolean
nil
schematic zoomOutButton
boolean
nil
;spectre environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;spectre opts partition variables
spectre.opts
multithread string
""
spectre.opts
title string
""
spectre.opts
audit string
""
spectre.opts
mos_vres
string
""
spectre.opts
nthreads
string
""
spectre.opts
rebuild_matrix
string
""
spectre.opts
mos_method string
""
spectre.opts
sensfileonly
string
""
spectre.opts
ckptclock string
"1800"
spectre.opts
flow string
""
spectre.opts
dochecklimit
string
""
spectre.opts
cols string
"80"
spectre.opts
opptcheck string
""
spectre.opts
maxrsd
string
""
spectre.opts
checklimitfile
string
""
spectre.opts
maxwarnstologfile string
""
spectre.opts
reltol
string
"1e-3"
spectre.opts
notation
string
""
spectre.opts
senstype
string
""
spectre.opts
warn string
""
spectre.opts
approx
string
""
spectre.opts
info string
""
spectre.opts
sensformat string
""
spectre.opts
quantities string
""
spectre.opts
pivabs
string
""
spectre.opts
compatible string
""
spectre.opts
ignshorts string
""
spectre.opts
gmin string
"1e-12"
spectre.opts
rforce
string
"1"
spectre.opts
note string
""
spectre.opts
homotopy
string
""
spectre.opts
debug string
""
spectre.opts
iabstol
string
"1e-12"
spectre.opts
maxwarns
string
"5"
spectre.opts
tempeffects string
""
spectre.opts
checklimitdest
string
"psf"
spectre.opts
vabstol
string
"1e-6"
spectre.opts
preorder
string
""
spectre.opts
macromodels string
""
spectre.opts
temp string
"27"
spectre.opts
tnom string
"27"
spectre.opts
maxnotes
string
"5"
spectre.opts
narrate
string
""
spectre.opts
value string
""
spectre.opts
minr string
""
spectre.opts
gmin_check string
""
spectre.opts
pivrel
string
"1e-3"
spectre.opts
pivotdc
string
""
spectre.opts
digits
string
"5"
spectre.opts
maxnotestologfile string
""
spectre.opts
diagnose
string
""
spectre.opts
scale string
"1.0"
spectre.opts
additionalArgs
string
""
spectre.opts
scalem
string
"1.0"
spectre.opts
error string
""
spectre.opts
inventory string
""
spectre.opts
topcheck
string
""
spectre.opts
limit string
""
spectre.opts
sensfile
string
"../psf/sens.output"
;spectre outputs partition variables
spectre.outputs assertsInfo boolean
nil
spectre.outputs currents
string
""
spectre.outputs outputParamInfo boolean
t
spectre.outputs modelParamInfo
boolean
t
spectre.outputs subcktprobelvl
string
""
spectre.outputs saveahdlvars
string
""
spectre.outputs pwr
string
""
spectre.outputs subcktsInfo boolean
t
spectre.outputs nestlvl
string
""
spectre.outputs elementInfo boolean
t
spectre.outputs primitivesInfo
boolean
t
spectre.outputs useprobes string
""
spectre.outputs save string
"allpub"
;spectre envOpts partition variables
spectre.envOpts stopViewList
string
"spectre"
spectre.envOpts switchViewList
string
"spectre cmos_sch cmos.sch
schematic veriloga ahdl"
spectre.envOpts enableArclength boolean
nil
spectre.envOpts autoDisplay boolean
t
spectre.envOpts spp
string
""
spectre.envOpts analysisOrder
string
""
spectre.envOpts savestate string
""
spectre.envOpts paramRangeCheckFile
string
""
spectre.envOpts printComments
boolean
nil
spectre.envOpts checkpoint string
""
spectre.envOpts restart
string
""
spectre.envOpts recover
string
""
spectre.envOpts licOrder
string
""
spectre.envOpts firstRun
boolean
t
spectre.envOpts userCmdLineOption string
""
;spectre init partition variables
spectre.init
processPriority int
0
;spectre pz partition variables
spectre.pz enable
toggle
(nil)
spectre.pz outType
string
"voltage"
spectre.pz p
string
""
spectre.pz n
string
""
spectre.pz oprobe
string
""
spectre.pz inType
string
"voltage"
spectre.pz inVsrc
string
""
spectre.pz inIsrc
string
""
spectre.pz iprobe
string
""
spectre.pz sweep string
""
spectre.pz freq string
"1"
spectre.pz dev
string
""
spectre.pz deviceParam string
""
spectre.pz mod
string
""
spectre.pz modelParam string
""
spectre.pz designVar string
""
spectre.pz param string
""
spectre.pz rangeType string
"Start-Stop"
spectre.pz sweepChange boolean
nil
spectre.pz start string
""
spectre.pz stop string
""
spectre.pz center
string
""
spectre.pz span string
""
spectre.pz incrType
string
"Automatic"
spectre.pz stepTypeLin string
"Step Size"
spectre.pz stepTypeLog string
"Points Per Decade"
spectre.pz step string
""
spectre.pz lin
string
""
spectre.pz dec
string
""
spectre.pz log
string
""
spectre.pz useDiscrete boolean
nil
spectre.pz values
string
""
spectre.pz porti string
"1"
;spectre pzOpts partition variables
spectre.pzOpts
readns
string
""
spectre.pzOpts
oppoint
string
""
spectre.pzOpts
zeroonly
string
""
spectre.pzOpts
fmax string
""
spectre.pzOpts
docancel
string
""
spectre.pzOpts
absdiff
string
""
spectre.pzOpts
reldiff
string
""
spectre.pzOpts
prevoppoint string
""
spectre.pzOpts
restart
string
""
spectre.pzOpts
annotate
string
""
spectre.pzOpts
stats string
""
spectre.pzOpts
method
string
""
spectre.pzOpts
numpoles
string
""
spectre.pzOpts
numzeros
string
""
spectre.pzOpts
sigmar
string
""
spectre.pzOpts
sigmai
string
""
spectre.pzOpts
additionalParams string
""
;spectre dcmatch partition variables
spectre.dcmatch enable
toggle
(nil)
spectre.dcmatch outType
string
"voltage"
spectre.dcmatch p
string
""
spectre.dcmatch n
string
""
spectre.dcmatch oprobe
string
""
spectre.dcmatch sweep string
""
spectre.dcmatch dev
string
""
spectre.dcmatch deviceParam string
""
spectre.dcmatch mod
string
""
spectre.dcmatch modelParam string
""
spectre.dcmatch designVar string
""
spectre.dcmatch param string
""
spectre.dcmatch rangeType string
"Start-Stop"
spectre.dcmatch sweepChange boolean
nil
spectre.dcmatch start string
""
spectre.dcmatch stop string
""
spectre.dcmatch center
string
""
spectre.dcmatch span string
""
spectre.dcmatch incrType
string
"Automatic"
spectre.dcmatch stepTypeLin string
"Step Size"
spectre.dcmatch stepTypeLog string
"Points Per Decade"
spectre.dcmatch step string
""
spectre.dcmatch lin
string
""
spectre.dcmatch dec
string
""
spectre.dcmatch log
string
""
spectre.dcmatch useDiscrete boolean
nil
spectre.dcmatch values
string
""
spectre.dcmatch mth
string
""
spectre.dcmatch porti string
"1"
spectre.dcmatch portv string
""
;spectre dcmatchOpts partition variables
spectre.dcmatchOpts
readns
string
""
spectre.dcmatchOpts
save string
""
spectre.dcmatchOpts
nestlvl
string
""
spectre.dcmatchOpts
oppoint
string
""
spectre.dcmatchOpts
prevoppoint string
""
spectre.dcmatchOpts
restart
string
""
spectre.dcmatchOpts
annotate
string
"status"
spectre.dcmatchOpts
stats string
""
spectre.dcmatchOpts
where string
"rawfile"
spectre.dcmatchOpts
version
string
""
spectre.dcmatchOpts
additionalParams string
""
;spectre stb partition variables
spectre.stb enable
toggle
(nil)
spectre.stb sweep string
"Frequency"
spectre.stb freq string
""
spectre.stb dev
string
""
spectre.stb deviceParam string
""
spectre.stb mod
string
""
spectre.stb modelParam string
""
spectre.stb designVar string
""
spectre.stb param string
""
spectre.stb rangeType string
"Start-Stop"
spectre.stb sweepChange boolean
nil
spectre.stb start string
""
spectre.stb stop string
""
spectre.stb center
string
""
spectre.stb span string
""
spectre.stb incrType
string
"Automatic"
spectre.stb stepTypeLin string
"Step Size"
spectre.stb stepTypeLog string
"Points Per Decade"
spectre.stb step string
""
spectre.stb lin
string
""
spectre.stb dec
string
""
spectre.stb log
string
""
spectre.stb useDiscrete boolean
nil
spectre.stb values
string
""
spectre.stb probe string
""
;spectre stbOpts partition variables
spectre.stbOpts prevoppoint string
""
spectre.stbOpts readns
string
""
spectre.stbOpts save string
""
spectre.stbOpts nestlvl
string
""
spectre.stbOpts oppoint
string
""
spectre.stbOpts restart
string
""
spectre.stbOpts annotate
string
"status"
spectre.stbOpts stats string
""
spectre.stbOpts additionalParams string
""
;spectre tran partition variables
spectre.tran
enable
toggle
(nil)
spectre.tran
stop string
""
spectre.tran
errpreset string
""
spectre.tran
tranNoise string
""
spectre.tran
noiseseed string
"1"
spectre.tran
noisefmax string
""
spectre.tran
noisescale string
""
spectre.tran
noisefmin string
""
spectre.tran
noisetmin string
""
spectre.tran
tranNoiseMultiRuns
string
""
spectre.tran
noiseruns string
"100"
;spectre tranOpts partition variables
spectre.tranOpts start string
""
spectre.tranOpts outputstart string
""
spectre.tranOpts step string
""
spectre.tranOpts maxstep
string
""
spectre.tranOpts transres
string
""
spectre.tranOpts ic
string
""
spectre.tranOpts skipdc
string
""
spectre.tranOpts readic
string
""
spectre.tranOpts readns
string
""
spectre.tranOpts cmin string
""
spectre.tranOpts write string
"spectre.ic"
spectre.tranOpts writefinal string
"spectre.fc"
spectre.tranOpts ckptperiod string
""
spectre.tranOpts saveclock string
""
spectre.tranOpts saveperiod string
""
spectre.tranOpts savetime
string
""
spectre.tranOpts savefile
string
""
spectre.tranOpts recover
string
""
spectre.tranOpts method
string
""
spectre.tranOpts relref
string
""
spectre.tranOpts lteratio
string
""
spectre.tranOpts stats string
""
spectre.tranOpts annotate
string
"status"
spectre.tranOpts save string
""
spectre.tranOpts nestlvl
string
""
spectre.tranOpts oppoint
string
""
spectre.tranOpts skipstart string
""
spectre.tranOpts skipstop
string
""
spectre.tranOpts skipcount string
""
spectre.tranOpts strobeperiod
string
""
spectre.tranOpts strobedelay string
""
spectre.tranOpts compression string
""
spectre.tranOpts infotimes string
""
spectre.tranOpts flushpoints string
""
spectre.tranOpts flushtime string
""
spectre.tranOpts flushofftime
string
""
spectre.tranOpts actimes
string
""
spectre.tranOpts acnames
string
""
spectre.tranOpts maxiters
string
"5"
spectre.tranOpts restart
string
""
spectre.tranOpts captab
boolean
nil
spectre.tranOpts timed boolean
nil
spectre.tranOpts threshold string
"0.0"
spectre.tranOpts detail
string
"node"
spectre.tranOpts sort string
"name"
spectre.tranOpts additionalParams string
""
;spectre envlp partition variables
spectre.envlp
enable
toggle
(nil)
spectre.envlp
acprWizardInfo
string
""
spectre.envlp
harmsvec
string
""
spectre.envlp
harms string
""
spectre.envlp
harmonics string
"Number of harmonics"
spectre.envlp
oversamplefactor string
""
spectre.envlp
stop string
""
spectre.envlp
period
string
""
spectre.envlp
fund string
""
spectre.envlp
clockname string
""
spectre.envlp
clockFreqPeriod string
"Fund Frequency"
spectre.envlp
engine
string
""
spectre.envlp
flexbalance string
""
spectre.envlp
oscana
boolean
nil
spectre.envlp
p
string
""
spectre.envlp
n
string
""
spectre.envlp
saveinit
string
""
spectre.envlp
errpreset string
""
;spectre envlpOpts partition variables
spectre.envlpOpts start string
""
spectre.envlpOpts outputstart string
""
spectre.envlpOpts tstab string
""
spectre.envlpOpts modulationbw
string
""
spectre.envlpOpts maxstep
string
""
spectre.envlpOpts envmaxstep string
""
spectre.envlpOpts fixstepsize string
""
spectre.envlpOpts stepsize
string
""
spectre.envlpOpts ic
string
""
spectre.envlpOpts skipdc
string
""
spectre.envlpOpts readic
string
""
spectre.envlpOpts readns
string
""
spectre.envlpOpts cmin string
""
spectre.envlpOpts write string
""
spectre.envlpOpts writefinal string
""
spectre.envlpOpts swapfile
string
""
spectre.envlpOpts saveclock string
""
spectre.envlpOpts saveperiod string
""
spectre.envlpOpts savetime
string
""
spectre.envlpOpts savefile
string
""
spectre.envlpOpts recover
string
""
spectre.envlpOpts method
string
""
spectre.envlpOpts envmethod string
""
spectre.envlpOpts relref
string
""
spectre.envlpOpts lteratio
string
""
spectre.envlpOpts envlteratio string
""
spectre.envlpOpts steadyratio string
""
spectre.envlpOpts stats string
""
spectre.envlpOpts annotate
string
"status"
spectre.envlpOpts save string
""
spectre.envlpOpts nestlvl
string
""
spectre.envlpOpts compression string
""
spectre.envlpOpts outputtype string
""
spectre.envlpOpts strobeperiod
string
""
spectre.envlpOpts matlaboutput
string
""
spectre.envlpOpts maxiters
string
""
spectre.envlpOpts restart
string
""
spectre.envlpOpts envmaxiters string
""
spectre.envlpOpts fmspeedup string
""
spectre.envlpOpts additionalParams string
""
;spectre ac partition variables
spectre.ac enable
toggle
(nil)
spectre.ac sweep string
"Frequency"
spectre.ac freq string
""
spectre.ac dev
string
""
spectre.ac deviceParam string
""
spectre.ac mod
string
""
spectre.ac modelParam string
""
spectre.ac designVar string
""
spectre.ac param string
""
spectre.ac rangeType string
"Start-Stop"
spectre.ac sweepChange boolean
nil
spectre.ac start string
""
spectre.ac stop string
""
spectre.ac center
string
""
spectre.ac span string
""
spectre.ac incrType
string
"Automatic"
spectre.ac stepTypeLin string
"Step Size"
spectre.ac stepTypeLog string
"Points Per Decade"
spectre.ac step string
""
spectre.ac lin
string
""
spectre.ac dec
string
""
spectre.ac log
string
""
spectre.ac useDiscrete boolean
nil
spectre.ac values
string
""
spectre.ac out2 string
""
spectre.ac out1 string
""
spectre.ac outType
string
"Voltage"
spectre.ac maxharm_nonlin
string
""
spectre.ac flin_out
string
""
spectre.ac contriblist string
""
spectre.ac fim_out
string
""
spectre.ac rfdbm string
""
spectre.ac rfmag string
""
spectre.ac rf2_freq
string
""
spectre.ac rf2_src
string
""
spectre.ac rf1_freq
string
""
spectre.ac rf1_src
string
""
spectre.ac srcType
string
"isource"
spectre.ac special
string
"None"
;spectre acOpts partition variables
spectre.acOpts
readns
string
""
spectre.acOpts
prevoppoint string
""
spectre.acOpts
force string
""
spectre.acOpts
skipdc
string
""
spectre.acOpts
readforce string
""
spectre.acOpts
save string
""
spectre.acOpts
nestlvl
string
""
spectre.acOpts
oppoint
string
""
spectre.acOpts
restart
string
""
spectre.acOpts
annotate
string
"status"
spectre.acOpts
stats string
""
spectre.acOpts
additionalParams string
""
;spectre dc partition variables
spectre.dc enable
toggle
(nil)
spectre.dcop
enable
toggle
(nil)
spectre.dc saveOppoint boolean
nil
spectre.dc sweep string
""
spectre.dc dev
string
""
spectre.dc deviceParam string
""
spectre.dc mod
string
""
spectre.dc modelParam string
""
spectre.dc designVar string
""
spectre.dc param string
""
spectre.dc rangeType string
"Start-Stop"
spectre.dc sweepChange boolean
nil
spectre.dc start string
""
spectre.dc stop string
""
spectre.dc center
string
""
spectre.dc span string
""
spectre.dc incrType
string
"Automatic"
spectre.dc stepTypeLin string
"Step Size"
spectre.dc stepTypeLog string
"Points Per Decade"
spectre.dc step string
""
spectre.dc lin
string
""
spectre.dc dec
string
""
spectre.dc log
string
""
spectre.dc useDiscrete boolean
nil
spectre.dc values
string
""
;spectre dcOpts partition variables
spectre.dcOpts
force string
""
spectre.dcopOpts force string
""
spectre.dcOpts
readns
string
""
spectre.dcopOpts readns
string
""
spectre.dcOpts
readforce string
""
spectre.dcopOpts readforce string
""
spectre.dcOpts
write string
"spectre.dc"
spectre.dcopOpts write string
"spectre.dc"
spectre.dcOpts
writefinal string
""
spectre.dcopOpts writefinal string
""
spectre.dcOpts
save string
""
spectre.dcopOpts save string
""
spectre.dcOpts
nestlvl
string
""
spectre.dcopOpts nestlvl
string
""
spectre.dcOpts
print string
""
spectre.dcopOpts print string
""
spectre.dcOpts
check string
""
spectre.dcopOpts check string
""
spectre.dcOpts
oppoint
string
"rawfile"
spectre.dcopOpts oppoint
string
"rawfile"
spectre.dcOpts
homotopy
string
""
spectre.dcopOpts homotopy
string
""
spectre.dcOpts
restart
string
""
spectre.dcopOpts restart
string
""
spectre.dcOpts
maxiters
string
"150"
spectre.dcopOpts maxiters
string
"150"
spectre.dcOpts
maxsteps
string
"10000"
spectre.dcopOpts maxsteps
string
"10000"
spectre.dcOpts
annotate
string
"status"
spectre.dcopOpts annotate
string
"status"
spectre.dcOpts
captab
boolean
nil
spectre.dcopOpts captab
boolean
nil
spectre.dcOpts
threshold string
"0.0"
spectre.dcopOpts threshold string
"0.0"
spectre.dcOpts
detail
string
"node"
spectre.dcopOpts detail
string
"node"
spectre.dcOpts
sort string
"name"
spectre.dcopOpts sort string
"name"
spectre.dcOpts
additionalParams string
""
spectre.dcopOpts additionalParams string
""
;spectre noise partition variables
spectre.noise
enable
toggle
(nil)
spectre.noise
sweep string
"Frequency"
spectre.noise
freq string
""
spectre.noise
dev
string
""
spectre.noise
deviceParam string
""
spectre.noise
mod
string
""
spectre.noise
modelParam string
""
spectre.noise
designVar string
""
spectre.noise
param string
""
spectre.noise
rangeType string
"Start-Stop"
spectre.noise
sweepChange boolean
nil
spectre.noise
start string
""
spectre.noise
stop string
""
spectre.noise
center
string
""
spectre.noise
span string
""
spectre.noise
incrType
string
"Automatic"
spectre.noise
stepTypeLin string
"Step Size"
spectre.noise
stepTypeLog string
"Points Per Decade"
spectre.noise
step string
""
spectre.noise
lin
string
""
spectre.noise
dec
string
""
spectre.noise
log
string
""
spectre.noise
useDiscrete boolean
nil
spectre.noise
values
string
""
spectre.noise
outType
string
"probe"
spectre.noise
p
string
""
spectre.noise
n
string
""
spectre.noise
oprobe
string
""
spectre.noise
inType
string
"port"
spectre.noise
inVsrc
string
""
spectre.noise
inIsrc
string
""
spectre.noise
iprobe
string
""
spectre.noise
inPort
string
""
;spectre noiseOpts partition variables
spectre.noiseOpts readns
string
""
spectre.noiseOpts prevoppoint string
""
spectre.noiseOpts force string
""
spectre.noiseOpts readforce string
""
spectre.noiseOpts save string
""
spectre.noiseOpts nestlvl
string
""
spectre.noiseOpts oppoint
string
""
spectre.noiseOpts restart
string
""
spectre.noiseOpts annotate
string
"status"
spectre.noiseOpts stats string
""
spectre.noiseOpts additionalParams string
""
;spectre xf partition variables
spectre.xf enable
toggle
(nil)
spectre.xf sweep string
"Frequency"
spectre.xf freq string
""
spectre.xf dev
string
""
spectre.xf deviceParam string
""
spectre.xf mod
string
""
spectre.xf modelParam string
""
spectre.xf designVar string
""
spectre.xf param string
""
spectre.xf rangeType string
"Start-Stop"
spectre.xf sweepChange boolean
nil
spectre.xf start string
""
spectre.xf stop string
""
spectre.xf center
string
""
spectre.xf span string
""
spectre.xf incrType
string
"Automatic"
spectre.xf stepTypeLin string
"Step Size"
spectre.xf stepTypeLog string
"Points Per Decade"
spectre.xf step string
""
spectre.xf lin
string
""
spectre.xf dec
string
""
spectre.xf log
string
""
spectre.xf useDiscrete boolean
nil
spectre.xf values
string
""
spectre.xf outType
string
"voltage"
spectre.xf p
string
""
spectre.xf n
string
""
spectre.xf probe string
""
;spectre xfOpts partition variables
spectre.xfOpts
readns
string
""
spectre.xfOpts
prevoppoint string
""
spectre.xfOpts
force string
""
spectre.xfOpts
readforce string
""
spectre.xfOpts
stimuli
string
""
spectre.xfOpts
save string
""
spectre.xfOpts
nestlvl
string
""
spectre.xfOpts
oppoint
string
""
spectre.xfOpts
restart
string
""
spectre.xfOpts
annotate
string
"status"
spectre.xfOpts
stats string
""
spectre.xfOpts
additionalParams string
""
;spectre sp partition variables
spectre.sp enable
toggle
(nil)
spectre.sp ports string
""
spectre.sp sweep string
"Frequency"
spectre.sp freq string
""
spectre.sp dev
string
""
spectre.sp deviceParam string
""
spectre.sp mod
string
""
spectre.sp modelParam string
""
spectre.sp designVar string
""
spectre.sp param string
""
spectre.sp rangeType string
"Start-Stop"
spectre.sp sweepChange boolean
nil
spectre.sp start string
""
spectre.sp stop string
""
spectre.sp center
string
""
spectre.sp span string
""
spectre.sp incrType
string
"Automatic"
spectre.sp stepTypeLin string
"Step Size"
spectre.sp stepTypeLog string
"Points Per Decade"
spectre.sp step string
""
spectre.sp lin
string
""
spectre.sp dec
string
""
spectre.sp log
string
""
spectre.sp useDiscrete boolean
nil
spectre.sp values
string
""
spectre.sp donoise
string
"no"
spectre.sp oprobe
string
""
spectre.sp iprobe
string
""
spectre.sp modeSelection
string
"Single-Ended"
spectre.sp mode string
""
spectre.sp modeLastValue
string
""
;spectre spOpts partition variables
spectre.spOpts
readns
string
""
spectre.spOpts
prevoppoint string
""
spectre.spOpts
force string
""
spectre.spOpts
readforce string
""
spectre.spOpts
file string
""
spectre.spOpts
datafmt
string
""
spectre.spOpts
datatype
string
""
spectre.spOpts
noisedata string
""
spectre.spOpts
oppoint
string
""
spectre.spOpts
restart
string
""
spectre.spOpts
annotate
string
"status"
spectre.spOpts
stats string
""
spectre.spOpts
additionalParams string
""
;spectre pss partition variables
spectre.pss enable
toggle
(nil)
spectre.pss engine
string
""
spectre.pss flexbalance string
""
spectre.pss FBHeadListBox
string
""
spectre.pss FBListBox string
""
spectre.pss FBFundCalc boolean
nil
spectre.pss FBFund
string
""
spectre.pss oversamplefactor string
""
spectre.pss paramListBox
string
""
spectre.pss fundListBox string
""
spectre.pss stimName
string
""
spectre.pss freqName
string
""
spectre.pss freqVal
string
""
spectre.pss signalLevel string
"Large"
spectre.pss srcId string
""
spectre.pss maxHarms
string
""
spectre.pss freqPeriod string
"Beat Frequency"
spectre.pss fund string
""
spectre.pss autoCalc
boolean
nil
spectre.pss period
string
""
spectre.pss harmonics string
"Number of harmonics"
spectre.pss harms string
""
spectre.pss indices
string
""
spectre.pss Aindices
string
""
spectre.pss harmsvec
string
""
spectre.pss selFreqFrom string
"0"
spectre.pss selFreqTo string
"1e12"
spectre.pss order string
"1"
spectre.pss harmsAndFreqsTitle
string
""
spectre.pss harmsAndFreqsTitle2
string
""
spectre.pss harmsAndFreqs
string
""
spectre.pss arrayCoeffs string
""
spectre.pss harmCoeffs string
""
spectre.pss harmListMem string
""
spectre.pss errpreset string
""
spectre.pss tstab string
""
spectre.pss saveinit
string
""
spectre.pss oscana
boolean
nil
spectre.pss p
string
""
spectre.pss n
string
""
spectre.pss oscicUI
string
"default"
spectre.pss oscic string
""
spectre.pss oscmethod string
""
spectre.pss sweepB
boolean
nil
spectre.pss sweep string
"Variable"
spectre.pss freqVar
string
"no"
spectre.pss designVar string
""
spectre.pss dev
string
""
spectre.pss deviceParam string
""
spectre.pss mod
string
""
spectre.pss modelParam string
""
spectre.pss param string
""
spectre.pss rangeType string
"Start-Stop"
spectre.pss sweepChange boolean
nil
spectre.pss start string
""
spectre.pss stop string
""
spectre.pss center
string
""
spectre.pss span string
""
spectre.pss incrType
string
"Linear"
spectre.pss stepTypeLin string
"Step Size"
spectre.pss stepTypeLog string
"Points Per Decade"
spectre.pss sweepStep string
""
spectre.pss lin
string
""
spectre.pss dec
string
""
spectre.pss log
string
""
spectre.pss useDiscrete boolean
nil
spectre.pss values
string
""
spectre.pss lsspWizardInfo
string
""
spectre.pss lsspWizardState string
"Disable"
;spectre pssOpts partition variables
spectre.pssOpts step string
""
spectre.pssOpts maxstep
string
""
spectre.pssOpts ic
string
""
spectre.pssOpts skipdc
string
""
spectre.pssOpts readic
string
""
spectre.pssOpts readns
string
""
spectre.pssOpts cmin string
""
spectre.pssOpts write string
""
spectre.pssOpts writefinal string
""
spectre.pssOpts swapfile
string
""
spectre.pssOpts writepss
string
""
spectre.pssOpts readpss
string
""
spectre.pssOpts checkpss
string
""
spectre.pssOpts saveclock string
""
spectre.pssOpts saveperiod string
""
spectre.pssOpts savetime
string
""
spectre.pssOpts savefile
string
""
spectre.pssOpts recover
string
""
spectre.pssOpts method
string
""
spectre.pssOpts tstabmethod string
""
spectre.pssOpts relref
string
""
spectre.pssOpts lteratio
string
""
spectre.pssOpts steadyratio string
""
spectre.pssOpts itres string
""
spectre.pssOpts lnsolver
string
""
spectre.pssOpts inexactNewton
string
""
spectre.pssOpts maxacfreq string
""
spectre.pssOpts maxperiods string
""
spectre.pssOpts finitediff string
""
spectre.pssOpts highorder string
""
spectre.pssOpts psaratio
string
""
spectre.pssOpts maxorder
string
""
spectre.pssOpts fullpssvec string
""
spectre.pssOpts fdharms
string
""
spectre.pssOpts stats string
""
spectre.pssOpts annotate
string
"status"
spectre.pssOpts save string
""
spectre.pssOpts nestlvl
string
""
spectre.pssOpts oppoint
string
""
spectre.pssOpts skipstart string
""
spectre.pssOpts skipstop
string
""
spectre.pssOpts skipcount string
""
spectre.pssOpts strobeperiod
string
""
spectre.pssOpts strobedelay string
""
spectre.pssOpts compression string
""
spectre.pssOpts outputtype string
""
spectre.pssOpts maxiters
string
""
spectre.pssOpts restart
string
""
spectre.pssOpts tstart
string
""
spectre.pssOpts additionalParams string
""
;spectre pac partition variables
spectre.pac enable
toggle
(nil)
spectre.pac fund string
""
spectre.pac period
string
""
spectre.pac extrasampletimepoints string
""
spectre.pac sampledelay string
""
spectre.pac maxsamples string
""
spectre.pac crossingdirection string
"all"
spectre.pac thresholdvalue
string
""
spectre.pac sample_n
string
""
spectre.pac sample_p
string
""
spectre.pac sampleprobe string
""
spectre.pac sampledSigType
string
"probe"
spectre.pac ptvtype
string
"sampled"
spectre.pac out2 string
""
spectre.pac out1 string
""
spectre.pac outType
string
"Voltage"
spectre.pac maxharm_nonlin
string
""
spectre.pac flin_out
string
""
spectre.pac contriblist string
""
spectre.pac fim_out
string
""
spectre.pac rfdbm string
""
spectre.pac rfmag string
""
spectre.pac rf2_freq
string
""
spectre.pac rf2_src
string
""
spectre.pac rf1_freq
string
""
spectre.pac rf1_src
string
""
spectre.pac srcType
string
"isource"
spectre.pac moduppersideband string
"0"
spectre.pac inmodharmnum
string
"1"
spectre.pac outmodharmvec
string
"1"
spectre.pac inputType string
"SSB"
spectre.pac modulated string
""
spectre.pac special
string
"None"
spectre.pac sweeptype string
"default"
spectre.pac relharmnum string
""
spectre.pac rangeType string
"Start-Stop"
spectre.pac sweepChange boolean
nil
spectre.pac start string
""
spectre.pac stop string
""
spectre.pac center
string
""
spectre.pac span string
""
spectre.pac incrType
string
"Automatic"
spectre.pac stepTypeLin string
"Step Size"
spectre.pac stepTypeLog string
"Points Per Decade"
spectre.pac step string
""
spectre.pac lin
string
""
spectre.pac dec
string
""
spectre.pac log
string
""
spectre.pac useDiscrete boolean
nil
spectre.pac values
string
""
spectre.pac harmonics string
"Maximum sideband"
spectre.pac maxsideband string
""
spectre.pac indices
string
""
spectre.pac Aindices
string
""
spectre.pac sidebands string
""
spectre.pac side string
"upper"
spectre.pac selFreqFrom string
"0"
spectre.pac selFreqTo string
"1e12"
spectre.pac order string
"1"
spectre.pac harmsAndFreqsTitle
string
""
spectre.pac harmsAndFreqsTitle2
string
""
spectre.pac harmsAndFreqs
string
""
spectre.pac arrayCoeffs string
""
spectre.pac harmCoeffs string
""
spectre.pac harmListMem string
""
;spectre pacOpts partition variables
spectre.pacOpts tolerance string
""
spectre.pacOpts gear_order string
""
spectre.pacOpts solver
string
""
spectre.pacOpts lnsolver
string
""
spectre.pacOpts oscsolver string
""
spectre.pacOpts stats string
""
spectre.pacOpts annotate
string
"status"
spectre.pacOpts freqaxis
string
""
spectre.pacOpts save string
""
spectre.pacOpts nestlvl
string
""
spectre.pacOpts outputperiod
string
""
spectre.pacOpts additionalParams string
""
;spectre pstb partition variables
spectre.pstb
enable
toggle
(nil)
spectre.pstb
fund string
""
spectre.pstb
period
string
""
spectre.pstb
rangeType string
"Start-Stop"
spectre.pstb
sweepChange boolean
nil
spectre.pstb
start string
""
spectre.pstb
stop string
""
spectre.pstb
center
string
""
spectre.pstb
span string
""
spectre.pstb
incrType
string
"Automatic"
spectre.pstb
stepTypeLin string
"Step Size"
spectre.pstb
stepTypeLog string
"Points Per Decade"
spectre.pstb
step string
""
spectre.pstb
lin
string
""
spectre.pstb
dec
string
""
spectre.pstb
log
string
""
spectre.pstb
useDiscrete boolean
nil
spectre.pstb
values
string
""
spectre.pstb
probe string
""
;spectre pstbOpts partition variables
spectre.pstbOpts tolerance string
""
spectre.pstbOpts gear_order string
""
spectre.pstbOpts solver
string
""
spectre.pstbOpts lnsolver
string
""
spectre.pstbOpts oscsolver string
""
spectre.pstbOpts stats string
""
spectre.pstbOpts annotate
string
"status"
spectre.pstbOpts save string
""
spectre.pstbOpts nestlvl
string
""
spectre.pstbOpts additionalParams string
""
;spectre pnoise partition variables
spectre.pnoise
enable
toggle
(nil)
spectre.pnoise
fund string
""
spectre.pnoise
period
string
""
spectre.pnoise
sweeptype string
"default"
spectre.pnoise
relharmnum string
""
spectre.pnoise
rangeType string
"Start-Stop"
spectre.pnoise
sweepChange boolean
nil
spectre.pnoise
start string
""
spectre.pnoise
stop string
""
spectre.pnoise
center
string
""
spectre.pnoise
span string
""
spectre.pnoise
incrType
string
"Automatic"
spectre.pnoise
stepTypeLin string
"Step Size"
spectre.pnoise
stepTypeLog string
"Points Per Decade"
spectre.pnoise
step string
""
spectre.pnoise
lin
string
""
spectre.pnoise
dec
string
""
spectre.pnoise
log
string
""
spectre.pnoise
useDiscrete boolean
nil
spectre.pnoise
values
string
""
spectre.pnoise
harmonics string
"Maximum sideband"
spectre.pnoise
maxsideband string
""
spectre.pnoise
indices
string
""
spectre.pnoise
Aindices
string
""
spectre.pnoise
sidebands string
""
spectre.pnoise
side string
"upper"
spectre.pnoise
selFreqFrom string
"0"
spectre.pnoise
selFreqTo string
"1e12"
spectre.pnoise
order string
"1"
spectre.pnoise
harmsAndFreqsTitle
string
""
spectre.pnoise
harmsAndFreqsTitle2
string
""
spectre.pnoise
harmsAndFreqs
string
""
spectre.pnoise
arrayCoeffs string
""
spectre.pnoise
harmCoeffs string
""
spectre.pnoise
harmListMem string
""
spectre.pnoise
outType
string
"probe"
spectre.pnoise
p
string
""
spectre.pnoise
n
string
""
spectre.pnoise
oprobe
string
""
spectre.pnoise
inType
string
"port"
spectre.pnoise
inVsrc
string
""
spectre.pnoise
inIsrc
string
""
spectre.pnoise
iprobe
string
""
spectre.pnoise
inPort
string
""
spectre.pnoise
refsbSelect string
"Enter in field"
spectre.pnoise
refSidebandHint string
""
spectre.pnoise
refsideband string
""
spectre.pnoise
refsbFreqFrom
string
"0"
spectre.pnoise
refsbFreqTo string
"1e12"
spectre.pnoise
refsbOrder string
"1"
spectre.pnoise
refsbTitle string
""
spectre.pnoise
refsbListbox
string
""
spectre.pnoise
noisetype string
"sources"
spectre.pnoise
noisetypeUI string
"sources"
spectre.pnoise
determsourcesfreqs
string
""
spectre.pnoise
deterministicsources
string
""
spectre.pnoise
deterministicsourcesUI string
""
spectre.pnoise
extcorrsources2 string
""
spectre.pnoise
extcorrsources2UI string
""
spectre.pnoise
extcorrsources1 string
""
spectre.pnoise
extcorrsources1UI string
""
spectre.pnoise
externalsources string
""
spectre.pnoise
externalsourcesUI string
""
spectre.pnoise
externSourcesBool boolean
nil
spectre.pnoise
crossingdirection string
"all"
spectre.pnoise
thresholdvalue
string
""
spectre.pnoise
outputSignal
string
""
spectre.pnoise
jitterType string
"xyz"
spectre.pnoise
maxcycles string
""
spectre.pnoise
useDiscreteCorr boolean
nil
spectre.pnoise
cycles
string
""
spectre.pnoise
tdnoise
string
"Noise Skip Count"
spectre.pnoise
noiseskipcount
string
""
spectre.pnoise
numberofpoints
string
""
spectre.pnoise
useDiscreteNoise boolean
nil
spectre.pnoise
noisetimepoints string
""
spectre.pnoise
separatenoise
string
""
;spectre pnoiseOpts partition variables
spectre.pnoiseOpts
tolerance string
""
spectre.pnoiseOpts
gear_order string
""
spectre.pnoiseOpts
solver
string
""
spectre.pnoiseOpts
lnsolver
string
""
spectre.pnoiseOpts
oscsolver string
""
spectre.pnoiseOpts
stats string
""
spectre.pnoiseOpts
annotate
string
"status"
spectre.pnoiseOpts
save string
""
spectre.pnoiseOpts
nestlvl
string
""
spectre.pnoiseOpts
saveallsidebands string
""
spectre.pnoiseOpts
additionalParams string
""
;spectre pxf partition variables
spectre.pxf enable
toggle
(nil)
spectre.pxf fund string
""
spectre.pxf period
string
""
spectre.pxf extrasampletimepoints string
""
spectre.pxf sampledelay string
""
spectre.pxf maxsamples string
""
spectre.pxf crossingdirection string
"all"
spectre.pxf thresholdvalue
string
""
spectre.pxf sample_n
string
""
spectre.pxf sample_p
string
""
spectre.pxf sampleprobe string
""
spectre.pxf sampledSigType
string
"probe"
spectre.pxf ptvtype
string
"sampled"
spectre.pxf moduppersideband string
"0"
spectre.pxf outmodharmnum
string
"1"
spectre.pxf inmodharmvec
string
"1"
spectre.pxf outputType string
"SSB"
spectre.pxf modulated string
""
spectre.pxf special
string
"None"
spectre.pxf externalsources string
""
spectre.pxf extcorrsources1 string
""
spectre.pxf extcorrsources2 string
""
spectre.pxf deterministicsources
string
""
spectre.pxf determsourcesfreqs
string
""
spectre.pxf outType
string
"voltage"
spectre.pxf p
string
""
spectre.pxf n
string
""
spectre.pxf probe string
""
spectre.pxf sweeptype string
"default"
spectre.pxf relharmnum string
""
spectre.pxf rangeType string
"Start-Stop"
spectre.pxf sweepChange boolean
nil
spectre.pxf start string
""
spectre.pxf stop string
""
spectre.pxf center
string
""
spectre.pxf span string
""
spectre.pxf incrType
string
"Automatic"
spectre.pxf stepTypeLin string
"Step Size"
spectre.pxf stepTypeLog string
"Points Per Decade"
spectre.pxf step string
""
spectre.pxf lin
string
""
spectre.pxf dec
string
""
spectre.pxf log
string
""
spectre.pxf useDiscrete boolean
nil
spectre.pxf values
string
""
spectre.pxf harmonics string
"Maximum sideband"
spectre.pxf maxsideband string
""
spectre.pxf indices
string
""
spectre.pxf Aindices
string
""
spectre.pxf sidebands string
""
spectre.pxf side string
"upper"
spectre.pxf selFreqFrom string
"0"
spectre.pxf selFreqTo string
"1e12"
spectre.pxf order string
"1"
spectre.pxf harmsAndFreqsTitle
string
""
spectre.pxf harmsAndFreqsTitle2
string
""
spectre.pxf harmsAndFreqs
string
""
spectre.pxf arrayCoeffs string
""
spectre.pxf harmCoeffs string
""
spectre.pxf harmListMem string
""
;spectre pxfOpts partition variables
spectre.pxfOpts tolerance string
""
spectre.pxfOpts gear_order string
""
spectre.pxfOpts solver
string
""
spectre.pxfOpts lnsolver
string
""
spectre.pxfOpts oscsolver string
""
spectre.pxfOpts stats string
""
spectre.pxfOpts annotate
string
"status"
spectre.pxfOpts stimuli
string
""
spectre.pxfOpts freqaxis
string
""
spectre.pxfOpts save string
""
spectre.pxfOpts nestlvl
string
""
spectre.pxfOpts additionalParams string
""
;spectre psp partition variables
spectre.psp enable
toggle
(nil)
spectre.psp sweeptype string
"default"
spectre.psp rangeType string
"Start-Stop"
spectre.psp sweepChange boolean
nil
spectre.psp start string
""
spectre.psp stop string
""
spectre.psp center
string
""
spectre.psp span string
""
spectre.psp incrType
string
"Automatic"
spectre.psp stepTypeLin string
"Step Size"
spectre.psp stepTypeLog string
"Points Per Decade"
spectre.psp step string
""
spectre.psp lin
string
""
spectre.psp dec
string
""
spectre.psp log
string
""
spectre.psp useDiscrete boolean
nil
spectre.psp values
string
""
spectre.psp portharmsvec
string
""
spectre.psp ports string
""
spectre.psp selectPorts boolean
t
spectre.psp harmsvec
string
""
spectre.psp freqRange string
""
spectre.psp listPortHarm
string
""
spectre.psp listPortName
string
""
spectre.psp listPortNum string
""
spectre.psp portChoiceList
string
""
spectre.psp portChoiceListHeading string
""
spectre.psp donoise
string
"yes"
spectre.psp maxsideband string
""
;spectre pspOpts partition variables
spectre.pspOpts tolerance string
""
spectre.pspOpts gear_order string
""
spectre.pspOpts solver
string
""
spectre.pspOpts lnsolver
string
""
spectre.pspOpts oscsolver string
""
spectre.pspOpts annotate
string
"status"
spectre.pspOpts stats string
""
spectre.pspOpts freqaxis
string
""
spectre.pspOpts additionalParams string
""
;spectre qpss partition variables
spectre.qpss
enable
toggle
(nil)
spectre.qpss
engine
string
""
spectre.qpss
flexbalance string
""
spectre.qpss
FBHeadListBox
string
""
spectre.qpss
FBListBox string
""
spectre.qpss
FBNameEdit string
""
spectre.qpss
FBExprEdit string
""
spectre.qpss
FBValueEdit string
""
spectre.qpss
FBSrcidEdit string
""
spectre.qpss
FBHarmsEdit string
""
spectre.qpss
FBOversampleEdit string
""
spectre.qpss
FBTstabToneEdit string
"yes"
spectre.qpss
oversample string
""
spectre.qpss
paramListBox
string
""
spectre.qpss
fundListBox string
""
spectre.qpss
stimName
string
""
spectre.qpss
freqName
string
""
spectre.qpss
freqVal
string
""
spectre.qpss
signalLevel string
"Moderate"
spectre.qpss
srcId string
""
spectre.qpss
maxHarms
string
""
spectre.qpss
funds string
""
spectre.qpss
maxharms
string
""
spectre.qpss
evenodd
string
"auto"
spectre.qpss
harmlist
string
""
spectre.qpss
maximorder string
""
spectre.qpss
boundary
string
""
spectre.qpss
selectharm string
"diamond"
spectre.qpss
harmonicsCyc
string
"Default"
spectre.qpss
harmonics boolean
nil
spectre.qpss
selFreqFrom string
"0"
spectre.qpss
selFreqTo string
"1e12"
spectre.qpss
harmsAndFreqsTitle
string
""
spectre.qpss
harmsAndFreqs
string
""
spectre.qpss
errpreset string
""
spectre.qpss
tstab string
""
spectre.qpss
saveinit
string
""
spectre.qpss
sweepB
boolean
nil
spectre.qpss
sweep string
"Variable"
spectre.qpss
freqVar
string
"no"
spectre.qpss
designVar string
""
spectre.qpss
dev
string
""
spectre.qpss
deviceParam string
""
spectre.qpss
mod
string
""
spectre.qpss
modelParam string
""
spectre.qpss
param string
""
spectre.qpss
rangeType string
"Start-Stop"
spectre.qpss
sweepChange boolean
nil
spectre.qpss
start string
""
spectre.qpss
stop string
""
spectre.qpss
center
string
""
spectre.qpss
span string
""
spectre.qpss
incrType
string
"Linear"
spectre.qpss
stepTypeLin string
"Step Size"
spectre.qpss
stepTypeLog string
"Points Per Decade"
spectre.qpss
sweepStep string
""
spectre.qpss
lin
string
""
spectre.qpss
dec
string
""
spectre.qpss
log
string
""
spectre.qpss
useDiscrete boolean
nil
spectre.qpss
values
string
""
;spectre qpssOpts partition variables
spectre.qpssOpts step string
""
spectre.qpssOpts maxacfreq string
""
spectre.qpssOpts maxstep
string
""
spectre.qpssOpts ic
string
""
spectre.qpssOpts skipdc
string
""
spectre.qpssOpts readic
string
""
spectre.qpssOpts readns
string
""
spectre.qpssOpts cmin string
""
spectre.qpssOpts stabcycles string
""
spectre.qpssOpts write string
""
spectre.qpssOpts writefinal string
""
spectre.qpssOpts swapfile
string
""
spectre.qpssOpts writeqpss string
""
spectre.qpssOpts readqpss
string
""
spectre.qpssOpts saveclock string
""
spectre.qpssOpts saveperiod string
""
spectre.qpssOpts savetime
string
""
spectre.qpssOpts savefile
string
""
spectre.qpssOpts recover
string
""
spectre.qpssOpts method
string
""
spectre.qpssOpts relref
string
""
spectre.qpssOpts lteratio
string
""
spectre.qpssOpts steadyratio string
""
spectre.qpssOpts itres string
""
spectre.qpssOpts lnsolver
string
""
spectre.qpssOpts inexactNewton
string
""
spectre.qpssOpts maxperiods string
""
spectre.qpssOpts stats string
""
spectre.qpssOpts annotate
string
"status"
spectre.qpssOpts save string
""
spectre.qpssOpts nestlvl
string
""
spectre.qpssOpts oppoint
string
""
spectre.qpssOpts skipstart string
""
spectre.qpssOpts skipstop
string
""
spectre.qpssOpts skipcount string
""
spectre.qpssOpts strobeperiod
string
""
spectre.qpssOpts strobedelay string
""
spectre.qpssOpts compression string
""
spectre.qpssOpts maxiters
string
""
spectre.qpssOpts restart
string
""
spectre.qpssOpts tstart
string
""
spectre.qpssOpts freqdivide string
""
spectre.qpssOpts additionalParams string
""
;spectre qpac partition variables
spectre.qpac
enable
toggle
(nil)
spectre.qpac
sweeptype string
"default"
spectre.qpac
relharmvec string
""
spectre.qpac
rangeType string
"Start-Stop"
spectre.qpac
sweepChange boolean
nil
spectre.qpac
start string
""
spectre.qpac
stop string
""
spectre.qpac
center
string
""
spectre.qpac
span string
""
spectre.qpac
incrType
string
"Automatic"
spectre.qpac
stepTypeLin string
"Step Size"
spectre.qpac
stepTypeLog string
"Points Per Decade"
spectre.qpac
step string
""
spectre.qpac
lin
string
""
spectre.qpac
dec
string
""
spectre.qpac
log
string
""
spectre.qpac
useDiscrete boolean
nil
spectre.qpac
values
string
""
spectre.qpac
sbsm string
"Maximum clock order"
spectre.qpac
clockmaxharm
string
""
spectre.qpac
selFreqFrom string
"0"
spectre.qpac
selFreqTo string
"1e12"
spectre.qpac
order string
"1"
spectre.qpac
harmsAndFreqsTitle
string
""
spectre.qpac
harmsAndFreqsTitle2
string
""
spectre.qpac
harmsAndFreqs
string
""
spectre.qpac
arrayCoeffs string
""
spectre.qpac
harmCoeffs string
""
spectre.qpac
harmListMem string
""
spectre.qpac
sidevec
string
""
;spectre qpacOpts partition variables
spectre.qpacOpts tolerance string
""
spectre.qpacOpts gear_order string
""
spectre.qpacOpts solver
string
""
spectre.qpacOpts lnsolver
string
""
spectre.qpacOpts stats string
""
spectre.qpacOpts annotate
string
"status"
spectre.qpacOpts freqaxis
string
""
spectre.qpacOpts save string
""
spectre.qpacOpts nestlvl
string
""
spectre.qpacOpts additionalParams string
""
;spectre qpnoise partition variables
spectre.qpnoise enable
toggle
(nil)
spectre.qpnoise sweeptype string
"default"
spectre.qpnoise relharmvec string
""
spectre.qpnoise rangeType string
"Start-Stop"
spectre.qpnoise sweepChange boolean
nil
spectre.qpnoise start string
""
spectre.qpnoise stop string
""
spectre.qpnoise center
string
""
spectre.qpnoise span string
""
spectre.qpnoise incrType
string
"Automatic"
spectre.qpnoise stepTypeLin string
"Step Size"
spectre.qpnoise stepTypeLog string
"Points Per Decade"
spectre.qpnoise step string
""
spectre.qpnoise lin
string
""
spectre.qpnoise dec
string
""
spectre.qpnoise log
string
""
spectre.qpnoise useDiscrete boolean
nil
spectre.qpnoise values
string
""
spectre.qpnoise sbsm string
"Maximum clock order"
spectre.qpnoise clockmaxharm
string
""
spectre.qpnoise selFreqFrom string
"0"
spectre.qpnoise selFreqTo string
"1e12"
spectre.qpnoise order string
"1"
spectre.qpnoise harmsAndFreqsTitle
string
""
spectre.qpnoise harmsAndFreqsTitle2
string
""
spectre.qpnoise harmsAndFreqs
string
""
spectre.qpnoise arrayCoeffs string
""
spectre.qpnoise harmCoeffs string
""
spectre.qpnoise harmListMem string
""
spectre.qpnoise sidevec
string
""
spectre.qpnoise outType
string
"probe"
spectre.qpnoise p
string
""
spectre.qpnoise n
string
""
spectre.qpnoise oprobe
string
""
spectre.qpnoise inType
string
"port"
spectre.qpnoise inVsrc
string
""
spectre.qpnoise inIsrc
string
""
spectre.qpnoise iprobe
string
""
spectre.qpnoise inPort
string
""
spectre.qpnoise refsbSelect string
"Enter in field"
spectre.qpnoise refSidebandHint string
""
spectre.qpnoise refsideband string
""
spectre.qpnoise refsbFreqFrom
string
"0"
spectre.qpnoise refsbFreqTo string
"1e12"
spectre.qpnoise refsbOrder string
"1"
spectre.qpnoise refsbTitle string
""
spectre.qpnoise refsbListbox
string
""
spectre.qpnoise separatenoise
string
""
;spectre qpnoiseOpts partition variables
spectre.qpnoiseOpts
tolerance string
""
spectre.qpnoiseOpts
gear_order string
""
spectre.qpnoiseOpts
solver
string
""
spectre.qpnoiseOpts
lnsolver
string
""
spectre.qpnoiseOpts
stats string
""
spectre.qpnoiseOpts
annotate
string
"status"
spectre.qpnoiseOpts
save string
""
spectre.qpnoiseOpts
nestlvl
string
""
spectre.qpnoiseOpts
saveallsidebands string
""
spectre.qpnoiseOpts
additionalParams string
""
;spectre qpxf partition variables
spectre.qpxf
enable
toggle
(nil)
spectre.qpxf
sweeptype string
"default"
spectre.qpxf
relharmvec string
""
spectre.qpxf
rangeType string
"Start-Stop"
spectre.qpxf
sweepChange boolean
nil
spectre.qpxf
start string
""
spectre.qpxf
stop string
""
spectre.qpxf
center
string
""
spectre.qpxf
span string
""
spectre.qpxf
incrType
string
"Automatic"
spectre.qpxf
stepTypeLin string
"Step Size"
spectre.qpxf
stepTypeLog string
"Points Per Decade"
spectre.qpxf
step string
""
spectre.qpxf
lin
string
""
spectre.qpxf
dec
string
""
spectre.qpxf
log
string
""
spectre.qpxf
useDiscrete boolean
nil
spectre.qpxf
values
string
""
spectre.qpxf
sbsm string
"Maximum clock order"
spectre.qpxf
clockmaxharm
string
""
spectre.qpxf
selFreqFrom string
"0"
spectre.qpxf
selFreqTo string
"1e12"
spectre.qpxf
order string
"1"
spectre.qpxf
harmsAndFreqsTitle
string
""
spectre.qpxf
harmsAndFreqsTitle2
string
""
spectre.qpxf
harmsAndFreqs
string
""
spectre.qpxf
arrayCoeffs string
""
spectre.qpxf
harmCoeffs string
""
spectre.qpxf
harmListMem string
""
spectre.qpxf
sidevec
string
""
spectre.qpxf
outType
string
"voltage"
spectre.qpxf
p
string
""
spectre.qpxf
n
string
""
spectre.qpxf
probe string
""
;spectre qpxfOpts partition variables
spectre.qpxfOpts tolerance string
""
spectre.qpxfOpts gear_order string
""
spectre.qpxfOpts solver
string
""
spectre.qpxfOpts lnsolver
string
""
spectre.qpxfOpts stats string
""
spectre.qpxfOpts annotate
string
"status"
spectre.qpxfOpts stimuli
string
""
spectre.qpxfOpts freqaxis
string
""
spectre.qpxfOpts save string
""
spectre.qpxfOpts nestlvl
string
""
spectre.qpxfOpts additionalParams string
""
;spectre qpsp partition variables
spectre.qpsp
enable
toggle
(nil)
spectre.qpsp
sweeptype string
"default"
spectre.qpsp
rangeType string
"Start-Stop"
spectre.qpsp
sweepChange boolean
nil
spectre.qpsp
start string
""
spectre.qpsp
stop string
""
spectre.qpsp
center
string
""
spectre.qpsp
span string
""
spectre.qpsp
incrType
string
"Automatic"
spectre.qpsp
stepTypeLin string
"Step Size"
spectre.qpsp
stepTypeLog string
"Points Per Decade"
spectre.qpsp
step string
""
spectre.qpsp
lin
string
""
spectre.qpsp
dec
string
""
spectre.qpsp
log
string
""
spectre.qpsp
useDiscrete boolean
nil
spectre.qpsp
values
string
""
spectre.qpsp
portharmsvec
string
""
spectre.qpsp
ports string
""
spectre.qpsp
selectPorts boolean
t
spectre.qpsp
harmsvec
string
""
spectre.qpsp
freqRange string
""
spectre.qpsp
listPortHarm
string
""
spectre.qpsp
listPortName
string
""
spectre.qpsp
listPortNum string
""
spectre.qpsp
portChoiceList
string
""
spectre.qpsp
portChoiceListHeading string
""
spectre.qpsp
donoise
string
"yes"
spectre.qpsp
clockmaxharm
string
""
;spectre qpspOpts partition variables
spectre.qpspOpts tolerance string
""
spectre.qpspOpts gear_order string
""
spectre.qpspOpts solver
string
""
spectre.qpspOpts lnsolver
string
""
spectre.qpspOpts annotate
string
"status"
spectre.qpspOpts stats string
""
spectre.qpspOpts freqaxis
string
""
spectre.qpspOpts additionalParams string
""
;spectre sens partition variables
spectre.sens
enable
toggle
(nil)
spectre.sens
sensType
toggle
(nil nil nil)
spectre.sens
analyses_list
string
""
spectre.sens
outputs
string
""
spectre.sens
output_list string
""
spectre.sens
net
string
""
spectre.sens
term string
""
;spectre sensOpts partition variables
spectre.sensOpts additionalParams string
""
;spectreS environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;spectreS opts partition variables
spectreS.opts
title string
""
spectreS.opts
audit string
""
spectreS.opts
ckptclock string
"1800"
spectreS.opts
flow string
""
spectreS.opts
cols string
"80"
spectreS.opts
opptcheck string
""
spectreS.opts
reltol
string
"1e-3"
spectreS.opts
notation
string
""
spectreS.opts
warn string
""
spectreS.opts
approx
string
""
spectreS.opts
info string
""
spectreS.opts
quantities string
""
spectreS.opts
pivabs
string
""
spectreS.opts
compatible string
""
spectreS.opts
ignshorts string
""
spectreS.opts
gmin string
"1e-12"
spectreS.opts
rforce
string
"1"
spectreS.opts
homotopy
string
""
spectreS.opts
debug string
""
spectreS.opts
iabstol
string
"1e-12"
spectreS.opts
maxwarns
string
"5"
spectreS.opts
tempeffects string
""
spectreS.opts
vabstol
string
"1e-6"
spectreS.opts
temp string
"27"
spectreS.opts
tnom string
"27"
spectreS.opts
narrate
string
""
spectreS.opts
value string
""
spectreS.opts
gmin_check string
""
spectreS.opts
pivrel
string
"1e-3"
spectreS.opts
pivotdc
string
""
spectreS.opts
digits
string
"5"
spectreS.opts
diagnose
string
""
spectreS.opts
scale string
"1.0"
spectreS.opts
scalem
string
"1.0"
spectreS.opts
error string
""
spectreS.opts
inventory string
""
spectreS.opts
topcheck
string
""
spectreS.opts
limit string
""
;spectreS outputs partition variables
spectreS.outputs allAnalogACTC
boolean
nil
spectreS.outputs allAhdlVars boolean
nil
spectreS.outputs allAnalogNV boolean
t
spectreS.outputs allAnalogTC boolean
nil
;spectreS envOpts partition variables
spectreS.envOpts updateFile string
""
spectreS.envOpts stimulusFile
string
""
spectreS.envOpts instViewListTable string
""
spectreS.envOpts doInstBased boolean
nil
spectreS.envOpts stopViewList
string
"spectreS spice"
spectreS.envOpts paramRangeCheckFile
string
""
spectreS.envOpts includeSyntax
string
"cdsSpice"
spectreS.envOpts initFile
string
""
spectreS.envOpts printComments
boolean
nil
spectreS.envOpts netlistType string
"hierarchical"
spectreS.envOpts generateMapFile boolean
nil
spectreS.envOpts includeFile string
""
spectreS.envOpts recover
boolean
nil
spectreS.envOpts instStopListTable string
""
spectreS.envOpts switchViewList
string
"spectreS spice cmos_sch
cmos.sch schematic veriloga ahdl"
;spectreS init partition variables
spectreS.init
processPriority int
0
;spectreS tran partition variables
spectreS.tran
enable
toggle
(nil)
spectreS.tran
to
string
""
spectreS.tran
errpreset string
""
;spectreS tranOpts partition variables
spectreS.tranOpts start string
""
spectreS.tranOpts outputstart string
""
spectreS.tranOpts step string
""
spectreS.tranOpts maxstep
string
""
spectreS.tranOpts ic
string
""
spectreS.tranOpts skipdc
string
""
spectreS.tranOpts readic
string
""
spectreS.tranOpts readns
string
""
spectreS.tranOpts cmin string
""
spectreS.tranOpts write string
"spectre.ic"
spectreS.tranOpts writefinal string
"spectre.fc"
spectreS.tranOpts ckptperiod string
""
spectreS.tranOpts method
string
"default"
spectreS.tranOpts relref
string
"default"
spectreS.tranOpts lteratio
string
""
spectreS.tranOpts stats string
""
spectreS.tranOpts annotate
string
"status"
spectreS.tranOpts oppoint
string
""
spectreS.tranOpts skipstart string
""
spectreS.tranOpts skipstop
string
""
spectreS.tranOpts skipcount string
""
spectreS.tranOpts strobeperiod
string
""
spectreS.tranOpts strobedelay string
""
spectreS.tranOpts compression string
"no"
spectreS.tranOpts maxiters
string
"5"
spectreS.tranOpts restart
string
""
;spectreS ac partition variables
spectreS.ac enable
toggle
(nil)
spectreS.ac sweep string
"Frequency"
spectreS.ac freq string
""
spectreS.ac device
string
""
spectreS.ac deviceParam string
""
spectreS.ac model string
""
spectreS.ac modelParam string
""
spectreS.ac temperature string
"temp"
spectreS.ac rangeType string
"Start-Stop"
spectreS.ac from string
""
spectreS.ac to
string
""
spectreS.ac center
string
""
spectreS.ac span string
""
spectreS.ac incrType
string
"Automatic"
spectreS.ac stepTypeLin string
"Step Size"
spectreS.ac stepTypeLog string
"Points Per Decade"
spectreS.ac by
string
""
spectreS.ac lin
string
""
spectreS.ac dec
string
""
spectreS.ac log
string
""
spectreS.ac useDiscrete boolean
nil
spectreS.ac values
string
""
;spectreS acOpts partition variables
spectreS.acOpts readns
string
""
spectreS.acOpts oppoint
string
""
spectreS.acOpts restart
string
""
spectreS.acOpts annotate
string
"status"
spectreS.acOpts stats string
""
;spectreS dc partition variables
spectreS.dc enable
toggle
(nil)
spectreS.dcop
enable
toggle
(nil)
spectreS.dc saveOppoint boolean
nil
spectreS.dc oppoint
string
"rawfile"
spectreS.dc save string
"allpub"
spectreS.dc sweep string
""
spectreS.dc device
string
""
spectreS.dc deviceParam string
""
spectreS.dc model string
""
spectreS.dc modelParam string
""
spectreS.dc temperature string
"temp"
spectreS.dc rangeType string
"Start-Stop"
spectreS.dc from string
""
spectreS.dc to
string
""
spectreS.dc center
string
""
spectreS.dc span string
""
spectreS.dc incrType
string
"Automatic"
spectreS.dc stepTypeLin string
"Step Size"
spectreS.dc stepTypeLog string
"Points Per Decade"
spectreS.dc by
string
""
spectreS.dc lin
string
""
spectreS.dc dec
string
""
spectreS.dc log
string
""
spectreS.dc useDiscrete boolean
nil
spectreS.dc values
string
""
;spectreS dcOpts partition variables
spectreS.dcOpts force string
""
spectreS.dcopOpts force string
""
spectreS.dcOpts readns
string
""
spectreS.dcopOpts readns
string
""
spectreS.dcOpts readforce string
""
spectreS.dcopOpts readforce string
""
spectreS.dcOpts write string
"spectre.dc"
spectreS.dcopOpts write string
"spectre.dc"
spectreS.dcOpts writefinal string
""
spectreS.dcopOpts writefinal string
""
spectreS.dcOpts print string
""
spectreS.dcopOpts print string
""
spectreS.dcOpts check string
""
spectreS.dcopOpts check string
""
spectreS.dcOpts homotopy
string
""
spectreS.dcopOpts homotopy
string
""
spectreS.dcOpts restart
string
""
spectreS.dcopOpts restart
string
""
spectreS.dcOpts maxiters
string
"150"
spectreS.dcopOpts maxiters
string
"150"
spectreS.dcOpts maxsteps
string
"10000"
spectreS.dcopOpts maxsteps
string
"10000"
spectreS.dcOpts annotate
string
"status"
spectreS.dcopOpts annotate
string
"status"
;spectreS noise partition variables
spectreS.noise
enable
toggle
(nil)
spectreS.noise
sweep string
"Frequency"
spectreS.noise
freq string
""
spectreS.noise
device
string
""
spectreS.noise
deviceParam string
""
spectreS.noise
model string
""
spectreS.noise
modelParam string
""
spectreS.noise
temperature string
"temp"
spectreS.noise
rangeType string
"Start-Stop"
spectreS.noise
from string
""
spectreS.noise
to
string
""
spectreS.noise
center
string
""
spectreS.noise
span string
""
spectreS.noise
incrType
string
"Automatic"
spectreS.noise
stepTypeLin string
"Step Size"
spectreS.noise
stepTypeLog string
"Points Per Decade"
spectreS.noise
by
string
""
spectreS.noise
lin
string
""
spectreS.noise
dec
string
""
spectreS.noise
log
string
""
spectreS.noise
useDiscrete boolean
nil
spectreS.noise
values
string
""
spectreS.noise
outNoiseType
string
"voltage"
spectreS.noise
negNode
string
"/gnd!"
spectreS.noise
posNode
string
""
spectreS.noise
outVsrc
string
""
spectreS.noise
inNoiseType string
"voltage"
spectreS.noise
inVsrc
string
""
spectreS.noise
inIsrc
string
""
spectreS.noise
inPort
string
""
;spectreS noiseOpts partition variables
spectreS.noiseOpts
readns
string
""
spectreS.noiseOpts
oppoint
string
""
spectreS.noiseOpts
restart
string
""
spectreS.noiseOpts
annotate
string
"status"
spectreS.noiseOpts
stats string
""
;spectreS xf partition variables
spectreS.xf enable
toggle
(nil)
spectreS.xf sweep string
"Frequency"
spectreS.xf freq string
""
spectreS.xf device
string
""
spectreS.xf deviceParam string
""
spectreS.xf model string
""
spectreS.xf modelParam string
""
spectreS.xf temperature string
"temp"
spectreS.xf rangeType string
"Start-Stop"
spectreS.xf from string
""
spectreS.xf to
string
""
spectreS.xf center
string
""
spectreS.xf span string
""
spectreS.xf incrType
string
"Automatic"
spectreS.xf stepTypeLin string
"Step Size"
spectreS.xf stepTypeLog string
"Points Per Decade"
spectreS.xf by
string
""
spectreS.xf lin
string
""
spectreS.xf dec
string
""
spectreS.xf log
string
""
spectreS.xf useDiscrete boolean
nil
spectreS.xf values
string
""
spectreS.xf outXfType string
"voltage"
spectreS.xf negNode
string
"/gnd!"
spectreS.xf posNode
string
""
spectreS.xf outVsrc
string
""
;spectreS xfOpts partition variables
spectreS.xfOpts readns
string
""
spectreS.xfOpts stimuli
string
""
spectreS.xfOpts oppoint
string
""
spectreS.xfOpts restart
string
""
spectreS.xfOpts annotate
string
"status"
spectreS.xfOpts stats string
""
;spectreS sp partition variables
spectreS.sp enable
toggle
(nil)
spectreS.sp sweep string
"Frequency"
spectreS.sp frequency string
""
spectreS.sp device
string
""
spectreS.sp deviceParam string
""
spectreS.sp model string
""
spectreS.sp modelParam string
""
spectreS.sp temperature string
"temp"
spectreS.sp rangeType string
"Start-Stop"
spectreS.sp from string
""
spectreS.sp to
string
""
spectreS.sp center
string
""
spectreS.sp span string
""
spectreS.sp incrType
string
"Automatic"
spectreS.sp stepTypeLin string
"Step Size"
spectreS.sp stepTypeLog string
"Points Per Decade"
spectreS.sp by
string
""
spectreS.sp lin
string
""
spectreS.sp dec
string
""
spectreS.sp log
string
""
spectreS.sp useDiscrete boolean
nil
spectreS.sp values
string
""
spectreS.sp donoise
boolean
nil
spectreS.sp oprobe
string
""
spectreS.sp iprobe
string
""
;spectreS spOpts partition variables
spectreS.spOpts readns
string
""
spectreS.spOpts file string
""
spectreS.spOpts oppoint
string
""
spectreS.spOpts reftemp
string
""
spectreS.spOpts restart
string
""
spectreS.spOpts annotate
string
"status"
spectreS.spOpts stats string
""
;spectreS spss partition variables
spectreS.spss
enable
toggle
(nil)
spectreS.spss
paramListBox
string
""
spectreS.spss
fundListBox string
""
spectreS.spss
stimName
string
""
spectreS.spss
freqName
string
""
spectreS.spss
freqVal
string
""
spectreS.spss
signalLevel string
"Moderate"
spectreS.spss
srcId string
""
spectreS.spss
maxHarms
string
""
spectreS.spss
freqPeriod string
"Fundamental (Beat) Frequency"
spectreS.spss
fund string
""
spectreS.spss
period
string
""
spectreS.spss
harmonics string
"None"
spectreS.spss
numharmonics
string
""
spectreS.spss
arrayharmonics
string
""
spectreS.spss
none string
"0"
spectreS.spss
selFreqFrom string
""
spectreS.spss
selFreqTo string
""
spectreS.spss
harmsAndFreqsTitle
string
""
spectreS.spss
harmsAndFreqs
string
""
spectreS.spss
errpreset string
""
spectreS.spss
tstab string
""
spectreS.spss
saveinit
string
""
spectreS.spss
oscana
boolean
nil
spectreS.spss
oscRefNode string
"/gnd!"
spectreS.spss
oscNode
string
""
spectreS.spss
sweep string
"frequency/period"
spectreS.spss
designVar string
""
spectreS.spss
rangeType string
"Start-Stop"
spectreS.spss
from string
""
spectreS.spss
to
string
""
spectreS.spss
center
string
""
spectreS.spss
span string
""
spectreS.spss
incrType
string
"Linear"
spectreS.spss
stepTypeLin string
"Step Size"
spectreS.spss
stepTypeLog string
"Points Per Decade"
spectreS.spss
by
string
""
spectreS.spss
lin
string
""
spectreS.spss
dec
string
""
spectreS.spss
log
string
""
spectreS.spss
useDiscrete boolean
nil
spectreS.spss
values
string
""
spectreS.spss
analysisList
string
""
spectreS.spss
pacEnable boolean
nil
spectreS.spss
pacfund
string
""
spectreS.spss
pacRelative boolean
nil
spectreS.spss
pacsweeptype
string
""
spectreS.spss
pacharmonics
string
"Zero sideband only"
spectreS.spss
pacnumharmonics string
""
spectreS.spss
pacarrayharmonics string
""
spectreS.spss
pacselFreqFrom
string
""
spectreS.spss
pacselFreqTo
string
""
spectreS.spss
pacharmsAndFreqsTitle string
""
spectreS.spss
pacharmsAndFreqs string
""
spectreS.spss
pnoiseEnable
boolean
nil
spectreS.spss
pnoisefund string
""
spectreS.spss
pnoiseRelative
boolean
nil
spectreS.spss
pnoisesweeptype string
""
spectreS.spss
pnoiseharmonics string
"Zero sideband only"
spectreS.spss
pnoisenumharmonics
string
""
spectreS.spss
pnoisearrayharmonics
string
""
spectreS.spss
pnoiseselFreqFrom string
""
spectreS.spss
pnoiseselFreqTo string
""
spectreS.spss
pnoiseharmsAndFreqsTitle
string
""
spectreS.spss
pnoiseharmsAndFreqs
string
""
spectreS.spss
pnoiseoutProbeType
string
"voltage"
spectreS.spss
pnoisenegNode
string
"/gnd!"
spectreS.spss
pnoiseposNode
string
""
spectreS.spss
pnoiseoutVsrc
string
""
spectreS.spss
pnoiseinNoiseType string
"none"
spectreS.spss
pnoiseinVsrc
string
""
spectreS.spss
pnoiseinIsrc
string
""
spectreS.spss
pnoiseinPort
string
""
spectreS.spss
pnoiserefsideband string
""
spectreS.spss
pxfEnable boolean
nil
spectreS.spss
pxffund
string
""
spectreS.spss
pxfRelative boolean
nil
spectreS.spss
pxfsweeptype
string
""
spectreS.spss
pxfharmonics
string
"Zero sideband only"
spectreS.spss
pxfnumharmonics string
""
spectreS.spss
pxfarrayharmonics string
""
spectreS.spss
pxfselFreqFrom
string
""
spectreS.spss
pxfselFreqTo
string
""
spectreS.spss
pxfharmsAndFreqsTitle string
""
spectreS.spss
pxfharmsAndFreqs string
""
spectreS.spss
pxfoutProbeType string
"voltage"
spectreS.spss
pxfnegNode string
"/gnd!"
spectreS.spss
pxfposNode string
""
spectreS.spss
pxfoutVsrc string
""
;spectreS spssOpts partition variables
spectreS.spssOpts step string
""
spectreS.spssOpts maxstep
string
""
spectreS.spssOpts ic
string
""
spectreS.spssOpts skipdc
string
""
spectreS.spssOpts readic
string
""
spectreS.spssOpts readns
string
""
spectreS.spssOpts cmin string
""
spectreS.spssOpts write string
""
spectreS.spssOpts writefinal string
""
spectreS.spssOpts swapfile
string
""
spectreS.spssOpts method
string
""
spectreS.spssOpts relref
string
""
spectreS.spssOpts lteratio
string
""
spectreS.spssOpts steadyratio string
""
spectreS.spssOpts maxacfreq string
""
spectreS.spssOpts maxperiods string
""
spectreS.spssOpts stats string
""
spectreS.spssOpts annotate
string
"status"
spectreS.spssOpts oppoint
string
""
spectreS.spssOpts skipstart string
""
spectreS.spssOpts skipstop
string
""
spectreS.spssOpts skipcount string
""
spectreS.spssOpts strobeperiod
string
""
spectreS.spssOpts strobedelay string
""
spectreS.spssOpts compression string
""
spectreS.spssOpts maxiters
string
""
spectreS.spssOpts restart
string
""
spectreS.spssOpts tstart
string
""
spectreS.spssOpts tolerance string
""
spectreS.spssOpts gearorder string
""
spectreS.spssOpts solver
string
""
spectreS.spssOpts stats2
string
""
spectreS.spssOpts annotate2 string
"status"
spectreS.spssOpts freqaxis
string
""
spectreS.spssOpts tolerance2 string
""
spectreS.spssOpts gearorder2 string
""
spectreS.spssOpts solver2
string
""
spectreS.spssOpts stats3
string
""
spectreS.spssOpts annotate3 string
"status"
spectreS.spssOpts stimuli
string
""
spectreS.spssOpts freqaxis2 string
""
spectreS.spssOpts tolerance3 string
""
spectreS.spssOpts gearorder3 string
""
spectreS.spssOpts solver3
string
""
spectreS.spssOpts stats4
string
""
spectreS.spssOpts annotate4 string
"status"
;spectreS pss partition variables
spectreS.pss
enable
toggle
(nil)
spectreS.pss
paramListBox
string
""
spectreS.pss
fundListBox string
""
spectreS.pss
stimName
string
""
spectreS.pss
freqName
string
""
spectreS.pss
freqVal
string
""
spectreS.pss
signalLevel string
"Moderate"
spectreS.pss
srcId string
""
spectreS.pss
maxHarms
string
""
spectreS.pss
freqPeriod string
"Fundamental (Beat) Frequency"
spectreS.pss
fund string
""
spectreS.pss
period
string
""
spectreS.pss
harmonics string
"None"
spectreS.pss
numharmonics
string
""
spectreS.pss
arrayharmonics
string
""
spectreS.pss
none string
"0"
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
spectreS.pss
selFreqFrom string
""
selFreqTo string
""
harmsAndFreqsTitle
string
""
harmsAndFreqs
string
""
errpreset string
""
tstab string
""
saveinit
string
""
oscana
boolean
nil
oscRefNode string
"/gnd!"
oscNode
string
""
analysisList
string
""
pacEnable boolean
nil
pacRelative boolean
nil
pacsweeptype
string
""
pacrangeType
string
"Start-Stop"
pacfrom
string
""
pacto string
""
paccenter string
""
pacspan
string
""
pacincrType string
"Automatic"
pacstepTypeLin
string
"Step Size"
pacstepTypeLog
string
"Points Per Decade"
pacby string
""
paclin
string
""
pacdec
string
""
paclog
string
""
pacuseDiscrete
boolean
nil
pacvalues string
""
pacharmonics
string
"Zero sideband only"
pacnumharmonics string
""
pacarrayharmonics string
""
pacselFreqFrom
string
""
pacselFreqTo
string
""
pacharmsAndFreqsTitle string
""
pacharmsAndFreqs string
""
pnoiseEnable
boolean
nil
pnoiseRelative
boolean
nil
pnoisesweeptype string
""
pnoiserangeType string
"Start-Stop"
pnoisefrom string
""
pnoiseto
string
""
pnoisecenter
string
""
pnoisespan string
""
pnoiseincrType
string
"Automatic"
pnoisestepTypeLin string
"Step Size"
pnoisestepTypeLog string
"Points Per Decade"
pnoiseby
string
""
pnoiselin string
""
pnoisedec string
""
pnoiselog string
""
pnoiseuseDiscrete boolean
nil
pnoisevalues
string
""
pnoiseharmonics string
"Zero sideband only"
pnoisenumharmonics
string
""
spectreS.pss
pnoisearrayharmonics
string
""
spectreS.pss
pnoiseselFreqFrom string
""
spectreS.pss
pnoiseselFreqTo string
""
spectreS.pss
pnoiseharmsAndFreqsTitle
string
""
spectreS.pss
pnoiseharmsAndFreqs
string
""
spectreS.pss
pnoiseoutProbeType
string
"voltage"
spectreS.pss
pnoisenegNode
string
"/gnd!"
spectreS.pss
pnoiseposNode
string
""
spectreS.pss
pnoiseoutVsrc
string
""
spectreS.pss
pnoiseinNoiseType string
"none"
spectreS.pss
pnoiseinVsrc
string
""
spectreS.pss
pnoiseinIsrc
string
""
spectreS.pss
pnoiseinPort
string
""
spectreS.pss
pnoiserefsideband string
""
spectreS.pss
pxfEnable boolean
nil
spectreS.pss
pxfRelative boolean
nil
spectreS.pss
pxfsweeptype
string
""
spectreS.pss
pxfrangeType
string
"Start-Stop"
spectreS.pss
pxffrom
string
""
spectreS.pss
pxfto string
""
spectreS.pss
pxfcenter string
""
spectreS.pss
pxfspan
string
""
spectreS.pss
pxfincrType string
"Automatic"
spectreS.pss
pxfstepTypeLin
string
"Step Size"
spectreS.pss
pxfstepTypeLog
string
"Points Per Decade"
spectreS.pss
pxfby string
""
spectreS.pss
pxflin
string
""
spectreS.pss
pxfdec
string
""
spectreS.pss
pxflog
string
""
spectreS.pss
pxfuseDiscrete
boolean
nil
spectreS.pss
pxfvalues string
""
spectreS.pss
pxfharmonics
string
"Zero sideband only"
spectreS.pss
pxfnumharmonics string
""
spectreS.pss
pxfarrayharmonics string
""
spectreS.pss
pxfselFreqFrom
string
""
spectreS.pss
pxfselFreqTo
string
""
spectreS.pss
pxfharmsAndFreqsTitle string
""
spectreS.pss
pxfharmsAndFreqs string
""
spectreS.pss
pxfoutProbeType string
"voltage"
spectreS.pss
pxfnegNode string
"/gnd!"
spectreS.pss
pxfposNode string
""
spectreS.pss
pxfoutVsrc string
""
;spectreS pssOpts partition variables
spectreS.pssOpts step string
""
spectreS.pssOpts maxstep
string
""
spectreS.pssOpts ic
string
""
spectreS.pssOpts skipdc
string
""
spectreS.pssOpts readic
string
""
spectreS.pssOpts readns
string
""
spectreS.pssOpts cmin string
""
spectreS.pssOpts write string
""
spectreS.pssOpts writefinal string
""
spectreS.pssOpts swapfile
string
""
spectreS.pssOpts method
string
""
spectreS.pssOpts relref
string
""
spectreS.pssOpts lteratio
string
""
spectreS.pssOpts steadyratio string
""
spectreS.pssOpts maxacfreq string
""
spectreS.pssOpts maxperiods string
""
spectreS.pssOpts stats string
""
spectreS.pssOpts annotate
string
"status"
spectreS.pssOpts oppoint
string
""
spectreS.pssOpts skipstart string
""
spectreS.pssOpts skipstop
string
""
spectreS.pssOpts skipcount string
""
spectreS.pssOpts strobeperiod
string
""
spectreS.pssOpts strobedelay string
""
spectreS.pssOpts compression string
""
spectreS.pssOpts maxiters
string
""
spectreS.pssOpts restart
string
""
spectreS.pssOpts tstart
string
""
spectreS.pssOpts tolerance string
""
spectreS.pssOpts gearorder string
""
spectreS.pssOpts solver
string
""
spectreS.pssOpts stats2
string
""
spectreS.pssOpts annotate2 string
"status"
spectreS.pssOpts freqaxis
string
""
spectreS.pssOpts tolerance2 string
""
spectreS.pssOpts gearorder2 string
""
spectreS.pssOpts solver2
string
""
spectreS.pssOpts stats3
string
""
spectreS.pssOpts annotate3 string
"status"
spectreS.pssOpts stimuli
string
""
spectreS.pssOpts freqaxis2 string
""
spectreS.pssOpts tolerance3 string
""
spectreS.pssOpts gearorder3 string
""
spectreS.pssOpts solver3
string
""
spectreS.pssOpts stats4
string
""
spectreS.pssOpts annotate4 string
"status"
;spectreS pdisto partition variables
spectreS.pdisto enable
toggle
(nil)
spectreS.pdisto paramListBox
string
""
spectreS.pdisto fundListBox string
""
spectreS.pdisto stimName
string
""
spectreS.pdisto freqName
string
""
spectreS.pdisto freqVal
string
""
spectreS.pdisto signalLevel string
"Moderate"
spectreS.pdisto srcId string
""
spectreS.pdisto maxHarms
string
""
spectreS.pdisto fundsList string
""
spectreS.pdisto maxHarmsList
string
""
spectreS.pdisto errpreset string
""
spectreS.pdisto tstab string
""
spectreS.pdisto saveinit
string
""
;spectreS pdistoOpts partition variables
spectreS.pdistoOpts
step string
""
spectreS.pdistoOpts
maxstep
string
""
spectreS.pdistoOpts
ic
string
""
spectreS.pdistoOpts
skipdc
string
""
spectreS.pdistoOpts
readic
string
""
spectreS.pdistoOpts
readns
string
""
spectreS.pdistoOpts
cmin string
""
spectreS.pdistoOpts
tolerance string
""
spectreS.pdistoOpts
gearorder string
""
spectreS.pdistoOpts
solver
string
""
spectreS.pdistoOpts
write string
""
spectreS.pdistoOpts
writefinal string
""
spectreS.pdistoOpts
swapfile
string
""
spectreS.pdistoOpts
method
string
""
spectreS.pdistoOpts
relref
string
""
spectreS.pdistoOpts
lteratio
string
""
spectreS.pdistoOpts
steadyratio string
""
spectreS.pdistoOpts
maxacfreq string
""
spectreS.pdistoOpts
maxperiods string
""
spectreS.pdistoOpts
stats string
""
spectreS.pdistoOpts
annotate
string
"status"
spectreS.pdistoOpts
oppoint
string
""
spectreS.pdistoOpts
skipstart string
""
spectreS.pdistoOpts
skipstop
string
""
spectreS.pdistoOpts
skipcount string
""
spectreS.pdistoOpts
strobeperiod
string
""
spectreS.pdistoOpts
strobedelay string
""
spectreS.pdistoOpts
compression string
""
spectreS.pdistoOpts
freqaxis
string
""
spectreS.pdistoOpts
maxiters
string
""
spectreS.pdistoOpts
restart
string
""
spectreS.pdistoOpts
tstart
string
""
;spectreS spac partition variables
spectreS.spac
enable
toggle
(nil)
spectreS.spac
fund string
""
spectreS.spac
Relative
boolean
nil
spectreS.spac
sweeptype string
""
spectreS.spac
harmonics string
"Zero sideband only"
spectreS.spac
numharmonics
string
""
spectreS.spac
arrayharmonics
string
""
spectreS.spac
selFreqFrom string
""
spectreS.spac
selFreqTo string
""
spectreS.spac
harmsAndFreqsTitle
string
""
spectreS.spac
harmsAndFreqs
string
""
;spectreS spacOpts partition variables
spectreS.spacOpts tolerance string
""
spectreS.spacOpts gearorder string
""
spectreS.spacOpts solver
string
""
spectreS.spacOpts stats2
string
""
spectreS.spacOpts annotate2 string
"status"
spectreS.spacOpts freqaxis
string
""
;spectreS spnoise partition variables
spectreS.spnoise enable
toggle
(nil)
spectreS.spnoise fund string
""
spectreS.spnoise Relative
boolean
nil
spectreS.spnoise sweeptype string
""
spectreS.spnoise harmonics string
"Zero sideband only"
spectreS.spnoise numharmonics
string
""
spectreS.spnoise arrayharmonics
string
""
spectreS.spnoise selFreqFrom string
""
spectreS.spnoise selFreqTo string
""
spectreS.spnoise harmsAndFreqsTitle
string
""
spectreS.spnoise harmsAndFreqs
string
""
spectreS.spnoise outType
string
"voltage"
spectreS.spnoise negNode
string
"/gnd!"
spectreS.spnoise posNode
string
""
spectreS.spnoise outVsrc
string
""
spectreS.spnoise inNoiseType string
"none"
spectreS.spnoise inVsrc
string
""
spectreS.spnoise inIsrc
string
""
spectreS.spnoise inPort
string
""
spectreS.spnoise refsideband string
""
;spectreS spnoiseOpts partition variables
spectreS.spnoiseOpts
tolerance3 string
""
spectreS.spnoiseOpts
gearorder3 string
""
spectreS.spnoiseOpts
solver3
string
""
spectreS.spnoiseOpts
stats4
string
""
spectreS.spnoiseOpts
annotate4 string
"status"
;spectreS spxf partition variables
spectreS.spxf
enable
toggle
(nil)
spectreS.spxf
fund string
""
spectreS.spxf
Relative
boolean
nil
spectreS.spxf
sweeptype string
""
spectreS.spxf
harmonics string
"Zero sideband only"
spectreS.spxf
numharmonics
string
""
spectreS.spxf
arrayharmonics
string
""
spectreS.spxf
selFreqFrom string
""
spectreS.spxf
selFreqTo string
""
spectreS.spxf
harmsAndFreqsTitle
string
""
spectreS.spxf
harmsAndFreqs
string
""
spectreS.spxf
outType
string
"voltage"
spectreS.spxf
negNode
string
"/gnd!"
spectreS.spxf
posNode
string
""
spectreS.spxf
outVsrc
string
""
;spectreS spxfOpts partition variables
spectreS.spxfOpts tolerance2 string
""
spectreS.spxfOpts gearorder2 string
""
spectreS.spxfOpts solver2
string
""
spectreS.spxfOpts stats3
string
""
spectreS.spxfOpts annotate3 string
"status"
spectreS.spxfOpts stimuli
string
""
spectreS.spxfOpts freqaxis2 string
""
;spectreS pac partition variables
spectreS.pac
enable
toggle
(nil)
spectreS.pac
fund string
""
spectreS.pac
Relative
boolean
nil
spectreS.pac
sweeptype string
""
spectreS.pac
rangeType string
"Start-Stop"
spectreS.pac
from string
""
spectreS.pac
to
string
""
spectreS.pac
center
string
""
spectreS.pac
span string
""
spectreS.pac
incrType
string
"Automatic"
spectreS.pac
stepTypeLin string
"Step Size"
spectreS.pac
stepTypeLog string
"Points Per Decade"
spectreS.pac
by
string
""
spectreS.pac
lin
string
""
spectreS.pac
dec
string
""
spectreS.pac
log
string
""
spectreS.pac
useDiscrete boolean
nil
spectreS.pac
values
string
""
spectreS.pac
harmonics string
"Zero sideband only"
spectreS.pac
numharmonics
string
""
spectreS.pac
arrayharmonics
string
""
spectreS.pac
selFreqFrom string
""
spectreS.pac
selFreqTo string
""
spectreS.pac
harmsAndFreqsTitle
string
""
spectreS.pac
harmsAndFreqs
string
""
;spectreS pacOpts partition variables
spectreS.pacOpts tolerance string
""
spectreS.pacOpts gearorder string
""
spectreS.pacOpts solver
string
""
spectreS.pacOpts stats2
string
""
spectreS.pacOpts annotate2 string
"status"
spectreS.pacOpts freqaxis
string
""
;spectreS pnoise partition variables
spectreS.pnoise enable
toggle
(nil)
spectreS.pnoise fund string
""
spectreS.pnoise Relative
boolean
nil
spectreS.pnoise sweeptype string
""
spectreS.pnoise rangeType string
"Start-Stop"
spectreS.pnoise from string
""
spectreS.pnoise to
string
""
spectreS.pnoise center
string
""
spectreS.pnoise span string
""
spectreS.pnoise incrType
string
"Automatic"
spectreS.pnoise stepTypeLin string
"Step Size"
spectreS.pnoise stepTypeLog string
"Points Per Decade"
spectreS.pnoise by
string
""
spectreS.pnoise lin
string
""
spectreS.pnoise dec
string
""
spectreS.pnoise log
string
""
spectreS.pnoise useDiscrete boolean
nil
spectreS.pnoise values
string
""
spectreS.pnoise harmonics string
"Zero sideband only"
spectreS.pnoise numharmonics
string
""
spectreS.pnoise arrayharmonics
string
""
spectreS.pnoise selFreqFrom string
""
spectreS.pnoise selFreqTo string
""
spectreS.pnoise harmsAndFreqsTitle
string
""
spectreS.pnoise harmsAndFreqs
string
""
spectreS.pnoise outType
string
"voltage"
spectreS.pnoise negNode
string
"/gnd!"
spectreS.pnoise posNode
string
""
spectreS.pnoise outVsrc
string
""
spectreS.pnoise inNoiseType string
"none"
spectreS.pnoise inVsrc
string
""
spectreS.pnoise inIsrc
string
""
spectreS.pnoise inPort
string
""
spectreS.pnoise refsideband string
""
;spectreS pnoiseOpts partition variables
spectreS.pnoiseOpts
tolerance3 string
""
spectreS.pnoiseOpts
gearorder3 string
""
spectreS.pnoiseOpts
solver3
string
""
spectreS.pnoiseOpts
stats4
string
""
spectreS.pnoiseOpts
annotate4 string
"status"
;spectreS pxf partition variables
spectreS.pxf
enable
toggle
(nil)
spectreS.pxf
fund string
""
spectreS.pxf
Relative
boolean
nil
spectreS.pxf
sweeptype string
""
spectreS.pxf
rangeType string
"Start-Stop"
spectreS.pxf
from string
""
spectreS.pxf
to
string
""
spectreS.pxf
center
string
""
spectreS.pxf
span string
""
spectreS.pxf
incrType
string
"Automatic"
spectreS.pxf
stepTypeLin string
"Step Size"
spectreS.pxf
stepTypeLog string
"Points Per Decade"
spectreS.pxf
by
string
""
spectreS.pxf
lin
string
""
spectreS.pxf
dec
string
""
spectreS.pxf
log
string
""
spectreS.pxf
useDiscrete boolean
nil
spectreS.pxf
values
string
""
spectreS.pxf
harmonics string
"Zero sideband only"
spectreS.pxf
numharmonics
string
""
spectreS.pxf
arrayharmonics
string
""
spectreS.pxf
selFreqFrom string
""
spectreS.pxf
selFreqTo string
""
spectreS.pxf
harmsAndFreqsTitle
string
""
spectreS.pxf
harmsAndFreqs
string
""
spectreS.pxf
outType
string
"voltage"
spectreS.pxf
negNode
string
"/gnd!"
spectreS.pxf
posNode
string
""
spectreS.pxf
outVsrc
string
""
;spectreS pxfOpts partition variables
spectreS.pxfOpts tolerance2 string
""
spectreS.pxfOpts gearorder2 string
""
spectreS.pxfOpts solver2
string
""
spectreS.pxfOpts stats3
string
""
spectreS.pxfOpts annotate3 string
"status"
spectreS.pxfOpts stimuli
string
""
spectreS.pxfOpts freqaxis2 string
""
;spectreSVerilog environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;spectreSVerilog opts partition variables
spectreSVerilog.opts
title string
""
spectreSVerilog.opts
audit string
""
spectreSVerilog.opts
ckptclock string
"1800"
spectreSVerilog.opts
flow string
""
spectreSVerilog.opts
cols string
"80"
spectreSVerilog.opts
opptcheck string
""
spectreSVerilog.opts
reltol
string
"1e-3"
spectreSVerilog.opts
notation
string
""
spectreSVerilog.opts
warn string
""
spectreSVerilog.opts
approx
string
""
spectreSVerilog.opts
info string
""
spectreSVerilog.opts
quantities string
""
spectreSVerilog.opts
pivabs
string
""
spectreSVerilog.opts
compatible string
""
spectreSVerilog.opts
ignshorts string
""
spectreSVerilog.opts
gmin string
"1e-12"
spectreSVerilog.opts
rforce
string
"1"
spectreSVerilog.opts
homotopy
string
""
spectreSVerilog.opts
iabstol
string
"1e-12"
spectreSVerilog.opts
debug string
""
spectreSVerilog.opts
tempeffects string
""
spectreSVerilog.opts
maxwarns
string
"5"
spectreSVerilog.opts
vabstol
string
"1e-6"
spectreSVerilog.opts
tnom string
"27"
spectreSVerilog.opts
temp string
"27"
spectreSVerilog.opts
gmin_check string
""
spectreSVerilog.opts
value string
""
spectreSVerilog.opts
narrate
string
""
spectreSVerilog.opts
scale string
"1.0"
spectreSVerilog.opts
diagnose
string
""
spectreSVerilog.opts
digits
string
"5"
spectreSVerilog.opts
pivotdc
string
""
spectreSVerilog.opts
pivrel
string
"1e-3"
spectreSVerilog.opts
scalem
string
"1.0"
spectreSVerilog.opts
limit string
""
spectreSVerilog.opts
topcheck
string
""
spectreSVerilog.opts
inventory string
""
spectreSVerilog.opts
error string
""
;spectreSVerilog outputs partition variables
spectreSVerilog.outputs
allAnalogACTC
boolean
spectreSVerilog.outputs
allDigitalNV
boolean
spectreSVerilog.outputs
allAnalogNV boolean
t
spectreSVerilog.outputs
allAhdlVars boolean
nil
spectreSVerilog.outputs
allAnalogTC boolean
nil
;spectreSVerilog verimixOpts partition variables
spectreSVerilog.verimixOpts filename6 string
""
spectreSVerilog.verimixOpts maxDCIter int
0
spectreSVerilog.verimixOpts scope1
string
""
spectreSVerilog.verimixOpts scope10
string
""
spectreSVerilog.verimixOpts filename8 string
""
spectreSVerilog.verimixOpts dcInterval float 0.0
spectreSVerilog.verimixOpts scope3
string
""
spectreSVerilog.verimixOpts scope8
string
""
spectreSVerilog.verimixOpts scope7
string
""
nil
t
spectreSVerilog.verimixOpts filename7 string
""
spectreSVerilog.verimixOpts scope string
""
spectreSVerilog.verimixOpts filename4 string
""
spectreSVerilog.verimixOpts filename
string
""
spectreSVerilog.verimixOpts filename1 string
""
spectreSVerilog.verimixOpts scope9
string
""
spectreSVerilog.verimixOpts importsdfswitch boolean
nil
spectreSVerilog.verimixOpts filename2 string
""
spectreSVerilog.verimixOpts numberofsdffiles int
2
spectreSVerilog.verimixOpts scope2
string
""
spectreSVerilog.verimixOpts scope6
string
""
spectreSVerilog.verimixOpts filename10 string
""
spectreSVerilog.verimixOpts delaymodechoice string
"Ignore"
spectreSVerilog.verimixOpts sdfmixedswitch
boolean
t
spectreSVerilog.verimixOpts filename9 string
""
spectreSVerilog.verimixOpts scope5
string
""
spectreSVerilog.verimixOpts filename5 string
""
spectreSVerilog.verimixOpts filename3 string
""
spectreSVerilog.verimixOpts scope4
string
""
;spectreSVerilog verilogOpts partition variables
spectreSVerilog.verilogOpts otherOptions
string
"+libext+.v+
+incdir+hdlFilesDir +sdf_verbose +sdf_nocheck_celltype"
spectreSVerilog.verilogOpts optionsFile string
""
spectreSVerilog.verilogOpts twinTurbo boolean
nil
spectreSVerilog.verilogOpts suppressMessages boolean
nil
spectreSVerilog.verilogOpts libraryFile string
""
spectreSVerilog.verilogOpts behaveProfile
boolean
nil
spectreSVerilog.verilogOpts verimixLog string
"verilog.log"
spectreSVerilog.verilogOpts simVision boolean
nil
spectreSVerilog.verilogOpts pulseSpec boolean
nil
spectreSVerilog.verilogOpts commandFile string
""
spectreSVerilog.verilogOpts pulseError int
100
spectreSVerilog.verilogOpts stopCompile boolean
nil
spectreSVerilog.verilogOpts keepNodes string
"Minimum"
spectreSVerilog.verilogOpts accelerationCA
boolean
nil
spectreSVerilog.verilogOpts turboRadio string
"Default"
spectreSVerilog.verilogOpts libraryDir string
""
spectreSVerilog.verilogOpts suppressWarnings boolean
nil
spectreSVerilog.verilogOpts accelerationSwitches
boolean
nil
spectreSVerilog.verilogOpts accelerationNormal
boolean
t
spectreSVerilog.verilogOpts delayType string
"Typical"
spectreSVerilog.verilogOpts pulseReject int
100
spectreSVerilog.verilogOpts delayMode string
"Default"
spectreSVerilog.verilogOpts vermixBinary
string
"verilog.vmx"
;spectreSVerilog init partition variables
spectreSVerilog.init
processPriority int
0
;spectreSVerilog envOpts partition variables
spectreSVerilog.envOpts
mspSetupHNLNetlistPwrNets
string
"vdd! vdda! vddd! vcc! vcca! vccd!"
spectreSVerilog.envOpts
mspNetlistMode
string
"Flat"
spectreSVerilog.envOpts
mspSetupNetlistStopSymbolImplicit boolean
nil
spectreSVerilog.envOpts
mspSetupFNLNetlistMakePrimHNL
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistOverWriteSchTimeScale
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistAssignForAlias
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistEscapeName
boolean
nil
spectreSVerilog.envOpts
updateFile string
""
spectreSVerilog.envOpts
stimulusFile
string
""
spectreSVerilog.envOpts
mspSetupNetlistSimPrecisionUnit
string
"ns"
spectreSVerilog.envOpts
mspSetupHNLNetlistGndNets
string
"gnd! gnda! gndd! vss! vssa! vssd! vee! veea! veed!"
spectreSVerilog.envOpts
mspSetupNetlistOverWriteVerimix
boolean
nil
spectreSVerilog.envOpts
includeSyntax
string
"cdsSpice"
spectreSVerilog.envOpts
mspSetupNetlistPinMap boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistBus
boolean
t
spectreSVerilog.envOpts
mspSetupNetlistDeclareGlobalLocally
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistSimTimeUnit
string
"ns"
spectreSVerilog.envOpts
mspSetupNetlistTemplate
string
"Verimix"
spectreSVerilog.envOpts
mspSetupFNLNetlistGndNets
string
"gnd! gnda! gndd! vss! vssa! vssd! vee! vssa! veed!"
spectreSVerilog.envOpts
mspSetupNetlistExplicit
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistSkipTimingInfo
boolean
nil
spectreSVerilog.envOpts
mspSetupFNLNetlistSwitchRC
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistUseTestfixture
boolean
t
spectreSVerilog.envOpts
paramRangeCheckFile
string
""
spectreSVerilog.envOpts
initFile
string
""
spectreSVerilog.envOpts
printComments
boolean
nil
spectreSVerilog.envOpts
includeFile string
""
spectreSVerilog.envOpts
mspSetupNetlistLaiLmsi boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistSimPrecisionValue int
1
spectreSVerilog.envOpts
mspSetupNetlistSwitchRC
boolean
nil
spectreSVerilog.envOpts
recover
boolean
nil
spectreSVerilog.envOpts
mspSetupFNLNetlistPwrNets
string
"vdd! vdda! vddd! vcc! vcca! vccd!"
spectreSVerilog.envOpts
mspSetupFNLNetlistExplicit
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistSimTimeValue int
1
spectreSVerilog.envOpts
mspSetupNetlistUseLib boolean
nil
spectreSVerilog.envOpts
mspSetupFNLNetlistProcessNullPort boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistNullPort
boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistDropPortRange boolean
t
spectreSVerilog.envOpts
mspSetupNetlistUpCase boolean
nil
spectreSVerilog.envOpts
generateMapFile boolean
nil
spectreSVerilog.envOpts
mspSetupNetlistIncreNetlistConfig boolean
nil
;spectreSVerilog tran partition variables
spectreSVerilog.tran
enable
toggle
(nil)
spectreSVerilog.tran
to
string
""
spectreSVerilog.tran
errpreset string
""
;spectreSVerilog tranOpts partition variables
spectreSVerilog.tranOpts
start string
""
spectreSVerilog.tranOpts
outputstart string
""
spectreSVerilog.tranOpts
step string
""
spectreSVerilog.tranOpts
maxstep
string
""
spectreSVerilog.tranOpts
ic
string
""
spectreSVerilog.tranOpts
skipdc
string
""
spectreSVerilog.tranOpts
readic
string
""
spectreSVerilog.tranOpts
readns
string
""
spectreSVerilog.tranOpts
cmin string
""
spectreSVerilog.tranOpts
write string
"spectre.ic"
spectreSVerilog.tranOpts
writefinal string
"spectre.fc"
spectreSVerilog.tranOpts
ckptperiod string
""
spectreSVerilog.tranOpts
method
string
"default"
spectreSVerilog.tranOpts
relref
string
"default"
spectreSVerilog.tranOpts
lteratio
string
""
spectreSVerilog.tranOpts
stats string
""
spectreSVerilog.tranOpts
annotate
string
"status"
spectreSVerilog.tranOpts
oppoint
string
""
spectreSVerilog.tranOpts
skipstart string
""
spectreSVerilog.tranOpts
skipstop
string
""
spectreSVerilog.tranOpts
skipcount string
""
spectreSVerilog.tranOpts
strobeperiod
string
""
spectreSVerilog.tranOpts
strobedelay string
""
spectreSVerilog.tranOpts
compression string
"no"
spectreSVerilog.tranOpts
maxiters
string
"5"
spectreSVerilog.tranOpts
restart
string
""
;spectreSVerilog ac partition variables
spectreSVerilog.ac
enable
toggle
(nil)
spectreSVerilog.ac
sweep string
"Frequency"
spectreSVerilog.ac
freq string
""
spectreSVerilog.ac
device
string
""
spectreSVerilog.ac
deviceParam string
""
spectreSVerilog.ac
model string
""
spectreSVerilog.ac
modelParam string
""
spectreSVerilog.ac
temperature string
"temp"
spectreSVerilog.ac
rangeType string
"Start-Stop"
spectreSVerilog.ac
from string
""
spectreSVerilog.ac
to
string
""
spectreSVerilog.ac
center
string
""
spectreSVerilog.ac
span string
""
spectreSVerilog.ac
incrType
string
"Automatic"
spectreSVerilog.ac
stepTypeLin string
"Step Size"
spectreSVerilog.ac
stepTypeLog string
"Points Per Decade"
spectreSVerilog.ac
by
string
""
spectreSVerilog.ac
lin
string
""
spectreSVerilog.ac
dec
string
""
spectreSVerilog.ac
log
string
""
spectreSVerilog.ac
useDiscrete boolean
nil
spectreSVerilog.ac
values
string
""
;spectreSVerilog acOpts partition variables
spectreSVerilog.acOpts readns
string
""
spectreSVerilog.acOpts oppoint
string
""
spectreSVerilog.acOpts restart
string
""
spectreSVerilog.acOpts annotate
string
"status"
spectreSVerilog.acOpts stats string
""
;spectreSVerilog dc partition variables
spectreSVerilog.dc
enable
toggle
(nil)
spectreSVerilog.dcop
enable
toggle
(nil)
spectreSVerilog.dc
saveOppoint boolean
nil
spectreSVerilog.dc
oppoint
string
"rawfile"
spectreSVerilog.dc
save string
"allpub"
spectreSVerilog.dc
sweep string
""
spectreSVerilog.dc
device
string
""
spectreSVerilog.dc
deviceParam string
""
spectreSVerilog.dc
model string
""
spectreSVerilog.dc
modelParam string
""
spectreSVerilog.dc
temperature string
"temp"
spectreSVerilog.dc
rangeType string
"Start-Stop"
spectreSVerilog.dc
from string
""
spectreSVerilog.dc
to
string
""
spectreSVerilog.dc
center
string
""
spectreSVerilog.dc
span string
""
spectreSVerilog.dc
incrType
string
"Automatic"
spectreSVerilog.dc
stepTypeLin string
"Step Size"
spectreSVerilog.dc
stepTypeLog string
"Points Per Decade"
spectreSVerilog.dc
by
string
""
spectreSVerilog.dc
lin
string
""
spectreSVerilog.dc
dec
string
""
spectreSVerilog.dc
log
string
""
spectreSVerilog.dc
useDiscrete boolean
nil
spectreSVerilog.dc
values
string
""
;spectreSVerilog dcOpts partition variables
spectreSVerilog.dcOpts force string
""
spectreSVerilog.dcopOpts
force string
""
spectreSVerilog.dcOpts readns
string
""
spectreSVerilog.dcopOpts
readns
string
""
spectreSVerilog.dcOpts readforce string
""
spectreSVerilog.dcopOpts
readforce string
""
spectreSVerilog.dcOpts write string
"spectre.dc"
spectreSVerilog.dcopOpts
write string
"spectre.dc"
spectreSVerilog.dcOpts writefinal string
""
spectreSVerilog.dcopOpts
writefinal string
""
spectreSVerilog.dcOpts print string
""
spectreSVerilog.dcopOpts
print string
""
spectreSVerilog.dcOpts check string
""
spectreSVerilog.dcopOpts
check string
""
spectreSVerilog.dcOpts homotopy
string
""
spectreSVerilog.dcopOpts
homotopy
string
""
spectreSVerilog.dcOpts restart
string
""
spectreSVerilog.dcopOpts
restart
string
""
spectreSVerilog.dcOpts maxiters
string
"150"
spectreSVerilog.dcopOpts
maxiters
string
"150"
spectreSVerilog.dcOpts maxsteps
string
"10000"
spectreSVerilog.dcopOpts
maxsteps
string
"10000"
spectreSVerilog.dcOpts annotate
string
"status"
spectreSVerilog.dcopOpts
annotate
string
"status"
;spectreSVerilog noise partition variables
spectreSVerilog.noise enable
toggle
(nil)
spectreSVerilog.noise sweep string
"Frequency"
spectreSVerilog.noise freq string
""
spectreSVerilog.noise device
string
""
spectreSVerilog.noise deviceParam string
""
spectreSVerilog.noise model string
""
spectreSVerilog.noise modelParam string
""
spectreSVerilog.noise temperature string
"temp"
spectreSVerilog.noise rangeType string
"Start-Stop"
spectreSVerilog.noise from string
""
spectreSVerilog.noise to
string
""
spectreSVerilog.noise center
string
""
spectreSVerilog.noise span string
""
spectreSVerilog.noise incrType
string
"Automatic"
spectreSVerilog.noise stepTypeLin string
"Step Size"
spectreSVerilog.noise stepTypeLog string
"Points Per Decade"
spectreSVerilog.noise by
string
""
spectreSVerilog.noise lin
string
""
spectreSVerilog.noise dec
string
""
spectreSVerilog.noise log
string
""
spectreSVerilog.noise useDiscrete boolean
nil
spectreSVerilog.noise values
string
""
spectreSVerilog.noise outNoiseType
string
"voltage"
spectreSVerilog.noise negNode
string
"/gnd!"
spectreSVerilog.noise posNode
string
""
spectreSVerilog.noise outVsrc
string
""
spectreSVerilog.noise inNoiseType string
"voltage"
spectreSVerilog.noise inVsrc
string
""
spectreSVerilog.noise inIsrc
string
""
spectreSVerilog.noise inPort
string
""
;spectreSVerilog noiseOpts partition variables
spectreSVerilog.noiseOpts
readns
string
""
spectreSVerilog.noiseOpts
oppoint
string
""
spectreSVerilog.noiseOpts
restart
string
""
spectreSVerilog.noiseOpts
annotate
string
"status"
spectreSVerilog.noiseOpts
stats string
""
;spectreSVerilog xf partition variables
spectreSVerilog.xf
enable
toggle
(nil)
spectreSVerilog.xf
sweep string
"Frequency"
spectreSVerilog.xf
freq string
""
spectreSVerilog.xf
device
string
""
spectreSVerilog.xf
deviceParam string
""
spectreSVerilog.xf
model string
""
spectreSVerilog.xf
modelParam string
""
spectreSVerilog.xf
temperature string
"temp"
spectreSVerilog.xf
rangeType string
"Start-Stop"
spectreSVerilog.xf
from string
""
spectreSVerilog.xf
to
string
""
spectreSVerilog.xf
center
string
""
spectreSVerilog.xf
span string
""
spectreSVerilog.xf
incrType
string
"Automatic"
spectreSVerilog.xf
stepTypeLin string
"Step Size"
spectreSVerilog.xf
stepTypeLog string
"Points Per Decade"
spectreSVerilog.xf
by
string
""
spectreSVerilog.xf
lin
string
""
spectreSVerilog.xf
dec
string
""
spectreSVerilog.xf
log
string
""
spectreSVerilog.xf
useDiscrete boolean
nil
spectreSVerilog.xf
values
string
""
spectreSVerilog.xf
outXfType string
"voltage"
spectreSVerilog.xf
negNode
string
"/gnd!"
spectreSVerilog.xf
posNode
string
""
spectreSVerilog.xf
outVsrc
string
""
;spectreSVerilog xfOpts partition variables
spectreSVerilog.xfOpts readns
string
""
spectreSVerilog.xfOpts stimuli
string
""
spectreSVerilog.xfOpts oppoint
string
""
spectreSVerilog.xfOpts restart
string
""
spectreSVerilog.xfOpts annotate
string
"status"
spectreSVerilog.xfOpts stats string
""
;spectreSVerilog sp partition variables
spectreSVerilog.sp
enable
toggle
(nil)
spectreSVerilog.sp
sweep string
"Frequency"
spectreSVerilog.sp
frequency string
""
spectreSVerilog.sp
device
string
""
spectreSVerilog.sp
deviceParam string
""
spectreSVerilog.sp
model string
""
spectreSVerilog.sp
modelParam string
""
spectreSVerilog.sp
temperature string
"temp"
spectreSVerilog.sp
rangeType string
"Start-Stop"
spectreSVerilog.sp
from string
""
spectreSVerilog.sp
to
string
""
spectreSVerilog.sp
center
string
""
spectreSVerilog.sp
span string
""
spectreSVerilog.sp
incrType
string
"Automatic"
spectreSVerilog.sp
stepTypeLin string
"Step Size"
spectreSVerilog.sp
stepTypeLog string
"Points Per Decade"
spectreSVerilog.sp
by
string
""
spectreSVerilog.sp
lin
string
""
spectreSVerilog.sp
dec
string
""
spectreSVerilog.sp
log
string
""
spectreSVerilog.sp
useDiscrete boolean
nil
spectreSVerilog.sp
values
string
""
spectreSVerilog.sp
donoise
boolean
nil
spectreSVerilog.sp
oprobe
string
""
spectreSVerilog.sp
iprobe
string
""
;spectreSVerilog spOpts partition variables
spectreSVerilog.spOpts readns
string
""
spectreSVerilog.spOpts file string
""
spectreSVerilog.spOpts oppoint
string
""
spectreSVerilog.spOpts reftemp
string
""
spectreSVerilog.spOpts restart
string
""
spectreSVerilog.spOpts annotate
string
"status"
spectreSVerilog.spOpts stats string
""
;spectreSVerilog spss partition variables
spectreSVerilog.spss
enable
toggle
(nil)
spectreSVerilog.spss
paramListBox
string
""
spectreSVerilog.spss
fundListBox string
""
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
Frequency"
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
spectreSVerilog.spss
stimName
string
freqName
string
freqVal
string
signalLevel string
srcId string
""
maxHarms
string
freqPeriod string
""
""
""
"Moderate"
""
"Fundamental (Beat)
fund string
""
period
string
""
harmonics string
"None"
numharmonics
string
""
arrayharmonics
string
""
none string
"0"
selFreqFrom string
""
selFreqTo string
""
harmsAndFreqsTitle
string
""
harmsAndFreqs
string
""
errpreset string
""
tstab string
""
saveinit
string
""
oscana
boolean
nil
oscRefNode string
"/gnd!"
oscNode
string
""
sweep string
"frequency/period"
designVar string
""
rangeType string
"Start-Stop"
from string
""
to
string
""
center
string
""
span string
""
incrType
string
"Linear"
stepTypeLin string
"Step Size"
stepTypeLog string
"Points Per Decade"
by
string
""
lin
string
""
dec
string
""
log
string
""
useDiscrete boolean
nil
values
string
""
analysisList
string
""
pacEnable boolean
nil
pacfund
string
""
pacRelative boolean
nil
pacsweeptype
string
""
pacharmonics
string
"Zero sideband only"
pacnumharmonics string
""
pacarrayharmonics string
""
pacselFreqFrom
string
""
pacselFreqTo
string
""
pacharmsAndFreqsTitle string
""
pacharmsAndFreqs string
""
pnoiseEnable
boolean
nil
pnoisefund string
""
spectreSVerilog.spss
pnoiseRelative
boolean
nil
spectreSVerilog.spss
pnoisesweeptype string
""
spectreSVerilog.spss
pnoiseharmonics string
"Zero sideband only"
spectreSVerilog.spss
pnoisenumharmonics
string
""
spectreSVerilog.spss
pnoisearrayharmonics
string
""
spectreSVerilog.spss
pnoiseselFreqFrom string
""
spectreSVerilog.spss
pnoiseselFreqTo string
""
spectreSVerilog.spss
pnoiseharmsAndFreqsTitle
string
""
spectreSVerilog.spss
pnoiseharmsAndFreqs
string
""
spectreSVerilog.spss
pnoiseoutProbeType
string
"voltage"
spectreSVerilog.spss
pnoisenegNode
string
"/gnd!"
spectreSVerilog.spss
pnoiseposNode
string
""
spectreSVerilog.spss
pnoiseoutVsrc
string
""
spectreSVerilog.spss
pnoiseinNoiseType string
"none"
spectreSVerilog.spss
pnoiseinVsrc
string
""
spectreSVerilog.spss
pnoiseinIsrc
string
""
spectreSVerilog.spss
pnoiseinPort
string
""
spectreSVerilog.spss
pnoiserefsideband string
""
spectreSVerilog.spss
pxfEnable boolean
nil
spectreSVerilog.spss
pxffund
string
""
spectreSVerilog.spss
pxfRelative boolean
nil
spectreSVerilog.spss
pxfsweeptype
string
""
spectreSVerilog.spss
pxfharmonics
string
"Zero sideband only"
spectreSVerilog.spss
pxfnumharmonics string
""
spectreSVerilog.spss
pxfarrayharmonics string
""
spectreSVerilog.spss
pxfselFreqFrom
string
""
spectreSVerilog.spss
pxfselFreqTo
string
""
spectreSVerilog.spss
pxfharmsAndFreqsTitle string
""
spectreSVerilog.spss
pxfharmsAndFreqs string
""
spectreSVerilog.spss
pxfoutProbeType string
"voltage"
spectreSVerilog.spss
pxfnegNode string
"/gnd!"
spectreSVerilog.spss
pxfposNode string
""
spectreSVerilog.spss
pxfoutVsrc string
""
;spectreSVerilog spssOpts partition variables
spectreSVerilog.spssOpts
step string
""
spectreSVerilog.spssOpts
maxstep
string
""
spectreSVerilog.spssOpts
ic
string
""
spectreSVerilog.spssOpts
skipdc
string
""
spectreSVerilog.spssOpts
readic
string
""
spectreSVerilog.spssOpts
readns
string
""
spectreSVerilog.spssOpts
cmin string
""
spectreSVerilog.spssOpts
write string
""
spectreSVerilog.spssOpts
writefinal string
""
spectreSVerilog.spssOpts
swapfile
string
""
spectreSVerilog.spssOpts
method
string
""
spectreSVerilog.spssOpts
relref
string
""
spectreSVerilog.spssOpts
lteratio
string
""
spectreSVerilog.spssOpts
steadyratio string
""
spectreSVerilog.spssOpts
maxacfreq string
""
spectreSVerilog.spssOpts
maxperiods string
""
spectreSVerilog.spssOpts
stats string
""
spectreSVerilog.spssOpts
annotate
string
"status"
spectreSVerilog.spssOpts
oppoint
string
""
spectreSVerilog.spssOpts
skipstart string
""
spectreSVerilog.spssOpts
skipstop
string
""
spectreSVerilog.spssOpts
skipcount string
""
spectreSVerilog.spssOpts
strobeperiod
string
""
spectreSVerilog.spssOpts
strobedelay string
""
spectreSVerilog.spssOpts
compression string
""
spectreSVerilog.spssOpts
maxiters
string
""
spectreSVerilog.spssOpts
restart
string
""
spectreSVerilog.spssOpts
tstart
string
""
spectreSVerilog.spssOpts
tolerance string
""
spectreSVerilog.spssOpts
gearorder string
""
spectreSVerilog.spssOpts
solver
string
""
spectreSVerilog.spssOpts
stats2
string
""
spectreSVerilog.spssOpts
annotate2 string
"status"
spectreSVerilog.spssOpts
freqaxis
string
""
spectreSVerilog.spssOpts
tolerance2 string
""
spectreSVerilog.spssOpts
gearorder2 string
""
spectreSVerilog.spssOpts
solver2
string
""
spectreSVerilog.spssOpts
stats3
string
""
spectreSVerilog.spssOpts
annotate3 string
"status"
spectreSVerilog.spssOpts
stimuli
string
""
spectreSVerilog.spssOpts
freqaxis2 string
""
spectreSVerilog.spssOpts
tolerance3 string
""
spectreSVerilog.spssOpts
gearorder3 string
""
spectreSVerilog.spssOpts
solver3
string
""
spectreSVerilog.spssOpts
stats4
string
""
spectreSVerilog.spssOpts
annotate4 string
"status"
;spectreSVerilog pss partition variables
spectreSVerilog.pss
enable
toggle
(nil)
spectreSVerilog.pss
paramListBox
string
""
spectreSVerilog.pss
fundListBox string
""
spectreSVerilog.pss
stimName
string
""
spectreSVerilog.pss
freqName
string
""
spectreSVerilog.pss
freqVal
string
""
spectreSVerilog.pss
signalLevel string
"Moderate"
spectreSVerilog.pss
srcId string
""
spectreSVerilog.pss
maxHarms
string
""
spectreSVerilog.pss
freqPeriod string
"Fundamental (Beat)
Frequency"
spectreSVerilog.pss
fund string
""
spectreSVerilog.pss
period
string
""
spectreSVerilog.pss
harmonics string
"None"
spectreSVerilog.pss
numharmonics
string
""
spectreSVerilog.pss
arrayharmonics
string
""
spectreSVerilog.pss
none string
"0"
spectreSVerilog.pss
selFreqFrom string
""
spectreSVerilog.pss
selFreqTo string
""
spectreSVerilog.pss
harmsAndFreqsTitle
string
""
spectreSVerilog.pss
harmsAndFreqs
string
""
spectreSVerilog.pss
errpreset string
""
spectreSVerilog.pss
tstab string
""
spectreSVerilog.pss
saveinit
string
""
spectreSVerilog.pss
oscana
boolean
nil
spectreSVerilog.pss
oscRefNode string
"/gnd!"
spectreSVerilog.pss
oscNode
string
""
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
spectreSVerilog.pss
analysisList
string
""
pacEnable boolean
nil
pacRelative boolean
nil
pacsweeptype
string
""
pacrangeType
string
"Start-Stop"
pacfrom
string
""
pacto string
""
paccenter string
""
pacspan
string
""
pacincrType string
"Automatic"
pacstepTypeLin
string
"Step Size"
pacstepTypeLog
string
"Points Per Decade"
pacby string
""
paclin
string
""
pacdec
string
""
paclog
string
""
pacuseDiscrete
boolean
nil
pacvalues string
""
pacharmonics
string
"Zero sideband only"
pacnumharmonics string
""
pacarrayharmonics string
""
pacselFreqFrom
string
""
pacselFreqTo
string
""
pacharmsAndFreqsTitle string
""
pacharmsAndFreqs string
""
pnoiseEnable
boolean
nil
pnoiseRelative
boolean
nil
pnoisesweeptype string
""
pnoiserangeType string
"Start-Stop"
pnoisefrom string
""
pnoiseto
string
""
pnoisecenter
string
""
pnoisespan string
""
pnoiseincrType
string
"Automatic"
pnoisestepTypeLin string
"Step Size"
pnoisestepTypeLog string
"Points Per Decade"
pnoiseby
string
""
pnoiselin string
""
pnoisedec string
""
pnoiselog string
""
pnoiseuseDiscrete boolean
nil
pnoisevalues
string
""
pnoiseharmonics string
"Zero sideband only"
pnoisenumharmonics
string
""
pnoisearrayharmonics
string
""
pnoiseselFreqFrom string
""
pnoiseselFreqTo string
""
pnoiseharmsAndFreqsTitle
string
""
pnoiseharmsAndFreqs
string
""
pnoiseoutProbeType
string
"voltage"
pnoisenegNode
string
"/gnd!"
pnoiseposNode
string
""
pnoiseoutVsrc
string
""
pnoiseinNoiseType string
"none"
spectreSVerilog.pss
pnoiseinVsrc
string
""
spectreSVerilog.pss
pnoiseinIsrc
string
""
spectreSVerilog.pss
pnoiseinPort
string
""
spectreSVerilog.pss
pnoiserefsideband string
""
spectreSVerilog.pss
pxfEnable boolean
nil
spectreSVerilog.pss
pxfRelative boolean
nil
spectreSVerilog.pss
pxfsweeptype
string
""
spectreSVerilog.pss
pxfrangeType
string
"Start-Stop"
spectreSVerilog.pss
pxffrom
string
""
spectreSVerilog.pss
pxfto string
""
spectreSVerilog.pss
pxfcenter string
""
spectreSVerilog.pss
pxfspan
string
""
spectreSVerilog.pss
pxfincrType string
"Automatic"
spectreSVerilog.pss
pxfstepTypeLin
string
"Step Size"
spectreSVerilog.pss
pxfstepTypeLog
string
"Points Per Decade"
spectreSVerilog.pss
pxfby string
""
spectreSVerilog.pss
pxflin
string
""
spectreSVerilog.pss
pxfdec
string
""
spectreSVerilog.pss
pxflog
string
""
spectreSVerilog.pss
pxfuseDiscrete
boolean
nil
spectreSVerilog.pss
pxfvalues string
""
spectreSVerilog.pss
pxfharmonics
string
"Zero sideband only"
spectreSVerilog.pss
pxfnumharmonics string
""
spectreSVerilog.pss
pxfarrayharmonics string
""
spectreSVerilog.pss
pxfselFreqFrom
string
""
spectreSVerilog.pss
pxfselFreqTo
string
""
spectreSVerilog.pss
pxfharmsAndFreqsTitle string
""
spectreSVerilog.pss
pxfharmsAndFreqs string
""
spectreSVerilog.pss
pxfoutProbeType string
"voltage"
spectreSVerilog.pss
pxfnegNode string
"/gnd!"
spectreSVerilog.pss
pxfposNode string
""
spectreSVerilog.pss
pxfoutVsrc string
""
;spectreSVerilog pssOpts partition variables
spectreSVerilog.pssOpts
step string
""
spectreSVerilog.pssOpts
maxstep
string
""
spectreSVerilog.pssOpts
ic
string
""
spectreSVerilog.pssOpts
skipdc
string
""
spectreSVerilog.pssOpts
readic
string
""
spectreSVerilog.pssOpts
readns
string
""
spectreSVerilog.pssOpts
cmin string
""
spectreSVerilog.pssOpts
write string
""
spectreSVerilog.pssOpts
writefinal string
""
spectreSVerilog.pssOpts
swapfile
string
""
spectreSVerilog.pssOpts
method
string
""
spectreSVerilog.pssOpts
relref
string
""
spectreSVerilog.pssOpts
lteratio
string
""
spectreSVerilog.pssOpts
steadyratio string
""
spectreSVerilog.pssOpts
maxacfreq string
""
spectreSVerilog.pssOpts
maxperiods string
""
spectreSVerilog.pssOpts
stats string
""
spectreSVerilog.pssOpts
annotate
string
"status"
spectreSVerilog.pssOpts
oppoint
string
""
spectreSVerilog.pssOpts
skipstart string
""
spectreSVerilog.pssOpts
skipstop
string
""
spectreSVerilog.pssOpts
skipcount string
""
spectreSVerilog.pssOpts
strobeperiod
string
""
spectreSVerilog.pssOpts
strobedelay string
""
spectreSVerilog.pssOpts
compression string
""
spectreSVerilog.pssOpts
maxiters
string
""
spectreSVerilog.pssOpts
restart
string
""
spectreSVerilog.pssOpts
tstart
string
""
spectreSVerilog.pssOpts
tolerance string
""
spectreSVerilog.pssOpts
gearorder string
""
spectreSVerilog.pssOpts
solver
string
""
spectreSVerilog.pssOpts
stats2
string
""
spectreSVerilog.pssOpts
annotate2 string
"status"
spectreSVerilog.pssOpts
freqaxis
string
""
spectreSVerilog.pssOpts
tolerance2 string
""
spectreSVerilog.pssOpts
gearorder2 string
""
spectreSVerilog.pssOpts
solver2
string
""
spectreSVerilog.pssOpts
stats3
string
""
spectreSVerilog.pssOpts
annotate3 string
"status"
spectreSVerilog.pssOpts
stimuli
string
""
spectreSVerilog.pssOpts
freqaxis2 string
""
spectreSVerilog.pssOpts
tolerance3 string
""
spectreSVerilog.pssOpts
gearorder3 string
""
spectreSVerilog.pssOpts
solver3
string
""
spectreSVerilog.pssOpts
stats4
string
""
spectreSVerilog.pssOpts
annotate4 string
"status"
;spectreSVerilog pdisto partition variables
spectreSVerilog.pdisto enable
toggle
(nil)
spectreSVerilog.pdisto paramListBox
string
""
spectreSVerilog.pdisto fundListBox string
""
spectreSVerilog.pdisto stimName
string
""
spectreSVerilog.pdisto freqName
string
""
spectreSVerilog.pdisto freqVal
string
""
spectreSVerilog.pdisto signalLevel string
"Moderate"
spectreSVerilog.pdisto srcId string
""
spectreSVerilog.pdisto maxHarms
string
""
spectreSVerilog.pdisto fundsList string
""
spectreSVerilog.pdisto maxHarmsList
string
""
spectreSVerilog.pdisto errpreset string
""
spectreSVerilog.pdisto tstab string
""
spectreSVerilog.pdisto saveinit
string
""
;spectreSVerilog pdistoOpts partition variables
spectreSVerilog.pdistoOpts
step string
""
spectreSVerilog.pdistoOpts
maxstep
string
""
spectreSVerilog.pdistoOpts
ic
string
""
spectreSVerilog.pdistoOpts
skipdc
string
""
spectreSVerilog.pdistoOpts
readic
string
""
spectreSVerilog.pdistoOpts
readns
string
""
spectreSVerilog.pdistoOpts
cmin string
""
spectreSVerilog.pdistoOpts
tolerance string
""
spectreSVerilog.pdistoOpts
gearorder string
""
spectreSVerilog.pdistoOpts
solver
string
""
spectreSVerilog.pdistoOpts
write string
""
spectreSVerilog.pdistoOpts
writefinal string
""
spectreSVerilog.pdistoOpts
swapfile
string
""
spectreSVerilog.pdistoOpts
method
string
""
spectreSVerilog.pdistoOpts
relref
string
""
spectreSVerilog.pdistoOpts
lteratio
string
""
spectreSVerilog.pdistoOpts
steadyratio string
""
spectreSVerilog.pdistoOpts
maxacfreq string
""
spectreSVerilog.pdistoOpts
maxperiods string
""
spectreSVerilog.pdistoOpts
stats string
""
spectreSVerilog.pdistoOpts
annotate
string
"status"
spectreSVerilog.pdistoOpts
oppoint
string
""
spectreSVerilog.pdistoOpts
skipstart string
""
spectreSVerilog.pdistoOpts
skipstop
string
""
spectreSVerilog.pdistoOpts
skipcount string
""
spectreSVerilog.pdistoOpts
strobeperiod
string
""
spectreSVerilog.pdistoOpts
strobedelay string
""
spectreSVerilog.pdistoOpts
compression string
""
spectreSVerilog.pdistoOpts
freqaxis
string
""
spectreSVerilog.pdistoOpts
maxiters
string
""
spectreSVerilog.pdistoOpts
restart
string
""
spectreSVerilog.pdistoOpts
tstart
string
""
;spectreSVerilog spac partition variables
spectreSVerilog.spac
enable
toggle
(nil)
spectreSVerilog.spac
fund string
""
spectreSVerilog.spac
Relative
boolean
nil
spectreSVerilog.spac
sweeptype string
""
spectreSVerilog.spac
harmonics string
"Zero sideband only"
spectreSVerilog.spac
numharmonics
string
""
spectreSVerilog.spac
arrayharmonics
string
""
spectreSVerilog.spac
selFreqFrom string
""
spectreSVerilog.spac
selFreqTo string
""
spectreSVerilog.spac
harmsAndFreqsTitle
string
""
spectreSVerilog.spac
harmsAndFreqs
string
""
;spectreSVerilog spacOpts partition variables
spectreSVerilog.spacOpts
tolerance string
""
spectreSVerilog.spacOpts
gearorder string
""
spectreSVerilog.spacOpts
solver
string
""
spectreSVerilog.spacOpts
stats2
string
""
spectreSVerilog.spacOpts
annotate2 string
"status"
spectreSVerilog.spacOpts
freqaxis
string
""
;spectreSVerilog spnoise partition variables
spectreSVerilog.spnoise
enable
toggle
(nil)
spectreSVerilog.spnoise
fund string
""
spectreSVerilog.spnoise
Relative
boolean
nil
spectreSVerilog.spnoise
sweeptype string
""
spectreSVerilog.spnoise
harmonics string
"Zero sideband only"
spectreSVerilog.spnoise
numharmonics
string
""
spectreSVerilog.spnoise
arrayharmonics
string
""
spectreSVerilog.spnoise
selFreqFrom string
""
spectreSVerilog.spnoise
selFreqTo string
""
spectreSVerilog.spnoise
harmsAndFreqsTitle
string
""
spectreSVerilog.spnoise
harmsAndFreqs
string
""
spectreSVerilog.spnoise
outType
string
"voltage"
spectreSVerilog.spnoise
negNode
string
"/gnd!"
spectreSVerilog.spnoise
posNode
string
""
spectreSVerilog.spnoise
outVsrc
string
""
spectreSVerilog.spnoise
inNoiseType string
"none"
spectreSVerilog.spnoise
inVsrc
string
""
spectreSVerilog.spnoise
inIsrc
string
""
spectreSVerilog.spnoise
inPort
string
""
spectreSVerilog.spnoise
refsideband string
""
;spectreSVerilog spnoiseOpts partition variables
spectreSVerilog.spnoiseOpts tolerance3 string
""
spectreSVerilog.spnoiseOpts gearorder3 string
""
spectreSVerilog.spnoiseOpts solver3
string
""
spectreSVerilog.spnoiseOpts stats4
string
""
spectreSVerilog.spnoiseOpts annotate4 string
"status"
;spectreSVerilog spxf partition variables
spectreSVerilog.spxf
enable
toggle
(nil)
spectreSVerilog.spxf
fund string
""
spectreSVerilog.spxf
Relative
boolean
nil
spectreSVerilog.spxf
sweeptype string
""
spectreSVerilog.spxf
harmonics string
"Zero sideband only"
spectreSVerilog.spxf
numharmonics
string
""
spectreSVerilog.spxf
arrayharmonics
string
""
spectreSVerilog.spxf
selFreqFrom string
""
spectreSVerilog.spxf
selFreqTo string
""
spectreSVerilog.spxf
harmsAndFreqsTitle
string
""
spectreSVerilog.spxf
harmsAndFreqs
string
""
spectreSVerilog.spxf
outType
string
"voltage"
spectreSVerilog.spxf
negNode
string
"/gnd!"
spectreSVerilog.spxf
posNode
string
""
spectreSVerilog.spxf
outVsrc
string
""
;spectreSVerilog spxfOpts partition variables
spectreSVerilog.spxfOpts
tolerance2 string
""
spectreSVerilog.spxfOpts
gearorder2 string
""
spectreSVerilog.spxfOpts
solver2
string
""
spectreSVerilog.spxfOpts
stats3
string
""
spectreSVerilog.spxfOpts
annotate3 string
"status"
spectreSVerilog.spxfOpts
stimuli
string
""
spectreSVerilog.spxfOpts
freqaxis2 string
""
;spectreSVerilog pac partition variables
spectreSVerilog.pac
enable
toggle
(nil)
spectreSVerilog.pac
fund string
""
spectreSVerilog.pac
Relative
boolean
nil
spectreSVerilog.pac
sweeptype string
""
spectreSVerilog.pac
rangeType string
"Start-Stop"
spectreSVerilog.pac
from string
""
spectreSVerilog.pac
to
string
""
spectreSVerilog.pac
center
string
""
spectreSVerilog.pac
span string
""
spectreSVerilog.pac
incrType
string
"Automatic"
spectreSVerilog.pac
stepTypeLin string
"Step Size"
spectreSVerilog.pac
stepTypeLog string
"Points Per Decade"
spectreSVerilog.pac
by
string
""
spectreSVerilog.pac
lin
string
""
spectreSVerilog.pac
dec
string
""
spectreSVerilog.pac
log
string
""
spectreSVerilog.pac
useDiscrete boolean
nil
spectreSVerilog.pac
values
string
""
spectreSVerilog.pac
harmonics string
"Zero sideband only"
spectreSVerilog.pac
numharmonics
string
""
spectreSVerilog.pac
arrayharmonics
string
""
spectreSVerilog.pac
selFreqFrom string
""
spectreSVerilog.pac
selFreqTo string
""
spectreSVerilog.pac
harmsAndFreqsTitle
string
""
spectreSVerilog.pac
harmsAndFreqs
string
""
;spectreSVerilog pacOpts partition variables
spectreSVerilog.pacOpts
tolerance string
""
spectreSVerilog.pacOpts
gearorder string
""
spectreSVerilog.pacOpts
solver
string
""
spectreSVerilog.pacOpts
stats2
string
""
spectreSVerilog.pacOpts
annotate2 string
"status"
spectreSVerilog.pacOpts
freqaxis
string
""
;spectreSVerilog pnoise partition variables
spectreSVerilog.pnoise enable
toggle
(nil)
spectreSVerilog.pnoise fund string
""
spectreSVerilog.pnoise Relative
boolean
nil
spectreSVerilog.pnoise sweeptype string
""
spectreSVerilog.pnoise rangeType string
"Start-Stop"
spectreSVerilog.pnoise from string
""
spectreSVerilog.pnoise to
string
""
spectreSVerilog.pnoise center
string
""
spectreSVerilog.pnoise span string
""
spectreSVerilog.pnoise incrType
string
"Automatic"
spectreSVerilog.pnoise stepTypeLin string
"Step Size"
spectreSVerilog.pnoise stepTypeLog string
"Points Per Decade"
spectreSVerilog.pnoise by
string
""
spectreSVerilog.pnoise lin
string
""
spectreSVerilog.pnoise dec
string
""
spectreSVerilog.pnoise log
string
""
spectreSVerilog.pnoise useDiscrete boolean
nil
spectreSVerilog.pnoise values
string
""
spectreSVerilog.pnoise harmonics string
"Zero sideband only"
spectreSVerilog.pnoise numharmonics
string
""
spectreSVerilog.pnoise arrayharmonics
string
""
spectreSVerilog.pnoise selFreqFrom string
""
spectreSVerilog.pnoise selFreqTo string
""
spectreSVerilog.pnoise harmsAndFreqsTitle
string
""
spectreSVerilog.pnoise harmsAndFreqs
string
""
spectreSVerilog.pnoise outType
string
"voltage"
spectreSVerilog.pnoise negNode
string
"/gnd!"
spectreSVerilog.pnoise posNode
string
""
spectreSVerilog.pnoise outVsrc
string
""
spectreSVerilog.pnoise inNoiseType string
"none"
spectreSVerilog.pnoise inVsrc
string
""
spectreSVerilog.pnoise inIsrc
string
""
spectreSVerilog.pnoise inPort
string
""
spectreSVerilog.pnoise refsideband string
""
;spectreSVerilog pnoiseOpts partition variables
spectreSVerilog.pnoiseOpts
tolerance3 string
""
spectreSVerilog.pnoiseOpts
gearorder3 string
""
spectreSVerilog.pnoiseOpts
solver3
string
""
spectreSVerilog.pnoiseOpts
stats4
string
""
spectreSVerilog.pnoiseOpts
annotate4 string
"status"
;spectreSVerilog pxf partition variables
spectreSVerilog.pxf
enable
toggle
(nil)
spectreSVerilog.pxf
fund string
""
spectreSVerilog.pxf
Relative
boolean
nil
spectreSVerilog.pxf
sweeptype string
""
spectreSVerilog.pxf
rangeType string
"Start-Stop"
spectreSVerilog.pxf
from string
""
spectreSVerilog.pxf
to
string
""
spectreSVerilog.pxf
center
string
""
spectreSVerilog.pxf
span string
""
spectreSVerilog.pxf
incrType
string
"Automatic"
spectreSVerilog.pxf
stepTypeLin string
"Step Size"
spectreSVerilog.pxf
stepTypeLog string
"Points Per Decade"
spectreSVerilog.pxf
by
string
""
spectreSVerilog.pxf
lin
string
""
spectreSVerilog.pxf
dec
string
""
spectreSVerilog.pxf
log
string
""
spectreSVerilog.pxf
useDiscrete boolean
nil
spectreSVerilog.pxf
values
string
""
spectreSVerilog.pxf
harmonics string
"Zero sideband only"
spectreSVerilog.pxf
numharmonics
string
""
spectreSVerilog.pxf
arrayharmonics
string
""
spectreSVerilog.pxf
selFreqFrom string
""
spectreSVerilog.pxf
selFreqTo string
""
spectreSVerilog.pxf
harmsAndFreqsTitle
string
""
spectreSVerilog.pxf
harmsAndFreqs
string
""
spectreSVerilog.pxf
outType
string
"voltage"
spectreSVerilog.pxf
negNode
string
"/gnd!"
spectreSVerilog.pxf
posNode
string
""
spectreSVerilog.pxf
outVsrc
string
""
;spectreSVerilog pxfOpts partition variables
spectreSVerilog.pxfOpts
tolerance2 string
""
spectreSVerilog.pxfOpts
gearorder2 string
""
spectreSVerilog.pxfOpts
solver2
string
""
spectreSVerilog.pxfOpts
stats3
string
""
spectreSVerilog.pxfOpts
annotate3 string
"status"
spectreSVerilog.pxfOpts
stimuli
string
""
spectreSVerilog.pxfOpts
freqaxis2 string
""
;spectreVerilog environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;spectreVerilog opts partition variables
spectreVerilog.opts
multithread string
""
spectreVerilog.opts
title string
""
spectreVerilog.opts
audit string
""
spectreVerilog.opts
mos_vres
string
""
spectreVerilog.opts
nthreads
string
""
spectreVerilog.opts
rebuild_matrix
string
""
spectreVerilog.opts
mos_method string
""
spectreVerilog.opts
sensfileonly
string
""
spectreVerilog.opts
ckptclock string
"1800"
spectreVerilog.opts
flow string
""
spectreVerilog.opts
dochecklimit
string
""
spectreVerilog.opts
cols string
"80"
spectreVerilog.opts
opptcheck string
""
spectreVerilog.opts
maxrsd
string
""
spectreVerilog.opts
maxwarnstologfile string
""
spectreVerilog.opts
checklimitfile
string
""
spectreVerilog.opts
reltol
string
"1e-3"
spectreVerilog.opts
notation
string
""
spectreVerilog.opts
senstype
string
""
spectreVerilog.opts
approx
string
""
spectreVerilog.opts
warn string
""
spectreVerilog.opts
info string
""
spectreVerilog.opts
quantities string
""
spectreVerilog.opts
sensformat string
""
spectreVerilog.opts
pivabs
string
""
spectreVerilog.opts
compatible string
""
spectreVerilog.opts
gmin string
"1e-12"
spectreVerilog.opts
ignshorts string
""
spectreVerilog.opts
rforce
string
"1"
spectreVerilog.opts
homotopy
string
""
spectreVerilog.opts
note string
""
spectreVerilog.opts
iabstol
string
"1e-12"
spectreVerilog.opts
debug string
""
spectreVerilog.opts
tempeffects string
""
spectreVerilog.opts
maxwarns
string
"5"
spectreVerilog.opts
vabstol
string
"1e-6"
spectreVerilog.opts
checklimitdest
string
"psf"
spectreVerilog.opts
macromodels string
""
spectreVerilog.opts
preorder
string
""
spectreVerilog.opts
tnom string
"27"
spectreVerilog.opts
temp string
"27"
spectreVerilog.opts
gmin_check string
""
spectreVerilog.opts
minr string
""
spectreVerilog.opts
value string
""
spectreVerilog.opts
narrate
string
""
spectreVerilog.opts
maxnotes
string
"5"
spectreVerilog.opts
scale string
"1.0"
spectreVerilog.opts
diagnose
string
""
spectreVerilog.opts
maxnotestologfile string
""
spectreVerilog.opts
digits
string
"5"
spectreVerilog.opts
pivotdc
string
""
spectreVerilog.opts
pivrel
string
"1e-3"
spectreVerilog.opts
scalem
string
"1.0"
spectreVerilog.opts
additionalArgs
string
""
spectreVerilog.opts
limit string
""
spectreVerilog.opts
topcheck
string
""
spectreVerilog.opts
inventory string
""
spectreVerilog.opts
error string
""
spectreVerilog.opts
sensfile
string
"../psf/sens.output"
;spectreVerilog outputs partition variables
spectreVerilog.outputs currents
string
""
spectreVerilog.outputs assertsInfo boolean
nil
spectreVerilog.outputs subcktprobelvl
string
""
spectreVerilog.outputs modelParamInfo
boolean
t
spectreVerilog.outputs outputParamInfo boolean
t
spectreVerilog.outputs allDigitalNV
boolean
t
spectreVerilog.outputs pwr
string
""
spectreVerilog.outputs saveahdlvars
string
""
spectreVerilog.outputs nestlvl
string
""
spectreVerilog.outputs subcktsInfo boolean
t
spectreVerilog.outputs elementInfo boolean
t
spectreVerilog.outputs save string
"allpub"
spectreVerilog.outputs useprobes string
""
spectreVerilog.outputs primitivesInfo
boolean
t
;spectreVerilog verimixOpts partition variables
spectreVerilog.verimixOpts
filename6 string
""
spectreVerilog.verimixOpts
maxDCIter int
0
spectreVerilog.verimixOpts
scope1
string
""
spectreVerilog.verimixOpts
scope10
string
""
spectreVerilog.verimixOpts
filename8 string
""
spectreVerilog.verimixOpts
dcInterval float 0.0
spectreVerilog.verimixOpts
scope3
string
""
spectreVerilog.verimixOpts
scope8
string
""
spectreVerilog.verimixOpts
scope7
string
""
spectreVerilog.verimixOpts
filename7 string
""
spectreVerilog.verimixOpts
scope string
""
spectreVerilog.verimixOpts
filename4 string
""
spectreVerilog.verimixOpts
filename
string
""
spectreVerilog.verimixOpts
filename1 string
""
spectreVerilog.verimixOpts
scope9
string
""
spectreVerilog.verimixOpts
importsdfswitch boolean
nil
spectreVerilog.verimixOpts
filename2 string
""
spectreVerilog.verimixOpts
numberofsdffiles int
2
spectreVerilog.verimixOpts
scope2
string
""
spectreVerilog.verimixOpts
scope6
string
""
spectreVerilog.verimixOpts
filename10 string
""
spectreVerilog.verimixOpts
delaymodechoice string
"Ignore"
spectreVerilog.verimixOpts
sdfmixedswitch
boolean
t
spectreVerilog.verimixOpts
filename9 string
""
spectreVerilog.verimixOpts
scope5
string
""
spectreVerilog.verimixOpts
filename5 string
""
spectreVerilog.verimixOpts
filename3 string
""
spectreVerilog.verimixOpts
scope4
string
""
;spectreVerilog verilogOpts partition variables
spectreVerilog.verilogOpts
otherOptions
string
"+libext+.v+
+incdir+hdlFilesDir +sdf_verbose +sdf_nocheck_celltype"
spectreVerilog.verilogOpts
optionsFile string
""
spectreVerilog.verilogOpts
twinTurbo boolean
nil
spectreVerilog.verilogOpts
suppressMessages boolean
nil
spectreVerilog.verilogOpts
libraryFile string
""
spectreVerilog.verilogOpts
behaveProfile
boolean
nil
spectreVerilog.verilogOpts
verimixLog string
"verilog.log"
spectreVerilog.verilogOpts
simVision boolean
nil
spectreVerilog.verilogOpts
pulseSpec boolean
nil
spectreVerilog.verilogOpts
commandFile string
""
spectreVerilog.verilogOpts
pulseError int
100
spectreVerilog.verilogOpts
stopCompile boolean
nil
spectreVerilog.verilogOpts
keepNodes string
"Minimum"
spectreVerilog.verilogOpts
accelerationCA
boolean
nil
spectreVerilog.verilogOpts
turboRadio string
"Default"
spectreVerilog.verilogOpts
libraryDir string
""
spectreVerilog.verilogOpts
suppressWarnings boolean
nil
spectreVerilog.verilogOpts
accelerationSwitches
boolean
nil
spectreVerilog.verilogOpts
accelerationNormal
boolean
t
spectreVerilog.verilogOpts
delayType string
"Typical"
spectreVerilog.verilogOpts
pulseReject int
100
spectreVerilog.verilogOpts
delayMode string
"Default"
spectreVerilog.verilogOpts
vermixBinary
string
"verilog.vmx"
;spectreVerilog init partition variables
spectreVerilog.init
processPriority int
0
;spectreVerilog envOpts partition variables
spectreVerilog.envOpts mspSetupHNLNetlistPwrNets
string
"vdd!
vdda! vddd! vcc! vcca! vccd!"
spectreVerilog.envOpts mspSetupNetlistStopSymbolImplicit boolean
nil
spectreVerilog.envOpts mspSetupNetlistOverWriteSchTimeScale
boolean
nil
spectreVerilog.envOpts mspSetupNetlistAssignForAlias
boolean
nil
spectreVerilog.envOpts mspSetupNetlistEscapeName
boolean
nil
spectreVerilog.envOpts enableArclength boolean
nil
spectreVerilog.envOpts autoDisplay boolean
t
spectreVerilog.envOpts mspSetupNetlistSimPrecisionUnit
string
"ns"
spectreVerilog.envOpts mspSetupHNLNetlistGndNets
string
"gnd!
gnda! gndd! vss! vssa! vssd! vee! veea! veed!"
spectreVerilog.envOpts mspSetupNetlistOverWriteVerimix
boolean
nil
spectreVerilog.envOpts spp
string
""
spectreVerilog.envOpts mspSetupNetlistPinMap boolean
nil
spectreVerilog.envOpts mspSetupNetlistBus
boolean
t
spectreVerilog.envOpts mspSetupNetlistDeclareGlobalLocally
boolean
nil
spectreVerilog.envOpts analysisOrder
string
""
spectreVerilog.envOpts mspSetupNetlistSimTimeUnit
string
"ns"
spectreVerilog.envOpts mspSetupNetlistTemplate
string
"Verimix"
spectreVerilog.envOpts savestate string
""
spectreVerilog.envOpts mspSetupNetlistExplicit
boolean
nil
spectreVerilog.envOpts mspSetupNetlistSkipTimingInfo
boolean
nil
spectreVerilog.envOpts mspSetupNetlistUseTestfixture
boolean
t
spectreVerilog.envOpts paramRangeCheckFile
string
""
spectreVerilog.envOpts printComments
boolean
nil
spectreVerilog.envOpts mspSetupNetlistLaiLmsi boolean
nil
spectreVerilog.envOpts mspSetupNetlistSimPrecisionValue int
1
spectreVerilog.envOpts mspSetupNetlistSwitchRC
boolean
nil
spectreVerilog.envOpts restart
string
""
spectreVerilog.envOpts licOrder
string
""
spectreVerilog.envOpts mspSetupNetlistSimTimeValue int
1
spectreVerilog.envOpts mspSetupNetlistUseLib boolean
nil
spectreVerilog.envOpts firstRun
boolean
t
spectreVerilog.envOpts mspSetupNetlistNullPort
boolean
nil
spectreVerilog.envOpts mspSetupNetlistDropPortRange boolean
t
spectreVerilog.envOpts userCmdLineOption string
""
spectreVerilog.envOpts mspSetupNetlistUpCase boolean
nil
spectreVerilog.envOpts mspSetupNetlistIncreNetlistConfig boolean
nil
;spectreVerilog pz partition variables
spectreVerilog.pz enable
toggle
(nil)
spectreVerilog.pz outType
string
"voltage"
spectreVerilog.pz p
string
""
spectreVerilog.pz n
string
""
spectreVerilog.pz oprobe
string
""
spectreVerilog.pz inType
string
"voltage"
spectreVerilog.pz inVsrc
string
""
spectreVerilog.pz inIsrc
string
""
spectreVerilog.pz iprobe
string
""
spectreVerilog.pz sweep string
""
spectreVerilog.pz freq string
"1"
spectreVerilog.pz dev
string
""
spectreVerilog.pz deviceParam string
""
spectreVerilog.pz mod
string
""
spectreVerilog.pz modelParam string
""
spectreVerilog.pz designVar string
""
spectreVerilog.pz param string
""
spectreVerilog.pz rangeType string
"Start-Stop"
spectreVerilog.pz sweepChange boolean
nil
spectreVerilog.pz start string
""
spectreVerilog.pz stop string
""
spectreVerilog.pz center
string
""
spectreVerilog.pz span string
""
spectreVerilog.pz incrType
string
"Automatic"
spectreVerilog.pz stepTypeLin string
"Step Size"
spectreVerilog.pz stepTypeLog string
"Points Per Decade"
spectreVerilog.pz step string
""
spectreVerilog.pz lin
string
""
spectreVerilog.pz dec
string
""
spectreVerilog.pz log
string
""
spectreVerilog.pz useDiscrete boolean
nil
spectreVerilog.pz values
string
""
spectreVerilog.pz porti string
"1"
;spectreVerilog pzOpts partition variables
spectreVerilog.pzOpts readns
string
""
spectreVerilog.pzOpts oppoint
string
""
spectreVerilog.pzOpts zeroonly
string
""
spectreVerilog.pzOpts fmax string
""
spectreVerilog.pzOpts docancel
string
""
spectreVerilog.pzOpts absdiff
string
""
spectreVerilog.pzOpts reldiff
string
""
spectreVerilog.pzOpts prevoppoint string
""
spectreVerilog.pzOpts restart
string
""
spectreVerilog.pzOpts annotate
string
""
spectreVerilog.pzOpts stats string
""
spectreVerilog.pzOpts method
string
""
spectreVerilog.pzOpts numpoles
string
""
spectreVerilog.pzOpts numzeros
string
""
spectreVerilog.pzOpts sigmar
string
""
spectreVerilog.pzOpts sigmai
string
""
spectreVerilog.pzOpts additionalParams string
""
;spectreVerilog dcmatch partition variables
spectreVerilog.dcmatch enable
toggle
(nil)
spectreVerilog.dcmatch outType
string
"voltage"
spectreVerilog.dcmatch p
string
""
spectreVerilog.dcmatch n
string
""
spectreVerilog.dcmatch oprobe
string
""
spectreVerilog.dcmatch sweep string
""
spectreVerilog.dcmatch dev
string
""
spectreVerilog.dcmatch deviceParam string
""
spectreVerilog.dcmatch mod
string
""
spectreVerilog.dcmatch modelParam string
""
spectreVerilog.dcmatch designVar string
""
spectreVerilog.dcmatch param string
""
spectreVerilog.dcmatch rangeType string
"Start-Stop"
spectreVerilog.dcmatch sweepChange boolean
nil
spectreVerilog.dcmatch start string
""
spectreVerilog.dcmatch stop string
""
spectreVerilog.dcmatch center
string
""
spectreVerilog.dcmatch span string
""
spectreVerilog.dcmatch incrType
string
"Automatic"
spectreVerilog.dcmatch stepTypeLin string
"Step Size"
spectreVerilog.dcmatch stepTypeLog string
"Points Per Decade"
spectreVerilog.dcmatch step string
""
spectreVerilog.dcmatch lin
string
""
spectreVerilog.dcmatch dec
string
""
spectreVerilog.dcmatch log
string
""
spectreVerilog.dcmatch useDiscrete boolean
nil
spectreVerilog.dcmatch values
string
""
spectreVerilog.dcmatch mth
string
""
spectreVerilog.dcmatch porti string
"1"
spectreVerilog.dcmatch portv string
""
;spectreVerilog dcmatchOpts partition variables
spectreVerilog.dcmatchOpts
readns
string
""
spectreVerilog.dcmatchOpts
save string
""
spectreVerilog.dcmatchOpts
nestlvl
string
""
spectreVerilog.dcmatchOpts
oppoint
string
""
spectreVerilog.dcmatchOpts
prevoppoint string
""
spectreVerilog.dcmatchOpts
restart
string
""
spectreVerilog.dcmatchOpts
annotate
string
"status"
spectreVerilog.dcmatchOpts
stats string
""
spectreVerilog.dcmatchOpts
where string
"rawfile"
spectreVerilog.dcmatchOpts
version
string
""
spectreVerilog.dcmatchOpts
additionalParams string
""
;spectreVerilog stb partition variables
spectreVerilog.stb
enable
toggle
(nil)
spectreVerilog.stb
sweep string
"Frequency"
spectreVerilog.stb
freq string
""
spectreVerilog.stb
dev
string
""
spectreVerilog.stb
deviceParam string
""
spectreVerilog.stb
mod
string
""
spectreVerilog.stb
modelParam string
""
spectreVerilog.stb
designVar string
""
spectreVerilog.stb
param string
""
spectreVerilog.stb
rangeType string
"Start-Stop"
spectreVerilog.stb
sweepChange boolean
nil
spectreVerilog.stb
start string
""
spectreVerilog.stb
stop string
""
spectreVerilog.stb
center
string
""
spectreVerilog.stb
span string
""
spectreVerilog.stb
incrType
string
"Automatic"
spectreVerilog.stb
stepTypeLin string
"Step Size"
spectreVerilog.stb
stepTypeLog string
"Points Per Decade"
spectreVerilog.stb
step string
""
spectreVerilog.stb
lin
string
""
spectreVerilog.stb
dec
string
""
spectreVerilog.stb
log
string
""
spectreVerilog.stb
useDiscrete boolean
nil
spectreVerilog.stb
values
string
""
spectreVerilog.stb
probe string
""
;spectreVerilog stbOpts partition variables
spectreVerilog.stbOpts prevoppoint string
""
spectreVerilog.stbOpts readns
string
""
spectreVerilog.stbOpts save string
""
spectreVerilog.stbOpts nestlvl
string
""
spectreVerilog.stbOpts oppoint
string
""
spectreVerilog.stbOpts restart
string
""
spectreVerilog.stbOpts annotate
string
"status"
spectreVerilog.stbOpts stats string
""
spectreVerilog.stbOpts additionalParams string
""
;spectreVerilog tran partition variables
spectreVerilog.tran
enable
toggle
(nil)
spectreVerilog.tran
stop string
""
spectreVerilog.tran
errpreset string
""
spectreVerilog.tran
tranNoise string
""
spectreVerilog.tran
noiseseed string
"1"
spectreVerilog.tran
noisefmax string
""
spectreVerilog.tran
noisescale string
""
spectreVerilog.tran
noisefmin string
""
spectreVerilog.tran
noisetmin string
""
spectreVerilog.tran
tranNoiseMultiRuns
string
""
spectreVerilog.tran
noiseruns string
"100"
;spectreVerilog tranOpts partition variables
spectreVerilog.tranOpts
start string
""
spectreVerilog.tranOpts
outputstart string
""
spectreVerilog.tranOpts
step string
""
spectreVerilog.tranOpts
maxstep
string
""
spectreVerilog.tranOpts
transres
string
""
spectreVerilog.tranOpts
ic
string
""
spectreVerilog.tranOpts
skipdc
string
""
spectreVerilog.tranOpts
readic
string
""
spectreVerilog.tranOpts
readns
string
""
spectreVerilog.tranOpts
cmin string
""
spectreVerilog.tranOpts
write string
"spectre.ic"
spectreVerilog.tranOpts
writefinal string
"spectre.fc"
spectreVerilog.tranOpts
ckptperiod string
""
spectreVerilog.tranOpts
saveclock string
""
spectreVerilog.tranOpts
saveperiod string
""
spectreVerilog.tranOpts
savetime
string
""
spectreVerilog.tranOpts
savefile
string
""
spectreVerilog.tranOpts
recover
string
""
spectreVerilog.tranOpts
method
string
""
spectreVerilog.tranOpts
relref
string
""
spectreVerilog.tranOpts
lteratio
string
""
spectreVerilog.tranOpts
stats string
""
spectreVerilog.tranOpts
annotate
string
"status"
spectreVerilog.tranOpts
save string
""
spectreVerilog.tranOpts
nestlvl
string
""
spectreVerilog.tranOpts
oppoint
string
""
spectreVerilog.tranOpts
skipstart string
""
spectreVerilog.tranOpts
skipstop
string
""
spectreVerilog.tranOpts
skipcount string
""
spectreVerilog.tranOpts
strobeperiod
string
""
spectreVerilog.tranOpts
strobedelay string
""
spectreVerilog.tranOpts
compression string
""
spectreVerilog.tranOpts
infotimes string
""
spectreVerilog.tranOpts
flushpoints string
""
spectreVerilog.tranOpts
flushtime string
""
spectreVerilog.tranOpts
flushofftime
string
""
spectreVerilog.tranOpts
actimes
string
""
spectreVerilog.tranOpts
acnames
string
""
spectreVerilog.tranOpts
maxiters
string
"5"
spectreVerilog.tranOpts
restart
string
""
spectreVerilog.tranOpts
captab
boolean
nil
spectreVerilog.tranOpts
timed boolean
nil
spectreVerilog.tranOpts
threshold string
"0.0"
spectreVerilog.tranOpts
detail
string
"node"
spectreVerilog.tranOpts
sort string
"name"
spectreVerilog.tranOpts
additionalParams string
""
;spectreVerilog envlp partition variables
spectreVerilog.envlp
enable
toggle
(nil)
spectreVerilog.envlp
acprWizardInfo
string
""
spectreVerilog.envlp
harmsvec
string
""
spectreVerilog.envlp
harms string
""
spectreVerilog.envlp
harmonics string
"Number of harmonics"
spectreVerilog.envlp
oversamplefactor string
""
spectreVerilog.envlp
stop string
""
spectreVerilog.envlp
period
string
""
spectreVerilog.envlp
fund string
""
spectreVerilog.envlp
clockname string
""
spectreVerilog.envlp
clockFreqPeriod string
"Fund Frequency"
spectreVerilog.envlp
engine
string
""
spectreVerilog.envlp
flexbalance string
""
spectreVerilog.envlp
oscana
boolean
nil
spectreVerilog.envlp
p
string
""
spectreVerilog.envlp
n
string
""
spectreVerilog.envlp
saveinit
string
""
spectreVerilog.envlp
errpreset string
""
;spectreVerilog envlpOpts partition variables
spectreVerilog.envlpOpts
start string
""
spectreVerilog.envlpOpts
outputstart string
""
spectreVerilog.envlpOpts
tstab string
""
spectreVerilog.envlpOpts
modulationbw
string
""
spectreVerilog.envlpOpts
maxstep
string
""
spectreVerilog.envlpOpts
envmaxstep string
""
spectreVerilog.envlpOpts
fixstepsize string
""
spectreVerilog.envlpOpts
stepsize
string
""
spectreVerilog.envlpOpts
ic
string
""
spectreVerilog.envlpOpts
skipdc
string
""
spectreVerilog.envlpOpts
readic
string
""
spectreVerilog.envlpOpts
readns
string
""
spectreVerilog.envlpOpts
cmin string
""
spectreVerilog.envlpOpts
write string
""
spectreVerilog.envlpOpts
writefinal string
""
spectreVerilog.envlpOpts
swapfile
string
""
spectreVerilog.envlpOpts
saveclock string
""
spectreVerilog.envlpOpts
saveperiod string
""
spectreVerilog.envlpOpts
savetime
string
""
spectreVerilog.envlpOpts
savefile
string
""
spectreVerilog.envlpOpts
recover
string
""
spectreVerilog.envlpOpts
method
string
""
spectreVerilog.envlpOpts
envmethod string
""
spectreVerilog.envlpOpts
relref
string
""
spectreVerilog.envlpOpts
lteratio
string
""
spectreVerilog.envlpOpts
envlteratio string
""
spectreVerilog.envlpOpts
steadyratio string
""
spectreVerilog.envlpOpts
stats string
""
spectreVerilog.envlpOpts
annotate
string
"status"
spectreVerilog.envlpOpts
save string
""
spectreVerilog.envlpOpts
nestlvl
string
""
spectreVerilog.envlpOpts
compression string
""
spectreVerilog.envlpOpts
outputtype string
""
spectreVerilog.envlpOpts
strobeperiod
string
""
spectreVerilog.envlpOpts
matlaboutput
string
""
spectreVerilog.envlpOpts
maxiters
string
""
spectreVerilog.envlpOpts
restart
string
""
spectreVerilog.envlpOpts
envmaxiters string
""
spectreVerilog.envlpOpts
fmspeedup string
""
spectreVerilog.envlpOpts
additionalParams string
""
;spectreVerilog ac partition variables
spectreVerilog.ac enable
toggle
(nil)
spectreVerilog.ac sweep string
"Frequency"
spectreVerilog.ac freq string
""
spectreVerilog.ac dev
string
""
spectreVerilog.ac deviceParam string
""
spectreVerilog.ac mod
string
""
spectreVerilog.ac modelParam string
""
spectreVerilog.ac designVar string
""
spectreVerilog.ac param string
""
spectreVerilog.ac rangeType string
"Start-Stop"
spectreVerilog.ac sweepChange boolean
nil
spectreVerilog.ac start string
""
spectreVerilog.ac stop string
""
spectreVerilog.ac center
string
""
spectreVerilog.ac span string
""
spectreVerilog.ac incrType
string
"Automatic"
spectreVerilog.ac stepTypeLin string
"Step Size"
spectreVerilog.ac stepTypeLog string
"Points Per Decade"
spectreVerilog.ac step string
""
spectreVerilog.ac lin
string
""
spectreVerilog.ac dec
string
""
spectreVerilog.ac log
string
""
spectreVerilog.ac useDiscrete boolean
nil
spectreVerilog.ac values
string
""
spectreVerilog.ac out2 string
""
spectreVerilog.ac out1 string
""
spectreVerilog.ac outType
string
"Voltage"
spectreVerilog.ac maxharm_nonlin
string
""
spectreVerilog.ac flin_out
string
""
spectreVerilog.ac contriblist string
""
spectreVerilog.ac fim_out
string
""
spectreVerilog.ac rfdbm string
""
spectreVerilog.ac rfmag string
""
spectreVerilog.ac rf2_freq
string
""
spectreVerilog.ac rf2_src
string
""
spectreVerilog.ac rf1_freq
string
""
spectreVerilog.ac rf1_src
string
""
spectreVerilog.ac srcType
string
"isource"
spectreVerilog.ac special
string
"None"
;spectreVerilog acOpts partition variables
spectreVerilog.acOpts readns
string
""
spectreVerilog.acOpts prevoppoint string
""
spectreVerilog.acOpts force string
""
spectreVerilog.acOpts skipdc
string
""
spectreVerilog.acOpts readforce string
""
spectreVerilog.acOpts save string
""
spectreVerilog.acOpts nestlvl
string
""
spectreVerilog.acOpts oppoint
string
""
spectreVerilog.acOpts restart
string
""
spectreVerilog.acOpts annotate
string
"status"
spectreVerilog.acOpts stats string
""
spectreVerilog.acOpts additionalParams string
""
;spectreVerilog dc partition variables
spectreVerilog.dc enable
toggle
(nil)
spectreVerilog.dcop
enable
toggle
(nil)
spectreVerilog.dc saveOppoint boolean
nil
spectreVerilog.dc sweep string
""
spectreVerilog.dc dev
string
""
spectreVerilog.dc deviceParam string
""
spectreVerilog.dc mod
string
""
spectreVerilog.dc modelParam string
""
spectreVerilog.dc designVar string
""
spectreVerilog.dc param string
""
spectreVerilog.dc rangeType string
"Start-Stop"
spectreVerilog.dc sweepChange boolean
nil
spectreVerilog.dc start string
""
spectreVerilog.dc stop string
""
spectreVerilog.dc center
string
""
spectreVerilog.dc span string
""
spectreVerilog.dc incrType
string
"Automatic"
spectreVerilog.dc stepTypeLin string
"Step Size"
spectreVerilog.dc stepTypeLog string
"Points Per Decade"
spectreVerilog.dc step string
""
spectreVerilog.dc lin
string
""
spectreVerilog.dc dec
string
""
spectreVerilog.dc log
string
""
spectreVerilog.dc useDiscrete boolean
nil
spectreVerilog.dc values
string
""
;spectreVerilog dcOpts partition variables
spectreVerilog.dcOpts force string
""
spectreVerilog.dcopOpts
force string
""
spectreVerilog.dcOpts readns
string
""
spectreVerilog.dcopOpts
readns
string
""
spectreVerilog.dcOpts readforce string
""
spectreVerilog.dcopOpts
readforce string
""
spectreVerilog.dcOpts write string
"spectre.dc"
spectreVerilog.dcopOpts
write string
"spectre.dc"
spectreVerilog.dcOpts writefinal string
""
spectreVerilog.dcopOpts
writefinal string
""
spectreVerilog.dcOpts save string
""
spectreVerilog.dcopOpts
save string
""
spectreVerilog.dcOpts nestlvl
string
""
spectreVerilog.dcopOpts
nestlvl
string
""
spectreVerilog.dcOpts print string
""
spectreVerilog.dcopOpts
print string
""
spectreVerilog.dcOpts check string
""
spectreVerilog.dcopOpts
check string
""
spectreVerilog.dcOpts oppoint
string
"rawfile"
spectreVerilog.dcopOpts
oppoint
string
"rawfile"
spectreVerilog.dcOpts homotopy
string
""
spectreVerilog.dcopOpts
homotopy
string
""
spectreVerilog.dcOpts restart
string
""
spectreVerilog.dcopOpts
restart
string
""
spectreVerilog.dcOpts maxiters
string
"150"
spectreVerilog.dcopOpts
maxiters
string
"150"
spectreVerilog.dcOpts maxsteps
string
"10000"
spectreVerilog.dcopOpts
maxsteps
string
"10000"
spectreVerilog.dcOpts annotate
string
"status"
spectreVerilog.dcopOpts
annotate
string
"status"
spectreVerilog.dcOpts captab
boolean
nil
spectreVerilog.dcopOpts
captab
boolean
nil
spectreVerilog.dcOpts threshold string
"0.0"
spectreVerilog.dcopOpts
threshold string
"0.0"
spectreVerilog.dcOpts detail
string
"node"
spectreVerilog.dcopOpts
detail
string
"node"
spectreVerilog.dcOpts sort string
"name"
spectreVerilog.dcopOpts
sort string
"name"
spectreVerilog.dcOpts additionalParams string
""
spectreVerilog.dcopOpts
additionalParams string
""
;spectreVerilog noise partition variables
spectreVerilog.noise
enable
toggle
(nil)
spectreVerilog.noise
sweep string
"Frequency"
spectreVerilog.noise
freq string
""
spectreVerilog.noise
dev
string
""
spectreVerilog.noise
deviceParam string
""
spectreVerilog.noise
mod
string
""
spectreVerilog.noise
modelParam string
""
spectreVerilog.noise
designVar string
""
spectreVerilog.noise
param string
""
spectreVerilog.noise
rangeType string
"Start-Stop"
spectreVerilog.noise
sweepChange boolean
nil
spectreVerilog.noise
start string
""
spectreVerilog.noise
stop string
""
spectreVerilog.noise
center
string
""
spectreVerilog.noise
span string
""
spectreVerilog.noise
incrType
string
"Automatic"
spectreVerilog.noise
stepTypeLin string
"Step Size"
spectreVerilog.noise
stepTypeLog string
"Points Per Decade"
spectreVerilog.noise
step string
""
spectreVerilog.noise
lin
string
""
spectreVerilog.noise
dec
string
""
spectreVerilog.noise
log
string
""
spectreVerilog.noise
useDiscrete boolean
nil
spectreVerilog.noise
values
string
""
spectreVerilog.noise
outType
string
"probe"
spectreVerilog.noise
p
string
""
spectreVerilog.noise
n
string
""
spectreVerilog.noise
oprobe
string
""
spectreVerilog.noise
inType
string
"port"
spectreVerilog.noise
inVsrc
string
""
spectreVerilog.noise
inIsrc
string
""
spectreVerilog.noise
iprobe
string
""
spectreVerilog.noise
inPort
string
""
;spectreVerilog noiseOpts partition variables
spectreVerilog.noiseOpts
readns
string
""
spectreVerilog.noiseOpts
prevoppoint string
""
spectreVerilog.noiseOpts
force string
""
spectreVerilog.noiseOpts
readforce string
""
spectreVerilog.noiseOpts
save string
""
spectreVerilog.noiseOpts
nestlvl
string
""
spectreVerilog.noiseOpts
oppoint
string
""
spectreVerilog.noiseOpts
restart
string
""
spectreVerilog.noiseOpts
annotate
string
"status"
spectreVerilog.noiseOpts
stats string
""
spectreVerilog.noiseOpts
additionalParams string
""
;spectreVerilog xf partition variables
spectreVerilog.xf enable
toggle
(nil)
spectreVerilog.xf sweep string
"Frequency"
spectreVerilog.xf freq string
""
spectreVerilog.xf dev
string
""
spectreVerilog.xf deviceParam string
""
spectreVerilog.xf mod
string
""
spectreVerilog.xf modelParam string
""
spectreVerilog.xf designVar string
""
spectreVerilog.xf param string
""
spectreVerilog.xf rangeType string
"Start-Stop"
spectreVerilog.xf sweepChange boolean
nil
spectreVerilog.xf start string
""
spectreVerilog.xf stop string
""
spectreVerilog.xf center
string
""
spectreVerilog.xf span string
""
spectreVerilog.xf incrType
string
"Automatic"
spectreVerilog.xf stepTypeLin string
"Step Size"
spectreVerilog.xf stepTypeLog string
"Points Per Decade"
spectreVerilog.xf step string
""
spectreVerilog.xf lin
string
""
spectreVerilog.xf dec
string
""
spectreVerilog.xf log
string
""
spectreVerilog.xf useDiscrete boolean
nil
spectreVerilog.xf values
string
""
spectreVerilog.xf outType
string
"voltage"
spectreVerilog.xf p
string
""
spectreVerilog.xf n
string
""
spectreVerilog.xf probe string
""
;spectreVerilog xfOpts partition variables
spectreVerilog.xfOpts readns
string
""
spectreVerilog.xfOpts prevoppoint string
""
spectreVerilog.xfOpts force string
""
spectreVerilog.xfOpts readforce string
""
spectreVerilog.xfOpts stimuli
string
""
spectreVerilog.xfOpts save string
""
spectreVerilog.xfOpts nestlvl
string
""
spectreVerilog.xfOpts oppoint
string
""
spectreVerilog.xfOpts restart
string
""
spectreVerilog.xfOpts annotate
string
"status"
spectreVerilog.xfOpts stats string
""
spectreVerilog.xfOpts additionalParams string
""
;spectreVerilog sp partition variables
spectreVerilog.sp enable
toggle
(nil)
spectreVerilog.sp ports string
""
spectreVerilog.sp sweep string
"Frequency"
spectreVerilog.sp freq string
""
spectreVerilog.sp dev
string
""
spectreVerilog.sp deviceParam string
""
spectreVerilog.sp mod
string
""
spectreVerilog.sp modelParam string
""
spectreVerilog.sp designVar string
""
spectreVerilog.sp param string
""
spectreVerilog.sp rangeType string
"Start-Stop"
spectreVerilog.sp sweepChange boolean
nil
spectreVerilog.sp start string
""
spectreVerilog.sp stop string
""
spectreVerilog.sp center
string
""
spectreVerilog.sp span string
""
spectreVerilog.sp incrType
string
"Automatic"
spectreVerilog.sp stepTypeLin string
"Step Size"
spectreVerilog.sp stepTypeLog string
"Points Per Decade"
spectreVerilog.sp step string
""
spectreVerilog.sp lin
string
""
spectreVerilog.sp dec
string
""
spectreVerilog.sp log
string
""
spectreVerilog.sp useDiscrete boolean
nil
spectreVerilog.sp values
string
""
spectreVerilog.sp donoise
string
"no"
spectreVerilog.sp oprobe
string
""
spectreVerilog.sp iprobe
string
""
spectreVerilog.sp modeSelection
string
"Single-Ended"
spectreVerilog.sp mode string
""
spectreVerilog.sp modeLastValue
string
""
;spectreVerilog spOpts partition variables
spectreVerilog.spOpts readns
string
""
spectreVerilog.spOpts prevoppoint string
""
spectreVerilog.spOpts force string
""
spectreVerilog.spOpts readforce string
""
spectreVerilog.spOpts file string
""
spectreVerilog.spOpts datafmt
string
""
spectreVerilog.spOpts datatype
string
""
spectreVerilog.spOpts noisedata string
""
spectreVerilog.spOpts oppoint
string
""
spectreVerilog.spOpts restart
string
""
spectreVerilog.spOpts annotate
string
"status"
spectreVerilog.spOpts stats string
""
spectreVerilog.spOpts additionalParams string
""
;spectreVerilog pss partition variables
spectreVerilog.pss
enable
toggle
(nil)
spectreVerilog.pss
engine
string
""
spectreVerilog.pss
flexbalance string
""
spectreVerilog.pss
FBHeadListBox
string
""
spectreVerilog.pss
FBListBox string
""
spectreVerilog.pss
FBFundCalc boolean
nil
spectreVerilog.pss
FBFund
string
""
spectreVerilog.pss
oversamplefactor string
""
spectreVerilog.pss
paramListBox
string
""
spectreVerilog.pss
fundListBox string
""
spectreVerilog.pss
stimName
string
""
spectreVerilog.pss
freqName
string
""
spectreVerilog.pss
freqVal
string
""
spectreVerilog.pss
signalLevel string
"Large"
spectreVerilog.pss
srcId string
""
spectreVerilog.pss
maxHarms
string
""
spectreVerilog.pss
freqPeriod string
"Beat Frequency"
spectreVerilog.pss
fund string
""
spectreVerilog.pss
autoCalc
boolean
nil
spectreVerilog.pss
period
string
""
spectreVerilog.pss
harmonics string
"Number of harmonics"
spectreVerilog.pss
harms string
""
spectreVerilog.pss
indices
string
""
spectreVerilog.pss
Aindices
string
""
spectreVerilog.pss
harmsvec
string
""
spectreVerilog.pss
selFreqFrom string
"0"
spectreVerilog.pss
selFreqTo string
"1e12"
spectreVerilog.pss
order string
"1"
spectreVerilog.pss
harmsAndFreqsTitle
string
""
spectreVerilog.pss
harmsAndFreqsTitle2
string
""
spectreVerilog.pss
harmsAndFreqs
string
""
spectreVerilog.pss
arrayCoeffs string
""
spectreVerilog.pss
harmCoeffs string
""
spectreVerilog.pss
harmListMem string
""
spectreVerilog.pss
errpreset string
""
spectreVerilog.pss
tstab string
""
spectreVerilog.pss
saveinit
string
""
spectreVerilog.pss
oscana
boolean
nil
spectreVerilog.pss
p
string
""
spectreVerilog.pss
n
string
""
spectreVerilog.pss
oscicUI
string
"default"
spectreVerilog.pss
oscic string
""
spectreVerilog.pss
oscmethod string
""
spectreVerilog.pss
sweepB
boolean
nil
spectreVerilog.pss
sweep string
"Variable"
spectreVerilog.pss
freqVar
string
"no"
spectreVerilog.pss
designVar string
""
spectreVerilog.pss
dev
string
""
spectreVerilog.pss
deviceParam string
""
spectreVerilog.pss
mod
string
""
spectreVerilog.pss
modelParam string
""
spectreVerilog.pss
param string
""
spectreVerilog.pss
rangeType string
"Start-Stop"
spectreVerilog.pss
sweepChange boolean
nil
spectreVerilog.pss
start string
""
spectreVerilog.pss
stop string
""
spectreVerilog.pss
center
string
""
spectreVerilog.pss
span string
""
spectreVerilog.pss
incrType
string
"Linear"
spectreVerilog.pss
stepTypeLin string
"Step Size"
spectreVerilog.pss
stepTypeLog string
"Points Per Decade"
spectreVerilog.pss
sweepStep string
""
spectreVerilog.pss
lin
string
""
spectreVerilog.pss
dec
string
""
spectreVerilog.pss
log
string
""
spectreVerilog.pss
useDiscrete boolean
nil
spectreVerilog.pss
values
string
""
spectreVerilog.pss
lsspWizardInfo
string
""
spectreVerilog.pss
lsspWizardState string
"Disable"
;spectreVerilog pssOpts partition variables
spectreVerilog.pssOpts step string
""
spectreVerilog.pssOpts maxstep
string
""
spectreVerilog.pssOpts ic
string
""
spectreVerilog.pssOpts skipdc
string
""
spectreVerilog.pssOpts readic
string
""
spectreVerilog.pssOpts readns
string
""
spectreVerilog.pssOpts cmin string
""
spectreVerilog.pssOpts write string
""
spectreVerilog.pssOpts writefinal string
""
spectreVerilog.pssOpts swapfile
string
""
spectreVerilog.pssOpts writepss
string
""
spectreVerilog.pssOpts readpss
string
""
spectreVerilog.pssOpts checkpss
string
""
spectreVerilog.pssOpts saveclock string
""
spectreVerilog.pssOpts saveperiod string
""
spectreVerilog.pssOpts savetime
string
""
spectreVerilog.pssOpts savefile
string
""
spectreVerilog.pssOpts recover
string
""
spectreVerilog.pssOpts method
string
""
spectreVerilog.pssOpts tstabmethod string
""
spectreVerilog.pssOpts relref
string
""
spectreVerilog.pssOpts lteratio
string
""
spectreVerilog.pssOpts steadyratio string
""
spectreVerilog.pssOpts itres string
""
spectreVerilog.pssOpts lnsolver
string
""
spectreVerilog.pssOpts inexactNewton
string
""
spectreVerilog.pssOpts maxacfreq string
""
spectreVerilog.pssOpts maxperiods string
""
spectreVerilog.pssOpts finitediff string
""
spectreVerilog.pssOpts highorder string
""
spectreVerilog.pssOpts psaratio
string
""
spectreVerilog.pssOpts maxorder
string
""
spectreVerilog.pssOpts fullpssvec string
""
spectreVerilog.pssOpts fdharms
string
""
spectreVerilog.pssOpts stats string
""
spectreVerilog.pssOpts annotate
string
"status"
spectreVerilog.pssOpts save string
""
spectreVerilog.pssOpts nestlvl
string
""
spectreVerilog.pssOpts oppoint
string
""
spectreVerilog.pssOpts skipstart string
""
spectreVerilog.pssOpts skipstop
string
""
spectreVerilog.pssOpts skipcount string
""
spectreVerilog.pssOpts strobeperiod
string
""
spectreVerilog.pssOpts strobedelay string
""
spectreVerilog.pssOpts compression string
""
spectreVerilog.pssOpts outputtype string
""
spectreVerilog.pssOpts maxiters
string
""
spectreVerilog.pssOpts restart
string
""
spectreVerilog.pssOpts tstart
string
""
spectreVerilog.pssOpts additionalParams string
""
;spectreVerilog pac partition variables
spectreVerilog.pac
enable
toggle
(nil)
spectreVerilog.pac
fund string
""
spectreVerilog.pac
period
string
""
spectreVerilog.pac
extrasampletimepoints string
""
spectreVerilog.pac
sampledelay string
""
spectreVerilog.pac
maxsamples string
""
spectreVerilog.pac
crossingdirection string
"all"
spectreVerilog.pac
thresholdvalue
string
""
spectreVerilog.pac
sample_n
string
""
spectreVerilog.pac
sample_p
string
""
spectreVerilog.pac
sampleprobe string
""
spectreVerilog.pac
sampledSigType
string
"probe"
spectreVerilog.pac
ptvtype
string
"sampled"
spectreVerilog.pac
out2 string
""
spectreVerilog.pac
out1 string
""
spectreVerilog.pac
outType
string
"Voltage"
spectreVerilog.pac
maxharm_nonlin
string
""
spectreVerilog.pac
flin_out
string
""
spectreVerilog.pac
contriblist string
""
spectreVerilog.pac
fim_out
string
""
spectreVerilog.pac
rfdbm string
""
spectreVerilog.pac
rfmag string
""
spectreVerilog.pac
rf2_freq
string
""
spectreVerilog.pac
rf2_src
string
""
spectreVerilog.pac
rf1_freq
string
""
spectreVerilog.pac
rf1_src
string
""
spectreVerilog.pac
srcType
string
"isource"
spectreVerilog.pac
moduppersideband string
"0"
spectreVerilog.pac
inmodharmnum
string
"1"
spectreVerilog.pac
outmodharmvec
string
"1"
spectreVerilog.pac
inputType string
"SSB"
spectreVerilog.pac
modulated string
""
spectreVerilog.pac
special
string
"None"
spectreVerilog.pac
sweeptype string
"default"
spectreVerilog.pac
relharmnum string
""
spectreVerilog.pac
rangeType string
"Start-Stop"
spectreVerilog.pac
sweepChange boolean
nil
spectreVerilog.pac
start string
""
spectreVerilog.pac
stop string
""
spectreVerilog.pac
center
string
""
spectreVerilog.pac
span string
""
spectreVerilog.pac
incrType
string
"Automatic"
spectreVerilog.pac
stepTypeLin string
"Step Size"
spectreVerilog.pac
stepTypeLog string
"Points Per Decade"
spectreVerilog.pac
step string
""
spectreVerilog.pac
lin
string
""
spectreVerilog.pac
dec
string
""
spectreVerilog.pac
log
string
""
spectreVerilog.pac
useDiscrete boolean
nil
spectreVerilog.pac
values
string
""
spectreVerilog.pac
harmonics string
"Maximum sideband"
spectreVerilog.pac
maxsideband string
""
spectreVerilog.pac
indices
string
""
spectreVerilog.pac
Aindices
string
""
spectreVerilog.pac
sidebands string
""
spectreVerilog.pac
side string
"upper"
spectreVerilog.pac
selFreqFrom string
"0"
spectreVerilog.pac
selFreqTo string
"1e12"
spectreVerilog.pac
order string
"1"
spectreVerilog.pac
harmsAndFreqsTitle
string
""
spectreVerilog.pac
harmsAndFreqsTitle2
string
""
spectreVerilog.pac
harmsAndFreqs
string
""
spectreVerilog.pac
arrayCoeffs string
""
spectreVerilog.pac
harmCoeffs string
""
spectreVerilog.pac
harmListMem string
""
;spectreVerilog pacOpts partition variables
spectreVerilog.pacOpts tolerance string
""
spectreVerilog.pacOpts gear_order string
""
spectreVerilog.pacOpts solver
string
""
spectreVerilog.pacOpts lnsolver
string
""
spectreVerilog.pacOpts oscsolver string
""
spectreVerilog.pacOpts stats string
""
spectreVerilog.pacOpts annotate
string
"status"
spectreVerilog.pacOpts freqaxis
string
""
spectreVerilog.pacOpts save string
""
spectreVerilog.pacOpts nestlvl
string
""
spectreVerilog.pacOpts outputperiod
string
""
spectreVerilog.pacOpts additionalParams string
""
;spectreVerilog pstb partition variables
spectreVerilog.pstb
enable
toggle
(nil)
spectreVerilog.pstb
fund string
""
spectreVerilog.pstb
period
string
""
spectreVerilog.pstb
rangeType string
"Start-Stop"
spectreVerilog.pstb
sweepChange boolean
nil
spectreVerilog.pstb
start string
""
spectreVerilog.pstb
stop string
""
spectreVerilog.pstb
center
string
""
spectreVerilog.pstb
span string
""
spectreVerilog.pstb
incrType
string
"Automatic"
spectreVerilog.pstb
stepTypeLin string
"Step Size"
spectreVerilog.pstb
stepTypeLog string
"Points Per Decade"
spectreVerilog.pstb
step string
""
spectreVerilog.pstb
lin
string
""
spectreVerilog.pstb
dec
string
""
spectreVerilog.pstb
log
string
""
spectreVerilog.pstb
useDiscrete boolean
nil
spectreVerilog.pstb
values
string
""
spectreVerilog.pstb
probe string
""
;spectreVerilog pstbOpts partition variables
spectreVerilog.pstbOpts
tolerance string
""
spectreVerilog.pstbOpts
gear_order string
""
spectreVerilog.pstbOpts
solver
string
""
spectreVerilog.pstbOpts
lnsolver
string
""
spectreVerilog.pstbOpts
oscsolver string
""
spectreVerilog.pstbOpts
stats string
""
spectreVerilog.pstbOpts
annotate
string
"status"
spectreVerilog.pstbOpts
save string
""
spectreVerilog.pstbOpts
nestlvl
string
""
spectreVerilog.pstbOpts
additionalParams string
""
;spectreVerilog pnoise partition variables
spectreVerilog.pnoise enable
toggle
(nil)
spectreVerilog.pnoise fund string
""
spectreVerilog.pnoise period
string
""
spectreVerilog.pnoise sweeptype string
"default"
spectreVerilog.pnoise relharmnum string
""
spectreVerilog.pnoise rangeType string
"Start-Stop"
spectreVerilog.pnoise sweepChange boolean
nil
spectreVerilog.pnoise start string
""
spectreVerilog.pnoise stop string
""
spectreVerilog.pnoise center
string
""
spectreVerilog.pnoise span string
""
spectreVerilog.pnoise incrType
string
"Automatic"
spectreVerilog.pnoise stepTypeLin string
"Step Size"
spectreVerilog.pnoise stepTypeLog string
"Points Per Decade"
spectreVerilog.pnoise step string
""
spectreVerilog.pnoise lin
string
""
spectreVerilog.pnoise dec
string
""
spectreVerilog.pnoise log
string
""
spectreVerilog.pnoise useDiscrete boolean
nil
spectreVerilog.pnoise values
string
""
spectreVerilog.pnoise harmonics string
"Maximum sideband"
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
spectreVerilog.pnoise
maxsideband string
""
indices
string
""
Aindices
string
""
sidebands string
""
side string
"upper"
selFreqFrom string
"0"
selFreqTo string
"1e12"
order string
"1"
harmsAndFreqsTitle
string
""
harmsAndFreqsTitle2
string
""
harmsAndFreqs
string
""
arrayCoeffs string
""
harmCoeffs string
""
harmListMem string
""
outType
string
"probe"
p
string
""
n
string
""
oprobe
string
""
inType
string
"port"
inVsrc
string
""
inIsrc
string
""
iprobe
string
""
inPort
string
""
refsbSelect string
"Enter in field"
refSidebandHint string
""
refsideband string
""
refsbFreqFrom
string
"0"
refsbFreqTo string
"1e12"
refsbOrder string
"1"
refsbTitle string
""
refsbListbox
string
""
noisetype string
"sources"
noisetypeUI string
"sources"
determsourcesfreqs
string
""
deterministicsources
string
""
deterministicsourcesUI string
""
extcorrsources2 string
""
extcorrsources2UI string
""
extcorrsources1 string
""
extcorrsources1UI string
""
externalsources string
""
externalsourcesUI string
""
externSourcesBool boolean
nil
crossingdirection string
"all"
thresholdvalue
string
""
outputSignal
string
""
jitterType string
"xyz"
maxcycles string
""
useDiscreteCorr boolean
nil
cycles
string
""
tdnoise
string
"Noise Skip Count"
noiseskipcount
string
""
numberofpoints
string
""
useDiscreteNoise boolean
nil
spectreVerilog.pnoise noisetimepoints string
""
spectreVerilog.pnoise separatenoise
string
""
;spectreVerilog pnoiseOpts partition variables
spectreVerilog.pnoiseOpts
tolerance string
""
spectreVerilog.pnoiseOpts
gear_order string
""
spectreVerilog.pnoiseOpts
solver
string
""
spectreVerilog.pnoiseOpts
lnsolver
string
""
spectreVerilog.pnoiseOpts
oscsolver string
""
spectreVerilog.pnoiseOpts
stats string
""
spectreVerilog.pnoiseOpts
annotate
string
"status"
spectreVerilog.pnoiseOpts
save string
""
spectreVerilog.pnoiseOpts
nestlvl
string
""
spectreVerilog.pnoiseOpts
saveallsidebands string
""
spectreVerilog.pnoiseOpts
additionalParams string
""
;spectreVerilog pxf partition variables
spectreVerilog.pxf
enable
toggle
(nil)
spectreVerilog.pxf
fund string
""
spectreVerilog.pxf
period
string
""
spectreVerilog.pxf
extrasampletimepoints string
""
spectreVerilog.pxf
sampledelay string
""
spectreVerilog.pxf
maxsamples string
""
spectreVerilog.pxf
crossingdirection string
"all"
spectreVerilog.pxf
thresholdvalue
string
""
spectreVerilog.pxf
sample_n
string
""
spectreVerilog.pxf
sample_p
string
""
spectreVerilog.pxf
sampleprobe string
""
spectreVerilog.pxf
sampledSigType
string
"probe"
spectreVerilog.pxf
ptvtype
string
"sampled"
spectreVerilog.pxf
moduppersideband string
"0"
spectreVerilog.pxf
outmodharmnum
string
"1"
spectreVerilog.pxf
inmodharmvec
string
"1"
spectreVerilog.pxf
outputType string
"SSB"
spectreVerilog.pxf
modulated string
""
spectreVerilog.pxf
special
string
"None"
spectreVerilog.pxf
externalsources string
""
spectreVerilog.pxf
extcorrsources1 string
""
spectreVerilog.pxf
extcorrsources2 string
""
spectreVerilog.pxf
deterministicsources
string
""
spectreVerilog.pxf
determsourcesfreqs
string
""
spectreVerilog.pxf
outType
string
"voltage"
spectreVerilog.pxf
p
string
""
spectreVerilog.pxf
n
string
""
spectreVerilog.pxf
probe string
""
spectreVerilog.pxf
sweeptype string
"default"
spectreVerilog.pxf
relharmnum string
""
spectreVerilog.pxf
rangeType string
"Start-Stop"
spectreVerilog.pxf
sweepChange boolean
nil
spectreVerilog.pxf
start string
""
spectreVerilog.pxf
stop string
""
spectreVerilog.pxf
center
string
""
spectreVerilog.pxf
span string
""
spectreVerilog.pxf
incrType
string
"Automatic"
spectreVerilog.pxf
stepTypeLin string
"Step Size"
spectreVerilog.pxf
stepTypeLog string
"Points Per Decade"
spectreVerilog.pxf
step string
""
spectreVerilog.pxf
lin
string
""
spectreVerilog.pxf
dec
string
""
spectreVerilog.pxf
log
string
""
spectreVerilog.pxf
useDiscrete boolean
nil
spectreVerilog.pxf
values
string
""
spectreVerilog.pxf
harmonics string
"Maximum sideband"
spectreVerilog.pxf
maxsideband string
""
spectreVerilog.pxf
indices
string
""
spectreVerilog.pxf
Aindices
string
""
spectreVerilog.pxf
sidebands string
""
spectreVerilog.pxf
side string
"upper"
spectreVerilog.pxf
selFreqFrom string
"0"
spectreVerilog.pxf
selFreqTo string
"1e12"
spectreVerilog.pxf
order string
"1"
spectreVerilog.pxf
harmsAndFreqsTitle
string
""
spectreVerilog.pxf
harmsAndFreqsTitle2
string
""
spectreVerilog.pxf
harmsAndFreqs
string
""
spectreVerilog.pxf
arrayCoeffs string
""
spectreVerilog.pxf
harmCoeffs string
""
spectreVerilog.pxf
harmListMem string
""
;spectreVerilog pxfOpts partition variables
spectreVerilog.pxfOpts tolerance string
""
spectreVerilog.pxfOpts gear_order string
""
spectreVerilog.pxfOpts solver
string
""
spectreVerilog.pxfOpts lnsolver
string
""
spectreVerilog.pxfOpts oscsolver string
""
spectreVerilog.pxfOpts stats string
""
spectreVerilog.pxfOpts annotate
string
"status"
spectreVerilog.pxfOpts stimuli
string
""
spectreVerilog.pxfOpts freqaxis
string
""
spectreVerilog.pxfOpts save string
""
spectreVerilog.pxfOpts nestlvl
string
""
spectreVerilog.pxfOpts additionalParams string
""
;spectreVerilog psp partition variables
spectreVerilog.psp
enable
toggle
(nil)
spectreVerilog.psp
sweeptype string
"default"
spectreVerilog.psp
rangeType string
"Start-Stop"
spectreVerilog.psp
sweepChange boolean
nil
spectreVerilog.psp
start string
""
spectreVerilog.psp
stop string
""
spectreVerilog.psp
center
string
""
spectreVerilog.psp
span string
""
spectreVerilog.psp
incrType
string
"Automatic"
spectreVerilog.psp
stepTypeLin string
"Step Size"
spectreVerilog.psp
stepTypeLog string
"Points Per Decade"
spectreVerilog.psp
step string
""
spectreVerilog.psp
lin
string
""
spectreVerilog.psp
dec
string
""
spectreVerilog.psp
log
string
""
spectreVerilog.psp
useDiscrete boolean
nil
spectreVerilog.psp
values
string
""
spectreVerilog.psp
portharmsvec
string
""
spectreVerilog.psp
ports string
""
spectreVerilog.psp
selectPorts boolean
t
spectreVerilog.psp
harmsvec
string
""
spectreVerilog.psp
freqRange string
""
spectreVerilog.psp
listPortHarm
string
""
spectreVerilog.psp
listPortName
string
""
spectreVerilog.psp
listPortNum string
""
spectreVerilog.psp
portChoiceList
string
""
spectreVerilog.psp
portChoiceListHeading string
""
spectreVerilog.psp
donoise
string
"yes"
spectreVerilog.psp
maxsideband string
""
;spectreVerilog pspOpts partition variables
spectreVerilog.pspOpts tolerance string
""
spectreVerilog.pspOpts gear_order string
""
spectreVerilog.pspOpts solver
string
""
spectreVerilog.pspOpts lnsolver
string
""
spectreVerilog.pspOpts oscsolver string
""
spectreVerilog.pspOpts annotate
string
"status"
spectreVerilog.pspOpts stats string
""
spectreVerilog.pspOpts freqaxis
string
""
spectreVerilog.pspOpts additionalParams string
""
;spectreVerilog qpss partition variables
spectreVerilog.qpss
enable
toggle
(nil)
spectreVerilog.qpss
engine
string
""
spectreVerilog.qpss
flexbalance string
""
spectreVerilog.qpss
FBHeadListBox
string
""
spectreVerilog.qpss
FBListBox string
""
spectreVerilog.qpss
FBNameEdit string
""
spectreVerilog.qpss
FBExprEdit string
""
spectreVerilog.qpss
FBValueEdit string
""
spectreVerilog.qpss
FBSrcidEdit string
""
spectreVerilog.qpss
FBHarmsEdit string
""
spectreVerilog.qpss
FBOversampleEdit string
""
spectreVerilog.qpss
FBTstabToneEdit string
"yes"
spectreVerilog.qpss
oversample string
""
spectreVerilog.qpss
paramListBox
string
""
spectreVerilog.qpss
fundListBox string
""
spectreVerilog.qpss
stimName
string
""
spectreVerilog.qpss
freqName
string
""
spectreVerilog.qpss
freqVal
string
""
spectreVerilog.qpss
signalLevel string
"Moderate"
spectreVerilog.qpss
srcId string
""
spectreVerilog.qpss
maxHarms
string
""
spectreVerilog.qpss
funds string
""
spectreVerilog.qpss
maxharms
string
""
spectreVerilog.qpss
evenodd
string
"auto"
spectreVerilog.qpss
harmlist
string
""
spectreVerilog.qpss
maximorder string
""
spectreVerilog.qpss
boundary
string
""
spectreVerilog.qpss
selectharm string
"diamond"
spectreVerilog.qpss
harmonicsCyc
string
"Default"
spectreVerilog.qpss
harmonics boolean
nil
spectreVerilog.qpss
selFreqFrom string
"0"
spectreVerilog.qpss
selFreqTo string
"1e12"
spectreVerilog.qpss
harmsAndFreqsTitle
string
""
spectreVerilog.qpss
harmsAndFreqs
string
""
spectreVerilog.qpss
errpreset string
""
spectreVerilog.qpss
tstab string
""
spectreVerilog.qpss
saveinit
string
""
spectreVerilog.qpss
sweepB
boolean
nil
spectreVerilog.qpss
sweep string
"Variable"
spectreVerilog.qpss
freqVar
string
"no"
spectreVerilog.qpss
designVar string
""
spectreVerilog.qpss
dev
string
""
spectreVerilog.qpss
deviceParam string
""
spectreVerilog.qpss
mod
string
""
spectreVerilog.qpss
modelParam string
""
spectreVerilog.qpss
param string
""
spectreVerilog.qpss
rangeType string
"Start-Stop"
spectreVerilog.qpss
sweepChange boolean
nil
spectreVerilog.qpss
start string
""
spectreVerilog.qpss
stop string
""
spectreVerilog.qpss
center
string
""
spectreVerilog.qpss
span string
""
spectreVerilog.qpss
incrType
string
"Linear"
spectreVerilog.qpss
stepTypeLin string
"Step Size"
spectreVerilog.qpss
stepTypeLog string
"Points Per Decade"
spectreVerilog.qpss
sweepStep string
""
spectreVerilog.qpss
lin
string
""
spectreVerilog.qpss
dec
string
""
spectreVerilog.qpss
log
string
""
spectreVerilog.qpss
useDiscrete boolean
nil
spectreVerilog.qpss
values
string
""
;spectreVerilog qpssOpts partition variables
spectreVerilog.qpssOpts
step string
""
spectreVerilog.qpssOpts
maxacfreq string
""
spectreVerilog.qpssOpts
maxstep
string
""
spectreVerilog.qpssOpts
ic
string
""
spectreVerilog.qpssOpts
skipdc
string
""
spectreVerilog.qpssOpts
readic
string
""
spectreVerilog.qpssOpts
readns
string
""
spectreVerilog.qpssOpts
cmin string
""
spectreVerilog.qpssOpts
stabcycles string
""
spectreVerilog.qpssOpts
write string
""
spectreVerilog.qpssOpts
writefinal string
""
spectreVerilog.qpssOpts
swapfile
string
""
spectreVerilog.qpssOpts
writeqpss string
""
spectreVerilog.qpssOpts
readqpss
string
""
spectreVerilog.qpssOpts
saveclock string
""
spectreVerilog.qpssOpts
saveperiod string
""
spectreVerilog.qpssOpts
savetime
string
""
spectreVerilog.qpssOpts
savefile
string
""
spectreVerilog.qpssOpts
recover
string
""
spectreVerilog.qpssOpts
method
string
""
spectreVerilog.qpssOpts
relref
string
""
spectreVerilog.qpssOpts
lteratio
string
""
spectreVerilog.qpssOpts
steadyratio string
""
spectreVerilog.qpssOpts
itres string
""
spectreVerilog.qpssOpts
lnsolver
string
""
spectreVerilog.qpssOpts
inexactNewton
string
""
spectreVerilog.qpssOpts
maxperiods string
""
spectreVerilog.qpssOpts
stats string
""
spectreVerilog.qpssOpts
annotate
string
"status"
spectreVerilog.qpssOpts
save string
""
spectreVerilog.qpssOpts
nestlvl
string
""
spectreVerilog.qpssOpts
oppoint
string
""
spectreVerilog.qpssOpts
skipstart string
""
spectreVerilog.qpssOpts
skipstop
string
""
spectreVerilog.qpssOpts
skipcount string
""
spectreVerilog.qpssOpts
strobeperiod
string
""
spectreVerilog.qpssOpts
strobedelay string
""
spectreVerilog.qpssOpts
compression string
""
spectreVerilog.qpssOpts
maxiters
string
""
spectreVerilog.qpssOpts
restart
string
""
spectreVerilog.qpssOpts
tstart
string
""
spectreVerilog.qpssOpts
freqdivide string
""
spectreVerilog.qpssOpts
additionalParams string
""
;spectreVerilog qpac partition variables
spectreVerilog.qpac
enable
toggle
(nil)
spectreVerilog.qpac
sweeptype string
"default"
spectreVerilog.qpac
relharmvec string
""
spectreVerilog.qpac
rangeType string
"Start-Stop"
spectreVerilog.qpac
sweepChange boolean
nil
spectreVerilog.qpac
start string
""
spectreVerilog.qpac
stop string
""
spectreVerilog.qpac
center
string
""
spectreVerilog.qpac
span string
""
spectreVerilog.qpac
incrType
string
"Automatic"
spectreVerilog.qpac
stepTypeLin string
"Step Size"
spectreVerilog.qpac
stepTypeLog string
"Points Per Decade"
spectreVerilog.qpac
step string
""
spectreVerilog.qpac
lin
string
""
spectreVerilog.qpac
dec
string
""
spectreVerilog.qpac
log
string
""
spectreVerilog.qpac
useDiscrete boolean
nil
spectreVerilog.qpac
values
string
""
spectreVerilog.qpac
sbsm string
"Maximum clock order"
spectreVerilog.qpac
clockmaxharm
string
""
spectreVerilog.qpac
selFreqFrom string
"0"
spectreVerilog.qpac
selFreqTo string
"1e12"
spectreVerilog.qpac
order string
"1"
spectreVerilog.qpac
harmsAndFreqsTitle
string
""
spectreVerilog.qpac
harmsAndFreqsTitle2
string
""
spectreVerilog.qpac
harmsAndFreqs
string
""
spectreVerilog.qpac
arrayCoeffs string
""
spectreVerilog.qpac
harmCoeffs string
""
spectreVerilog.qpac
harmListMem string
""
spectreVerilog.qpac
sidevec
string
""
;spectreVerilog qpacOpts partition variables
spectreVerilog.qpacOpts
tolerance string
""
spectreVerilog.qpacOpts
gear_order string
""
spectreVerilog.qpacOpts
solver
string
""
spectreVerilog.qpacOpts
lnsolver
string
""
spectreVerilog.qpacOpts
stats string
""
spectreVerilog.qpacOpts
annotate
string
"status"
spectreVerilog.qpacOpts
freqaxis
string
""
spectreVerilog.qpacOpts
save string
""
spectreVerilog.qpacOpts
nestlvl
string
""
spectreVerilog.qpacOpts
additionalParams string
""
;spectreVerilog qpnoise partition variables
spectreVerilog.qpnoise enable
toggle
(nil)
spectreVerilog.qpnoise sweeptype string
"default"
spectreVerilog.qpnoise relharmvec string
""
spectreVerilog.qpnoise rangeType string
"Start-Stop"
spectreVerilog.qpnoise sweepChange boolean
nil
spectreVerilog.qpnoise start string
""
spectreVerilog.qpnoise stop string
""
spectreVerilog.qpnoise center
string
""
spectreVerilog.qpnoise span string
""
spectreVerilog.qpnoise incrType
string
"Automatic"
spectreVerilog.qpnoise stepTypeLin string
"Step Size"
spectreVerilog.qpnoise stepTypeLog string
"Points Per Decade"
spectreVerilog.qpnoise step string
""
spectreVerilog.qpnoise lin
string
""
spectreVerilog.qpnoise dec
string
""
spectreVerilog.qpnoise log
string
""
spectreVerilog.qpnoise useDiscrete boolean
nil
spectreVerilog.qpnoise values
string
""
spectreVerilog.qpnoise sbsm string
"Maximum clock order"
spectreVerilog.qpnoise clockmaxharm
string
""
spectreVerilog.qpnoise selFreqFrom string
"0"
spectreVerilog.qpnoise selFreqTo string
"1e12"
spectreVerilog.qpnoise order string
"1"
spectreVerilog.qpnoise harmsAndFreqsTitle
string
""
spectreVerilog.qpnoise harmsAndFreqsTitle2
string
""
spectreVerilog.qpnoise harmsAndFreqs
string
""
spectreVerilog.qpnoise arrayCoeffs string
""
spectreVerilog.qpnoise harmCoeffs string
""
spectreVerilog.qpnoise harmListMem string
""
spectreVerilog.qpnoise sidevec
string
""
spectreVerilog.qpnoise outType
string
"probe"
spectreVerilog.qpnoise p
string
""
spectreVerilog.qpnoise n
string
""
spectreVerilog.qpnoise oprobe
string
""
spectreVerilog.qpnoise inType
string
"port"
spectreVerilog.qpnoise inVsrc
string
""
spectreVerilog.qpnoise inIsrc
string
""
spectreVerilog.qpnoise iprobe
string
""
spectreVerilog.qpnoise inPort
string
""
spectreVerilog.qpnoise refsbSelect string
"Enter in field"
spectreVerilog.qpnoise refSidebandHint string
""
spectreVerilog.qpnoise refsideband string
""
spectreVerilog.qpnoise refsbFreqFrom
string
"0"
spectreVerilog.qpnoise refsbFreqTo string
"1e12"
spectreVerilog.qpnoise refsbOrder string
"1"
spectreVerilog.qpnoise refsbTitle string
""
spectreVerilog.qpnoise refsbListbox
string
""
spectreVerilog.qpnoise separatenoise
string
""
;spectreVerilog qpnoiseOpts partition variables
spectreVerilog.qpnoiseOpts
tolerance string
""
spectreVerilog.qpnoiseOpts
gear_order string
""
spectreVerilog.qpnoiseOpts
solver
string
""
spectreVerilog.qpnoiseOpts
lnsolver
string
""
spectreVerilog.qpnoiseOpts
stats string
""
spectreVerilog.qpnoiseOpts
annotate
string
"status"
spectreVerilog.qpnoiseOpts
save string
""
spectreVerilog.qpnoiseOpts
nestlvl
string
""
spectreVerilog.qpnoiseOpts
saveallsidebands string
""
spectreVerilog.qpnoiseOpts
additionalParams string
""
;spectreVerilog qpxf partition variables
spectreVerilog.qpxf
enable
toggle
(nil)
spectreVerilog.qpxf
sweeptype string
"default"
spectreVerilog.qpxf
relharmvec string
""
spectreVerilog.qpxf
rangeType string
"Start-Stop"
spectreVerilog.qpxf
sweepChange boolean
nil
spectreVerilog.qpxf
start string
""
spectreVerilog.qpxf
stop string
""
spectreVerilog.qpxf
center
string
""
spectreVerilog.qpxf
span string
""
spectreVerilog.qpxf
incrType
string
"Automatic"
spectreVerilog.qpxf
stepTypeLin string
"Step Size"
spectreVerilog.qpxf
stepTypeLog string
"Points Per Decade"
spectreVerilog.qpxf
step string
""
spectreVerilog.qpxf
lin
string
""
spectreVerilog.qpxf
dec
string
""
spectreVerilog.qpxf
log
string
""
spectreVerilog.qpxf
useDiscrete boolean
nil
spectreVerilog.qpxf
values
string
""
spectreVerilog.qpxf
sbsm string
"Maximum clock order"
spectreVerilog.qpxf
clockmaxharm
string
""
spectreVerilog.qpxf
selFreqFrom string
"0"
spectreVerilog.qpxf
selFreqTo string
"1e12"
spectreVerilog.qpxf
order string
"1"
spectreVerilog.qpxf
harmsAndFreqsTitle
string
""
spectreVerilog.qpxf
harmsAndFreqsTitle2
string
""
spectreVerilog.qpxf
harmsAndFreqs
string
""
spectreVerilog.qpxf
arrayCoeffs string
""
spectreVerilog.qpxf
harmCoeffs string
""
spectreVerilog.qpxf
harmListMem string
""
spectreVerilog.qpxf
sidevec
string
""
spectreVerilog.qpxf
outType
string
"voltage"
spectreVerilog.qpxf
p
string
""
spectreVerilog.qpxf
n
string
""
spectreVerilog.qpxf
probe string
""
;spectreVerilog qpxfOpts partition variables
spectreVerilog.qpxfOpts
tolerance string
""
spectreVerilog.qpxfOpts
gear_order string
""
spectreVerilog.qpxfOpts
solver
string
""
spectreVerilog.qpxfOpts
lnsolver
string
""
spectreVerilog.qpxfOpts
stats string
""
spectreVerilog.qpxfOpts
annotate
string
"status"
spectreVerilog.qpxfOpts
stimuli
string
""
spectreVerilog.qpxfOpts
freqaxis
string
""
spectreVerilog.qpxfOpts
save string
""
spectreVerilog.qpxfOpts
nestlvl
string
""
spectreVerilog.qpxfOpts
additionalParams string
""
;spectreVerilog qpsp partition variables
spectreVerilog.qpsp
enable
toggle
(nil)
spectreVerilog.qpsp
sweeptype string
"default"
spectreVerilog.qpsp
rangeType string
"Start-Stop"
spectreVerilog.qpsp
sweepChange boolean
nil
spectreVerilog.qpsp
start string
""
spectreVerilog.qpsp
stop string
""
spectreVerilog.qpsp
center
string
""
spectreVerilog.qpsp
span string
""
spectreVerilog.qpsp
incrType
string
"Automatic"
spectreVerilog.qpsp
stepTypeLin string
"Step Size"
spectreVerilog.qpsp
stepTypeLog string
"Points Per Decade"
spectreVerilog.qpsp
step string
""
spectreVerilog.qpsp
lin
string
""
spectreVerilog.qpsp
dec
string
""
spectreVerilog.qpsp
log
string
""
spectreVerilog.qpsp
useDiscrete boolean
nil
spectreVerilog.qpsp
values
string
""
spectreVerilog.qpsp
portharmsvec
string
""
spectreVerilog.qpsp
ports string
""
spectreVerilog.qpsp
selectPorts boolean
t
spectreVerilog.qpsp
harmsvec
string
""
spectreVerilog.qpsp
freqRange string
""
spectreVerilog.qpsp
listPortHarm
string
""
spectreVerilog.qpsp
listPortName
string
""
spectreVerilog.qpsp
listPortNum string
""
spectreVerilog.qpsp
portChoiceList
string
""
spectreVerilog.qpsp
portChoiceListHeading string
""
spectreVerilog.qpsp
donoise
string
"yes"
spectreVerilog.qpsp
clockmaxharm
string
""
;spectreVerilog qpspOpts partition variables
spectreVerilog.qpspOpts
tolerance string
""
spectreVerilog.qpspOpts
gear_order string
""
spectreVerilog.qpspOpts
solver
string
""
spectreVerilog.qpspOpts
lnsolver
string
""
spectreVerilog.qpspOpts
annotate
string
"status"
spectreVerilog.qpspOpts
stats string
""
spectreVerilog.qpspOpts
freqaxis
string
""
spectreVerilog.qpspOpts
additionalParams string
""
;spectreVerilog sens partition variables
spectreVerilog.sens
enable
toggle
(nil)
spectreVerilog.sens
sensType
toggle
(nil nil nil)
spectreVerilog.sens
analyses_list
string
""
spectreVerilog.sens
outputs
string
""
spectreVerilog.sens
output_list string
""
spectreVerilog.sens
net
string
""
spectreVerilog.sens
term string
""
;spectreVerilog sensOpts partition variables
spectreVerilog.sensOpts
additionalParams string
""
;spice3 environment variables
;This file was generated by `asiCreateEnvFile()'
;FORMAT: tool.partition name type value private {choices, minmax} comment
;spice3 outputs partition variables
spice3.outputs
allAnalogNV boolean
t
spice3.outputs
allAnalogTC boolean
nil
;spice3 envOpts partition variables
spice3.envOpts
stopViewList
string
"spice3"
spice3.envOpts
printComments
boolean
nil
spice3.envOpts
autoDisplay boolean
t
spice3.envOpts
useAltergroup
boolean
nil
spice3.envOpts
switchViewList
string
"spice3 cmos_sch cmos.sch
schematic veriloga ahdl"
;spice3 init partition variables
;spice3 opts partition variables
spice3.opts ITL5 string
"5000"
spice3.opts ITL4 string
"10"
spice3.opts DEFAD string
"0.0"
spice3.opts RELTOL
string
"1e-3"
spice3.opts METHOD
string
""
spice3.opts DEFAS string
"0.0"
spice3.opts CHGTOL
string
"1e-14"
spice3.opts GMIN string
"1e-12"
spice3.opts DEFW string
"100.0"
spice3.opts TEMP string
"27"
spice3.opts PIVREL
string
"1e-3"
spice3.opts TRTOL string
"7.0"
spice3.opts VNTOL string
"1e-6"
spice3.opts DEFL string
"100.0"
spice3.opts ITL3 string
"4"
spice3.opts ITL2 string
"50"
spice3.opts ITL1 string
"100"
spice3.opts TNOM string
"27"
spice3.opts PIVTOL
string
"1e-13"
spice3.opts ABSTOL
string
"1e-12"
;spice3 dc partition variables
spice3.dc enable
toggle
(nil)
spice3.dc vstart
string
""
spice3.dc vstop string
""
spice3.dc vincr string
""
spice3.dc srcnam
string
""
;spice3 dcOpts partition variables
;spice3 ac partition variables
spice3.ac enable
toggle
(nil)
spice3.ac fstart
string
""
spice3.ac fstop string
""
spice3.ac incrType
string
"DEC"
spice3.ac log
string
""
spice3.ac lin
string
""
;spice3 acOpts partition variables
;spice3 tran partition variables
spice3.tran enable
toggle
(nil)
spice3.tran tstart
string
""
spice3.tran tstop
spice3.tran tstep
spice3.tran tmax
;spice3 tranOpts
string
""
string
""
string
""
partition variables
;SCA Extraction Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
subx subRunDir string
"./SCA"
subx subProcFile string
"./sample.proc"
subx subModelName
string
"substrate"
subx subAccuLevel
string
"medium"
subx subFreq
string
"1e9"
subx subSync
boolean
t
subx subNetexpDefault string
"scagnd!"
subx subNetexpProp
string
""
subx subMethod string
"full"
;User Preference Defaults
ui
winPlaceStyle
boolean
nil
ui
setWinTraversal boolean
nil
ui
showScrollBars
boolean
nil
ui
showPromptLines boolean
t
ui
showStatusLines boolean
t
ui
showFixedMenu
cyclic
"On Left-Side
"
ui
showFixedMenuLabels
boolean
t
ui
infix boolean
nil
ui
showOptionForms boolean
nil
ui
undoLevel int
1
ui
nestLimit int
5
ui
dblClkTime int
200
ui
beepVolume int
0
ui
textFont
string
"-*-courier-medium-r-*-*-12-*-*-*-*-*iso8859-1"
ui
formButtonLocation
cyclic
"Top
"
;Log Filter Defaults
ui
menuCmdInput
boolean
nil
ui
promptInput boolean
nil
ui
userOutput boolean
t
ui
menuCmdOutput
boolean
nil
ui
progResultsOutput boolean
t
ui
errorMsg
boolean
t
ui
warningMsg boolean
t
ui
dragDisplayHint string
"normal"
;Digital Ciruit Design Flow Environment Defaults
;FORMAT: tool[.partition] varName type value private {choices, minmax}
comment
;Session Options
usim _usimNoDirectNetlister boolean
nil
usim _usimDefaultFileDir
string
""
vhdl
vhdl
Cosim9404 boolean
analyzeMode cyclic
nil
"on"
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
batchScriptFile string
""
batchSim
cyclic
"interactive"
checkSchematicFiles
boolean
t
compareSimDB
string
"simcmp.log"
createVHDLDir
boolean
t
currentSimDB
string
""
dataLocation
string
""
defAttributes
string
""
defBodyViewName string
"body"
defConfigViewName string
"configuration"
defDataDirectory string
"vhdllib"
defEntityViewName string
"entity"
defGenerics string
""
defGlobals string
"((\"vdd!\" \"'1'\")(\"gnd!\" \"'0'\"))"
defPackageNames string
"ieee.std_logic_1164.all"
defPackageViewName
string
"package"
defScalarType
string
"std_ulogic"
defSignals string
""
defVectorType
string
"std_ulogic_vector"
defViewName string
"vhdl"
deleteVHDLDir
boolean
nil
displaySimCmpResults
boolean
t
elaborateMode
cyclic
"on"
exportCatFiles
boolean
t
exportDirectory string
""
exportLibNameExt string
".exp"
exportNetlist
cyclic
"off"
generateComponentConfig
boolean
nil
goldenSimDB string
""
ignoreWarnings
boolean
nil
importCaseSensitivity boolean
t
importCompatibilityOpt boolean
nil
importCompileAfterImport
boolean
nil
importCompilerOptions string
""
importComponentDensity int
0
importConfig
boolean
nil
importExtractSchematics
boolean
t
importFileFilter string
"*.vhd"
importFontHeight float 0.0625
importFullPlaceRoute
boolean
t
importGenSymbols boolean
nil
importGroundLiterals
string
"'0'"
importGroundNetName
string
"gnd!"
importGroundType string
"std_ulogic"
importIgnoreContassFunc
boolean
nil
importIgnoreExtraPins boolean
nil
importLibName
string
""
importLineComponentSpacing
float 0.5
importLineLineSpacing float 0.2
importMaxNoCols int
1024
importMaxNoRows int
1024
importMinmizeCrossovers
boolean
t
importOptimizeLabels
boolean
t
importOverwriteExistingView boolean
t
vhdl importPinPlacement
cyclic
"Left and Right Sides"
vhdl importPinPlacementFile string
""
vhdl importPowerLiterals
string
"'1'"
vhdl importPowerNetName
string
"vdd!"
vhdl importPowerType string
"std_ulogic"
vhdl importReferenceLibraries
string
"basic US_8ths ieee std
sample"
vhdl importRunInBackground boolean
t
vhdl importSeparateUnits
boolean
t
vhdl importSheetBorderSize string
""
vhdl importSquareSchematics boolean
t
vhdl importStructuralViewType
cyclic
"schematic"
vhdl importSummaryFile string
"./vhdlin.summary"
vhdl importSymbolViewName
string
"symbol"
vhdl importWorkLibName string
""
vhdl importv93Opt
boolean
nil
vhdl invokeLaunchTool boolean
nil
vhdl invokeLeapfrogSystemWindow
boolean
nil
vhdl invokeNCTools
boolean
t
vhdl libCellViewCaseSensitive
boolean
t
vhdl maxErrors int
10
vhdl netConfig string
"config1"
vhdl netHierSpec cyclic
"Simple"
vhdl netIgnoreUnboundCells boolean
nil
vhdl netMode
cyclic
"incremental"
vhdl netScope
cyclic
"hierarchy"
vhdl overwriteEntity boolean
nil
vhdl portMapMode cyclic
"named association"
vhdl printCommand
string
"lpr"
vhdl rangeDir
cyclic
"default"
vhdl remoteFileAccess cyclic
"Automount"
vhdl remoteHostName
string
"localhost"
vhdl remoteSim cyclic
"locally"
vhdl runCheckBeforeSim boolean
t
vhdl schHdlParseUsingNcvhdl boolean
t
vhdl simCompareLength int
100
vhdl simCompareOffset int
0
vhdl simModel
string
"SIM"
vhdl simTimeUnits
cyclic
"ns"
vhdl simTolerance
int
0
vhdl stopLibList string
"basic sample ieee"
vhdl stopViewList
string
"symbol"
vhdl switchViewList
string
"stimulus schematic structure dataflow
behavior symbol"
vhdl synergySourceLibrary
string
""
vhdl synergySymbolLibraries string
"basic US_8ths"
vhdl testBenchCellName string
"test"
vhdl testBenchLibName string
""
vhdl testBenchStimulusFile string
""
vhdl testBenchViewName string
"stimulus"
vhdl testModeOn boolean
nil
vhdl topCellName string
""
vhdl topLevelInstanceName
string
"dut"
vhdl topLibraryName
string
""
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
vhdl
topVersion string
""
topViewName string
"schematic"
translateMode
cyclic
"library"
translateTypes
toggle
(t t t)
useUserLibraries boolean
nil
verilogNetlistOpts
string
""
verilogRunDir
string
""
verilogSimOpts
string
"-q"
vhdlIdentCaseSensitive boolean
t
whichVLibsFile
cyclic
"user"
workCellName
string
""
workLibraryName string
""
workVersion string
""
workViewName
string
"schematic"
vhdlams
Cosim9404 boolean
nil
vhdlams
analyzeMode cyclic
"on"
vhdlams
batchScriptFile string
""
vhdlams
batchSim
cyclic
"interactive"
vhdlams
compareSimDB
string
"simcmp.log"
vhdlams
createVHDLDir
boolean
t
vhdlams
currentSimDB
string
""
vhdlams
dataLocation
string
""
vhdlams
defAttributes
string
""
vhdlams
defBodyViewName string
"body"
vhdlams
defConfigViewName string
"configuration"
vhdlams
defDataDirectory string
"vhdllib"
vhdlams
defEntityViewName string
"entity"
vhdlams
defGenerics string
""
vhdlams
defGlobals string
"((\"vdd!\" \"'1'\")(\"gnd!\"
\"'0'\"))"
vhdlams
defPackageNames string
"ieee.std_logic_1164.all
ieee.electrical_systems.all"
vhdlams
defPackageViewName
string
"package"
vhdlams
defScalarType
string
"electrical"
vhdlams
defVectorType
string
"std_ulogic_vector"
vhdlams
defScalarDomain string
"terminal"
vhdlams
defVectorDomain string
"signal"
vhdlams
defViewName string
"vhdl"
vhdlams
deleteVHDLDir
boolean
nil
vhdlams
displaySimCmpResults
boolean
t
vhdlams
elaborateMode
cyclic
"on"
vhdlams
exportCatFiles
boolean
t
vhdlams
exportDirectory string
""
vhdlams
exportLibNameExt string
".exp"
vhdlams
exportNetlist
cyclic
"off"
vhdlams
generateComponentConfig
boolean
nil
vhdlams
goldenSimDB string
""
vhdlams
ignoreWarnings
boolean
nil
vhdlams
importCaseSensitivity boolean
t
vhdlams
importCompatibilityOpt boolean
nil
vhdlams
importCompileAfterImport
boolean
nil
vhdlams
importCompilerOptions string
""
vhdlams
importComponentDensity int
0
vhdlams
importConfig
boolean
nil
vhdlams
importFileFilter string
"*.vhd"
vhdlams
importFontHeight float 0.0625
vhdlams
importFullPlaceRoute
boolean
t
vhdlams
importGenSymbols boolean
nil
vhdlams
importGroundLiterals
string
"'0'"
vhdlams
importGroundNetName
string
"gnd!"
vhdlams
importGroundType string
"std_ulogic"
vhdlams
importIgnoreContassFunc
boolean
nil
vhdlams
importIgnoreExtraPins boolean
nil
vhdlams
importLibName
string
""
vhdlams
importLineComponentSpacing
float 0.5
vhdlams
importLineLineSpacing float 0.2
vhdlams
importMaxNoCols int
1024
vhdlams
importMaxNoRows int
1024
vhdlams
importMinmizeCrossovers
boolean
t
vhdlams
importOptimizeLabels
boolean
t
vhdlams
importOverwriteExistingView boolean
t
vhdlams
importPinPlacement
cyclic
"Left and Right Sides"
vhdlams
importPinPlacementFile string
""
vhdlams
importPowerLiterals
string
"'1'"
vhdlams
importPowerNetName
string
"vdd!"
vhdlams
importPowerType string
"std_ulogic"
vhdlams
importReferenceLibraries
string
"basic US_8ths ieee
std sample"
vhdlams
importRunInBackground boolean
t
vhdlams
importSeparateUnits
boolean
t
vhdlams
importSheetBorderSize string
""
vhdlams
importSquareSchematics boolean
t
vhdlams
importStructuralViewType
cyclic
"schematic"
vhdlams
importSummaryFile string
"./vhdlin.summary"
vhdlams
importSymbolViewName
string
"symbol"
vhdlams
importWorkLibName string
""
vhdlams
importv93Opt
boolean
nil
vhdlams
invokeLaunchTool boolean
nil
vhdlams
invokeLeapfrogSystemWindow
boolean
nil
vhdlams
invokeNCTools
boolean
t
vhdlams
libCellViewCaseSensitive
boolean
t
vhdlams
maxErrors int
10
vhdlams
netConfig string
"config1"
vhdlams
netHierSpec cyclic
"Simple"
vhdlams
netIgnoreUnboundCells boolean
nil
vhdlams
netMode
cyclic
"incremental"
vhdlams
netScope
cyclic
"hierarchy"
vhdlams
overwriteEntity boolean
nil
vhdlams
portMapMode cyclic
"named association"
vhdlams
printCommand
string
"lpr"
vhdlams
rangeDir
cyclic
"default"
vhdlams
remoteFileAccess cyclic
"Automount"
vhdlams
remoteHostName
string
"localhost"
vhdlams
remoteSim cyclic
"locally"
vhdlams
runCheckBeforeSim boolean
t
vhdlams
schHdlParseUsingNcvhdl boolean
t
vhdlams
simCompareLength int
100
vhdlams
simCompareOffset int
0
vhdlams
simModel
string
"SIM"
vhdlams
simTimeUnits
cyclic
"ns"
vhdlams
simTolerance
int
0
vhdlams
stopLibList string
"basic sample ieee"
vhdlams
stopViewList
string
"symbol"
vhdlams
switchViewList
string
"stimulus schematic structure
dataflow behavior symbol"
vhdlams
synergySourceLibrary
string
""
vhdlams
synergySymbolLibraries string
"basic US_8ths"
vhdlams
testBenchCellName string
"test"
vhdlams
testBenchLibName string
""
vhdlams
testBenchStimulusFile string
""
vhdlams
testBenchViewName string
"stimulus"
vhdlams
testModeOn boolean
nil
vhdlams
topCellName string
""
vhdlams
topLevelInstanceName
string
"dut"
vhdlams
topLibraryName
string
""
vhdlams
topVersion string
""
vhdlams
topViewName string
"schematic"
vhdlams
translateMode
cyclic
"library"
vhdlams
translateTypes
toggle
(t t t)
vhdlams
verilogNetlistOpts
string
""
vhdlams
verilogRunDir
string
""
vhdlams
verilogSimOpts
string
"-q"
vhdlams
vhdlIdentCaseSensitive boolean
t
vhdlams
whichVLibsFile
cyclic
"user"
vhdlams
workCellName
string
""
vhdlams
workLibraryName string
""
vhdlams
workVersion string
""
vhdlams
workViewName
string
"schematic"
; $Revision: 1.1.2.22 $
; $Date: 2006/06/28 20:33:28 $
; $State: Exp $
;tool.partition.partion varName type defValue private range
;In this file, only use non-private, string values
wavescan.application
statefile string
"wavescan.xml"
wavescan.application
readstate string
"true"
wavescan.application
writestate string
"false"
wavescan.application
graphtemplatefile string
"none"
wavescan.application
exitdialog string
"true"
wavescan.application
envdirectory
string
"./"
wavescan.application
docdirectory
string
"./"
wavescan.application
readDefaultVarFileOnStartup string
"false"
wavescan.application
writeDefaultVarFileOnExit
string
"false"
wavescan.application
defaultVarFileName
string
".wsvariables"
wavescan.application
printer
string
""
wavescan.application
mixedsignalmode string
"false"
wavescan.application
productMode string
"L"
wavescan.application
useBold
string
"false"
wavescan.expressionHandler
ignoreTokenContext
string
"true"
wavescan.browser historyLength
string
"10"
wavescan.browser plotStyle string
"replace"
wavescan.browser dataDirHome string
"./"
;the filterType can be shell or regular
wavescan.filter textFilterType
string
"shell"
wavescan.graphFrame
width string
"570"
wavescan.graphFrame
height
string
"590"
wavescan.graphFrame
autoTraceSelect string
"true"
wavescan.graphFrame
rightMouseZoom
string
"true"
wavescan.graphFrame
selectBySweep
string
"false"
wavescan.rectGraph
background string
"white"
wavescan.rectGraph
foreground string
"black"
wavescan.rectGraph
cursorTableOn
string
"false"
wavescan.rectGraph
visibleStripChartRows string
"6"
wavescan.rectGraph
visibleDigitalRows
string
"4"
wavescan.circGraph
background string
"honeydew"
wavescan.circGraph
foreground string
"black"
wavescan.graph
defaultMixedSignalXMax string
"100.0e-9"
wavescan.graph
defaultMixedSignalXMin string
"0.0"
wavescan.graph
defaultMixedSignalYMax string
"5.0"
wavescan.graph
defaultMixedSignalYMin string
"0.0"
wavescan.graph
visibleLegendRows string
"3"
wavescan.graph
fileSuffix string
"grf"
wavescan.graph
subTitle
string
""
wavescan.graph
displayDate string
"true"
wavescan.graph
defaultSubtitle string
"true"
wavescan.graph
cursorOn
string
"false"
wavescan.graph
majGridsOn string
"true"
wavescan.graph
minGridsOn string
"true"
wavescan.graph
majorForeground string
"gray"
wavescan.graph
minorForeground string
"lightGray"
wavescan.graph
snapOn
string
"snapOff"
wavescan.graph
fontName
string
"Dialog"
wavescan.graph
fontStyle string
"plain"
wavescan.graph
fontSize
string
"medium"
wavescan.graph
stripChartOn
string
"false"
wavescan.graph
extendedLegendsOn string
"false"
wavescan.graph
legendBackgroundOn
string
"true"
wavescan.graph
hiliteColor string
"green"
wavescan.depAxis logScale
string
"false"
wavescan.depAxis forceOrigin string
"false"
wavescan.indepAxis
logScale
string
"false"
wavescan.indepAxis
forceOrigin string
"false"
wavescan.axis
foreground string
"black"
wavescan.axis
significantDigits string
"3"
wavescan.circularScale polarForeground string
"darkgray"
wavescan.circularScale impedForeground string
"darkgray"
wavescan.circularScale admittForeground string
"darkgray"
wavescan.circularScale polarMinorForeground
string
"gray"
wavescan.circularScale impedMinorForeground
string
"gray"
wavescan.circularScale admittMinorForeground string
"gray"
wavescan.circularScale significantDigits string
"2"
wavescan.circularScale cursorScale string
"realimag"
wavescan.circularScale minorGridsOn
string
"true"
wavescan.trace
type string
"line"
wavescan.trace
lineThickness
string
"fine"
wavescan.trace
lineStyle string
"solid"
wavescan.trace
symbolsOn string
"false"
wavescan.trace
symbolStyle string
"plus"
wavescan.trace
symbolCount string
"20"
wavescan.trace
dToAHiVoltage
string
"5.0"
wavescan.trace
dToALoVoltage
string
"0.0"
wavescan.trace
dToAXVoltage
string
"(vhi + vlo)/2"
wavescan.trace
dToAUnit
string
"V"
wavescan.trace
modifier
string
"mag"
wavescan.marker foreground string
"black"
wavescan.marker arrowOn
string
"true"
wavescan.marker fontName
string
"Dialog"
wavescan.marker fontStyle string
"plain"
wavescan.marker fontSize
string
"medium"
wavescan.marker significantDigits string
"4"
wavescan.graphLabel
foreground string
"black"
wavescan.graphLabel
direction string
"east"
wavescan.graphLabel
significantDigits string
"4"
wavescan.calculator
rpnMode
string
"true"
wavescan.calculator
clipSelectionMode string
"true"
wavescan.calculator
signalselection string
"false"
wavescan.calculator
familyMode string
"false"
wavescan.calculator
defaultCategory string
"All"
wavescan.calculator
useSkill
string
"false"
wavescan.calculator
useAwdResultsDisplay
string
"false"
wavescan.calculator
reportVarErrors string
"false"
wavescan.calculator
stackSize string
"8"
wavescan.calculator
xLocation string
"600"
wavescan.calculator
yLocation string
"50"
wavescan.calculator
width string
"640"
wavescan.calculator
height
string
"330"
wavescan.calculator
significantDigits string
"4"
wavescan.calculator
notation
string
"suffix"
wavescan.tableFrame
width string
"570"
wavescan.tableFrame
height
string
"590"
wavescan.tableFrame
maxWaveformLength string
"1900"
wavescan.reportTable
fileSuffix string
"tbl"
wavescan.reportTable
stringColWidth
string
"10"
wavescan.reportTable
cellPadding string
"5"
wavescan.reportTable
maxColumnWidth
string
"200"
wavescan.reportTable
notation
string
"scientific"
wavescan.reportTable
location
string
"cell"
wavescan.reportTable
significantDigits string
"4"