記錄 編號 10399 狀態 G0496505153 助教 查核 建檔完成 索書 號

advertisement
記錄
編號
10399
狀態
G0496505153
助教
查核
建檔完成
索書
號
查核完成
學校
名稱
輔仁大學
系所
名稱
電子工程學系
舊系
所名
稱
學號
496505153
研究
吳信宏
生(中)
研究
Shin Hung Wu
生(英)
論文
名稱
(中)
可即時偵測錯誤之乘法器之設計與實現
論文
名稱
(英)
On-line Detection of Faults in Multipliers
其他
題名
指導
教授
(中)
林寬仁 呂學坤
指導
教授
(英)
Kuan-Jen Lin Shyue-Kung Lu
校內
全文
開放
日期
2012.7.16
校外
全文
2012.7.16
開放
日期
全文
不開
放理
由
電子
全文
送交
國圖.
同意
國圖
全文
開放
日期.
2012.7.16
檔案
說明
論文全文
電子
全文
01
學位
類別
碩士
畢業
學年
度
98
出版
年
99
語文
別
中文
關鍵
加法器 乘法器 TSC 檢查器
字(中)
關鍵
On-line fault detection Carry-select adder Multiplier TSC
字(英)
摘要
(中)
本研究主要探討在乘法器架構上加入即時偵測錯誤之機制,透過這個方
式的運用便能夠即時的檢測到錯誤之發生,避免得到錯誤的運算結果,
提高乘法器電路之可靠度。我們的研究所使用的乘法器架構係由進位選
擇加法器 (Carry-select adder) 所組成,因為其具有較短的延遲時間以及不
同進位的加法結果,此特性可拿來搭配一個內建兩線輸出的檢查器 (2rail checker) 以及一些反多工器來做選擇使用,透過組合邏輯之計算就能
分辨出此運算結果是否正確。但是這個做法並不能保證所有的錯誤點都
能被涵蓋,仍有部分節點的錯誤是無法被即時偵測的,因為此設計主要
是以加法之結果來做為判斷依據,如果在輸入位元就受到錯誤影響的
話,就不能保證能被偵測到。除此之外,經我們分析與確認後,其它內
部故障點都能有效被偵測出來。而透過 Cell-based 設計流程的使用,我
們實現了一具即時錯誤偵測機制之 16 位元乘法器,額外的硬體成本為
33.23%,操作頻率為 100 MHz。
摘要
(英)
論文
目次
參考
文獻
In this paper, we present an efficient scheme to implement on-line fault detection
circuits for multipliers. The multiplier is composed of carry-select adders
(CSA’s). Carry-select adder is one of the faster types of adders. The proposed
detection structure uses a scheme that encodes the sum bits using two-rail codes.
The encoded sum bits are then checked by self-checking checkers (2-rail
checker). The multiplexers used in the adder are also totally self-checking. The
proposed scheme is illustrated with the implementation of a 16-bit multiplier that
can detect all single stuck-at faults concurrently. However, the detection of double
faults is not guaranteed. The extra area overhead is 33.23% and the maximum
operational frequency is 100 MHz。
摘要 i 英文摘要 ii 目 錄 iv 表目錄 v 圖目錄 vi 第一章 導 論 1 1.1 研究動
機 3 1.2 研究目的 4 1.3 論文架構 5 第二章 乘法器架構簡介 6 2.1 串並式
乘法器 7 2.2 陣列式乘法器 9 第三章 即時偵測技術 11 3.1 偵測架構說明
11 3.2 加法器的架構及技術 13 3.3 即時偵測技術 15 第四章 乘法器的即時
偵測架構 23 4.1 乘法器的即時偵測作法 23 4.2 乘法器的即時偵測實例說
明 27 第五章 實驗結果 31 5.1 晶片設計流程 31 5.2 模擬結果 34 5.3 晶片
實作結果 38 第六章 結論 46 未來研究 47 參考文獻 48
[1] N. Weste and D. Harries, CMOS VLSI Design Reading, MA: Addison
Wesley, 2004. [2] Semiconductor Industry Assoc., The 2001 National Technology
Roadmap for Semiconductors, San Jose, CA, 2001. [3] Z. Chen and I. Koren,
“Techniques for Yield Enhancement of VLSI Adders,” in Proc. Int. Conf.
Appl. Specific Array Processors, Strasbourg, France, pp. 222-229, Jul. 1995. [4]
W. W. Peterson, “On Checking an Adder,” IBM J. Res. Develop, vol. 2, pp.
166-168, Apr. 1958. [5] P. K. Lala and A. Walker, “On-line Error Detectable
Carry-free Adder Design,” in Proc. IEEE Int. Symp. Defect Fault Toler. VLSI
System, San Francisco, CA, pp. 66-71, Oct. 2001. [6] D. P. Vasudevan, P. K. Lala
and J. P. Parkerson “Self-checking Carry-select Adder Design Based on TwoRail Encoding,” IEEE Trans. Circuits and Systems - I, vol. 54, no. 12, pp. 26962705, Dec. 2007. [7] B. K. Kumar, P. K. Lala, “On-Line Detection of Faults in
Carry-Select Adders,” in Proc. International Test Conference, pp. 912-918,
2003. [8] J. E. Smith and G. Metze, “Strongly Fault Secure Logic Networks,”
IEEE Trans. Computers, vol. C-27, no. 6, pp. 491-499, June 1978. [9] D. A.
Anderson and G. Metze, “Design of Totally Self Checking Check Circuits for
m-out-of-n Codes,” IEEE Trans.Computers, vol. C-22, no. 3, pp. 263-269, Mar.
1973. [10] G. G. Langdon and C. K. Tang, “Concurrent Error Detection for
Group Look-ahead Binary Adders,” IBM J. Res. Develop., vol. 14, no. 5, pp.
563-573, Sep. 1970. [11] T. H. Chen and Y. P. Lee and L. G. Chen, “Concurrent
Error Detection in Array Multipliers by BIDO,” IEE Proc. Computers and
Digital Techniques, vol. 142, no. 6, pp. 425-430, June 1995. [12] M. Yilamaz, D.
R. Hower, S. Ozev, D. J. Sorin, “Self-Checking and Self-Diagnosing 32-bit
Microprocessor Multiplier,” in Proc. International Test Conference, pp. 1-10,
2006. [13] M. Nicolaidis and H. Bederr “Efficent Implementations of Selfchecking Multiply and Divide Arrays,” in Proc. ETC European Test Conference.
pp. 574-579, 1994. [14] M. Nicolaidis “Efficient Implementations of SelfChecking Adders and ALU’s,” in Proc. 23rd Annual Int’l Symp. on FaultTolerant Computing, pp. 586-595, June 1993. [15] V. A. Paschalis, D.
Gizopoulos, N. Kranitis, C. Halatsis, “A Concurrent Built-In Self Test
Architecture Based on a Self-Testing RAM,” IEEE Trans. Reliability, vol. 54,
pp. 69-78, Mar. 2005. [16] M. Nicolaidis, “Carry Checking/Parity Prediction
Adders and ALUs,” IEEE Trans. Very Large Scale Integration Systems, vol. 11,
no. 1, pp. 121-128, Feb. 2003. [17] F. W. Shih and Y. Heights, “High
Performance Self-Checking Adder for VLSI Processor,” in Proc. Custom
Integrated Circuits Conference, pp. 1571-1573, May 1991. [18] F. F. Sellers,
M.Y. Hsiao and L. W. Bearnson, Error Detecting Logic for Digital Computers,
Mc Graw-Hill, 1968. [19] P. K. Lala, Self-checking and Fault Tolerant Digital
Design, Morgan Kaufmann, 2001. [20] S. Sbab, A. J. A. Kbalili, D. AI-Kbalili,
“Comparison of 32-bit Multipliers for Various Performance Measure,” in Proc.
12th International Conference on Microelectronics, Tehran, pp. 75-80, Oct. 2000.
[21] H. H. Yao, E. E. Swartzlander, “Serial-Parallel Multipliers,” in Proc. 27th
Asilomar Conference on Signals, Systems and Computers, vol.1, pp. 359-363,
1993. [22] K. Z. Pekmestzi, “Multiplexer-Based Array Multipliers,” IEEE
Trans. Computers, vol. 48, no. 1, pp. 15-23, Jan. 1999. [23] L. D. Van, S. S.
Wang, T. C. Shing, W. S. Feng, and B. S. Jeng, “Design of a Lower-error
Fixed-width Multiplier for Speech Processing Application,” in Proc. IEEE
Int’l Conf. on Circuits and Systems, vol. 3, pp. 130-133, 1999. [24] W. C.
Carter and P. R. Schneider, “Design of Dynamically Checked computers,” in
Proc. IFIP-68, pp. 878-883, Aug. 1968. [25] J. A. Abraham and W. K. Fuchs,
“Fault and Error models for VLSI,” Proceedings of IEEE, vol. 74, no. 5, pp.
639-654, 1986. [26] Israel Koren, Computer Arithmetic Algorithms, PrenticeHall, International Editions, New Jersey, 1993. [27] J. C. Lo , J. C. Daly and M.
Nicolaidis, “Design of Static CMOS Self-checking circuits using Built-in
Current sensing,” in Proc. 1992 Fault Tolerant Computing Symposium, pp. 104111, June 1992. [28] J. C. Lo, “Novel Area-time Efficient Static CMOS Totally
Self-checking comparator,” IEEE J. Solid-State Circuits, vol. 28, no. 2, pp. 165168, Feb. 1993. [29] J. H. Patel and L. Y. Fung, “Concurrent Error Detection in
by Recomputing with Shifted Operands,” IEEE Trans. Computers, vol. C-31,
no. 7, pp. 589-595, 1982. [30] L. G. Chan and T. H. Chan, “Computation with
Simultaneously Concurrent Error Detection using Bi-directional Operands,” in
Proc. IEEE Conference on Computer Design, pp. 128-131, 1989. [31] S. W. Chan
and C. L. Wey, “The Design of Concurrent Error Diagnosable Systolic Arrays
for Band-matrix Multiplication,” IEEE Trans. Computers, vol. 7, no. 1, pp. 2137, 1988. [32] J. H. Patel and L. Y. Fung, “Concurrent Error Detection in
Multiply and Divide Arrays,” IEEE Trans. Computers, vol. C-32, no. 4, pp. 417-
422, 1983. [23] L. D. Van, S. S. Wang, T. C. Shing, W. S. Feng, and B. S. Jeng,
“Design of a lower-error fixed-width multiplier for speech processing
application,” in Proc. IEEE Int’l Conf. on Circuits and Systems, vol. 3, pp.
130-133, 1999. [24] W. C. Carter and P. R. Schneider, “Design of dynamically
checked computers,” in Proc. IFIP-68, pp. 878-883, August. 1968. [25] J. A.
Abraham and W. K. Fuchs, “Fault and error models for VLSI,” in Proc. IEEE,
vol. 74, no. 5, pp. 639-654, 1986. [26] Israel Koren, “Computer Arithmetic
Algorithm”, New York, 1993. [27] J. C. Lo , J. C. Daly and M. Nicolaidis,
“Design of Static CMOS Self-checking circuits using Built-in Current
sensing,” in Proc. 1992 Fault Tolerant Computing Symposium, pp. 104-111,
June. 1992. [28] J. C. Lo, “Novel area-time efficient static CMOS totally selfchecking comparator,” IEEE J. Solid-State Circuits, vol. 28, no. 2, pp. 165–
168, Feb. 1993. [29] J. H. Patel and L. Y. Fung, “Concurrent error detection in
by recomputing with shifted operands,” IEEE Trans. Computers, vol. C-31, no.
7, pp. 589-595, 1982. [30] L. G. Chan and T. H. Chan, “Computation with
simultaneously concurrent error detection using bi-directional operands,” in
Proc. IEEE Conference on Computer design, pp. 128-131, 1989. [31] S. W. Chan
and C. L. Wey, “The design of concurrent error diagnosable systolic arrays for
band-matrix multiplication,” IEEE Trans. Computers, vol. 7, no. 1, pp. 21-37,
1988. [32] J. H. Patel and L. Y. Fung, “Concurrent error detection in multiply
and divide arrays,” IEEE Trans. Computers, vol. C-32, no. 4, pp. 417-422, 1983.
論文
頁數
57
附註
全文
點閱
次數
0000001
資料
建置
時間
2010/7/16
轉檔
日期
2010/07/22
全文
檔存
取記
錄
異動
記錄
496505153 2010.7.16 11:27 218.161.92.204 new 01 496505153 2010.7.16 20:00
140.136.145.221 new 02 496505153 2010.7.16 20:01 140.136.145.221 del 01
496505153 2010.7.16 20:01 140.136.145.221 del 02 496505153 2010.7.16 20:02
140.136.145.221 new 01 496505153 2010.7.16 20:11 140.136.145.221 new 02
496505153 2010.7.16 20:11 140.136.145.221 del 02
C 496505153 Y2010.M7.D16 11:31 218.161.92.204 M 496505153
Y2010.M7.D16 11:32 218.161.92.204 M 496505153 Y2010.M7.D16 11:33
218.161.92.204 M 496505153 Y2010.M7.D16 11:33 218.161.92.204 M
496505153 Y2010.M7.D16 20:02 140.136.145.221 M 496505153 Y2010.M7.D16
20:02 140.136.145.221 M 496505153 Y2010.M7.D16 20:03 140.136.145.221 M
496505153 Y2010.M7.D16 20:03 140.136.145.221 M 496505153 Y2010.M7.D16
20:03 140.136.145.221 M 496505153 Y2010.M7.D16 20:03 140.136.145.221 M
496505153 Y2010.M7.D16 20:04 140.136.145.221 M 496505153 Y2010.M7.D16
20:04 140.136.145.221 M 496505153 Y2010.M7.D16 20:05 140.136.145.221 M
496505153 Y2010.M7.D16 20:05 140.136.145.221 M 496505153 Y2010.M7.D16
20:05 140.136.145.221 M 496505153 Y2010.M7.D16 20:05 140.136.145.221 M
496505153 Y2010.M7.D16 20:05 140.136.145.221 M 496505153 Y2010.M7.D16
20:06 140.136.145.221 M 496505153 Y2010.M7.D16 20:07 140.136.145.221 M
496505153 Y2010.M7.D16 20:08 140.136.145.221 M 496505153 Y2010.M7.D16
20:09 140.136.145.221 M 496505153 Y2010.M7.D16 20:11 140.136.145.221 M
496505153 Y2010.M7.D16 20:12 140.136.145.221 M 496505153 Y2010.M7.D16
20:12 140.136.145.221 M elec3789 Y2010.M7.D16 20:13 140.136.145.221 M
elec3789 Y2010.M7.D19 10:59 140.136.145.220 M elec3789 Y2010.M7.D19
11:14 140.136.145.220 M 496505153 Y2010.M7.D22 9:39 140.136.146.132 M
496505153 Y2010.M7.D22 10:39 140.136.146.132 M elec3789 Y2010.M7.D22
10:52 140.136.145.220 M elec3789 Y2010.M7.D22 10:52 140.136.145.220 M
030540 Y2010.M7.D22 11:09 140.136.209.41 M 030540 Y2010.M7.D22 11:09
140.136.209.41 M 030540 Y2010.M7.D22 11:11 140.136.209.41 I 030418
Y2010.M7.D22 11:15 140.136.208.42
Download