Uploaded by VIHIDUN THAROOSHA

Lab2 - Report - 200682T

advertisement
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
Index number - 200682T
Lab task :
There are three generators called the A, B, and C and have Red, Amber,
and Green switches. The green switch works when the there generators
are working properly, the Amber switch light up only two generators
are working, Red for less than two generators are operating
Getting simplified Boolean representation
A
B
C
Amber
Red
Green
0
0
0
1
0
0
0
0
1
1
0
0
0
1
0
1
0
0
0
1
1
0
1
0
1
0
0
1
0
0
1
0
1
0
1
0
1
1
0
0
1
0
1
1
1
0
0
1
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
0
1
00
1
1
01
1
11
10
Index number - 200682T
0
1
00
0
0
0
01
0
1
0
0
11
1
0
1
0
10
0
1
Amber = C’.A’ + A’.B’ + C’.B’
0
1
00
0
0
01
0
0
11
0
1
10
0
0
Green = A.B.C
Red = C’.A.B + C.A’.B + A.B’.C
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
VHDL design source code :
Index number - 200682T
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
Schematic circuit from Vivado :
Index number - 200682T
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
Test bench code :
Index number - 200682T
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
Index number - 200682T
Computer Organization Digital Design
Lab 2 Report
Student name - Vihidun D.P.T
Index number - 200682T
Timing diagram :
Conclusion :
By using the Vivado simulation, we can determine that our circuit runs
properly since it gives correct outputs for given inputs. So we can test
our code before it implements on the board first
Download