Uploaded by Summit Sharma

ASIC Desing Flow

advertisement

Tape-out means the creation of an all mask
layer layout database (e.g., in GDSII format) of
the design of a Covered Product. “Tape Out”
shall be effective as of the first transmission, by
electronic means or otherwise, of the all mask
layer layout database to the Designated
Foundry or an MTI Facility.
Download