Uploaded by 山归与海

Overlay error investigation for metal containing resist (MCR)

advertisement
PROCEEDINGS OF SPIE
SPIEDigitalLibrary.org/conference-proceedings-of-spie
Overlay error investigation for metal
containing resist (MCR)
Gronheid, Roel, Higashibata, Satomi, Demirer, Onur,
Tanaka, Yusuke, Van den Heuvel, Dieter, et al.
Roel Gronheid, Satomi Higashibata, Onur Demirer, Yusuke Tanaka, Dieter
Van den Heuvel, Ming Mao, Masaru Suzuki, Satoshi Nagai, Waikin Li,
Philippe Leray, "Overlay error investigation for metal containing resist (MCR)
," Proc. SPIE 10959, Metrology, Inspection, and Process Control for
Microlithography XXXIII, 1095905 (26 March 2019); doi: 10.1117/12.2516154
Event: SPIE Advanced Lithography, 2019, San Jose, California, United States
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Overlay error investigation for metal containing resist (MCR)
Roel Gronheid*a, Satomi Higashibatab, Onur Demirera, Yusuke Tanakac,
Dieter Van Den Heuveld, Ming Maod, Masaru Suzuki e ,
Satoshi Nagaie ,Waikin Lid, Philippe Lerayd
a
KLA Corporation, One Technology Drive, Milpitas CA 95035, USA; bToshiba Memory
Europe GmbH, Hansaallee 181, 40549 Dusseldorf,Germany; c SanDisk Limited, 800
Yamanoisshiki-cho, Yokkaichi, Mie, Japan; dIMEC, Kapeldreef 75, B-3001 Leuven, Belgium;
e
Toshiba Memory Corporation, 800 Yamanoissiki-cho, Yokkaichi, Mie, Japan
ABSTRACT
Metal containing resists (MCR) are one of the candidates for Extreme Ultraviolet (EUV) resists aiming to achieve the
resolution, linewidth roughness and sensitivity (RLS) requirements of advanced design nodes. MCRs intrinsically have
high etch resistance owing to their metal content. Therefore, low resist thickness (~18nm) is sufficient to transfer resist
patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development
because of low aspect ratio. However, thus far little attention has been paid to optical metrology and inspectability
(overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR
toward high volume manufacturing (HVM).
In this paper, we investigate overlay metrology and overlay correction with MCR. Even though the optical contrast for
MCR is slightly lower than for chemically amplified resist (CAR) it seemed sufficient for high-quality overlay
metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in
significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the
optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We
demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results.
Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.
Keywords: EUV lithography, metal containing resists, overlay
1. INTRODUCTION
At the 2018 EUVL symposium, photoresist readiness was identified as the number one critical issue for the extension of
EUV lithography.1 There is significant concern about the ability of traditional chemically amplified resists (CAR) to
meet the EUV requirements at future nodes. For example, the EUV absorbance of organic materials cannot be increased
beyond ~4 μm-1. As linewidth decreases for the next nodes, the film thickness needs to be decreased to avoid pattern
collapse. However, the reduced amount of EUV light that is collected into the resist film increases shot noise and
therefore increases line width roughness and stochastic defect formation. Moreover, the reduced film thickness poses
challenges for subsequent pattern transfer by dry etch. Also, acid diffusion in CARs needs to be tightly controlled to
avoid image blurring. In return, this acid diffusion control decreases the photosensitivity of the material, which has been
one of the main advantages of CARs over other resist platforms.
For these reasons, metal containing resists (MCR) are under extensive investigation for application in EUV lithography.26
Metals offer the potential to boost resist absorbance. Moreover, they provide for high etch resistance, which allows the
use of thin films for these materials. Although MCR materials are typically not chemically amplified, the required dose
for these materials is not much higher than CARs of similar resolution.
Since MCRs are under increasing consideration for next generation fabrication, we explored the performance of such a
material for overlay metrology. We demonstrate how these materials induce increased target noise and how such noise
Metrology, Inspection, and Process Control for Microlithography XXXIII, edited by
Vladimir A. Ukraintsev, Ofer Adan, Proc. of SPIE Vol. 10959, 1095905 © 2019
SPIE · CCC code: 0277-786X/19/$18 · doi: 10.1117/12.2516154
Proc. of SPIE Vol. 10959 1095905-1
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
may be mitiggated by targget design. Allso, we demoonstrate how the residual noise
n
increasee still allows extraction of
accurate correectables if an optimized sam
mpling schem
me is employed
d.
2. EX
XPERIMENTAL
2.1 Process
An adapted N7
N BEOL 2-laayer short looop vehicle is used
u
for wafeer fabrication for this studyy. The first lay
yer is exposedd
using a 1.35N
NA ASML TWINSCAN
T
NXT:1970i ArF
A immersio
on scanner annd a FlexRay™ illuminato
or that closelyy
resembles a dipole-Y illuuminator. Thee anchor featture for this layer is a 1228nm pitch hhorizontal linee/space arrayy.
Subsequentlyy, the pattern is transferredd into a 40nm amorphous Si
S layer usingg dry etch proocesses. Next, the pattern iss
over-coated with
w 65nm spiin-on carbon (SOC)
(
hardmask. For the experiments
e
w the MCR,, 18nm thick layer
with
l
of Inpriaa
YF series resist is coated. For
F the CAR experiments, first 10nm spiin-on glass (S
SOG) is applieed after which
h 30nm EUVJ3030 from JS
SR is applied. In both cases,, the second laayer exposuree is done on a 0.33NA ASM
ML TWINSCA
AN NXE:33000
EUV scannerr using an optiimized illuminnator. For posst-etch overlay
y measuremennts the second layer litho paattern is finallyy
transferred innto the underlyying SOC layeer.
2.2 Metrology
All overlay measurements
m
were run on a KLA Archeer™ 500LCM
M. The color fiilter was seleccted independ
dently for eachh
stack/target combination
c
u
using
Automatted Recipe Opptimization (A
ARO). All sim
multaneous AIIM measurem
ments were runn
using a samppling scheme of
o five points per field (in slit direction)) and all 192 (including
(
parrtial fields) fieelds per waferr
(~950 total measurements/
m
/wafer). All reegular overlayy measuremen
nts were run using
u
a sampliing scheme off 24 points perr
field (six in slit and four inn scan directioon) and all 1922 (including partial
p
fields) fields
f
per waffer. This amou
unted to a totaal
of ~3960 poinnts per wafer. All data analyysis was perfoormed in KLA
A 5D Analyzerr® version X1.1.
3. RESULT
TS AND DIISCUSSIO
ON
3.1 Target noise
n
and root cause analyysis
Figure 1. Optical
O
image annd across-wafer residuals (botttom) for BiB taargets printed inn CAR (left) annd MCR (right) resist.
Proc. of SPIE Vol. 10959 1095905-2
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
A first test was
w run by prinnting previouss and current layer
l
of a bar--in-bar (BiB) target into thee same resist layer (withouut
removing thee wafer from the stage) thuus minimizingg any scanneer alignment contribution
c
too overlay. Deespite the thinn
resist layers that
t
are emplooyed, the conttrast of the reesulting imagees from overlaay measuremeent is very good (Figure 1)).
Further analyysis of the dataa demonstratees low residuaals (~1nm 3σ)) for the CAR, but much higher residualss (~7-8nm 3σ)
for the MCR.. The root cauuse of this obseervation was further
f
explorred using simuultaneous AIM
M® targets. Th
hese are targetss
where the innner and outer part of the ovverlay mark is printed in thee same exposuure, and scannner contributio
ons to overlayy
can be ruled out. Qualitativvely the samee trend observved for the BiB
B target is obsserved for thee simultaneouss AIM targetss.
Again, the reesiduals are hiigher for the MCR
M
than for the CAR (T
Table 1). How
wever, in absoolute terms thee difference iss
considerably smaller for thhe AIM targetss compared too the BiB targeets.
Table 1. Reesiduals 3σ (in nm)
n for BiB annd AIM targets printed
p
in the saame resist layerr
BIB
MCR
M
CA
AR
X
7.8
1.2
AIM
Y
7.6
0.9
X
2.2
1.1
Y
2..5
1..3
r
cause of the
t differencee in the residuaals for the twoo
The opticaal images (Figgure 2) give a good indication about the root
resist platform
ms. For instannce, with the orange colorr filter grains are visible inn the open, unnexposed areaa immediatelyy
outside of thee AIM target. Since the MC
CR is a negativve tone materiial, all resist is developed aaway in this arrea and we aree
looking at thhe SOC substrrate from whiich the MCR is developed
d away. Uponn closer inspecction, these grains
g
are alsoo
visible in thee spaces in between
b
the bars
b
of the AIIM target and
d we speculaate that these are the root cause for thee
increased resiiduals of the MCR
M
materiaal. Selection of a different color
c
filter (suuch as blue) foor the overlay
y measuremennt
may reduce the
t appearancce of the graiins. Indeed, a reduction off the contrast of the grainss is also accompanied by a
reduction of the
t residuals from
f
the simuultaneous AIM
M target. How
wever, it shoulld be noted thhat the grains remain
r
visiblee
independent of
o the color filter that is selected. In furthher experimen
nts, also the im
mpact of the sttack has been explored. Thee
stack and undderlayer for thhe MCR indeed may reducce the grains and
a improve residuals,
r
but similar to thee color filter it
i
cannot removve the grains. In contrast, thhe CAR givess a clean opticcal image (Figure 2, right) without any grains for anyy
color filter that was used.
Figure 2. Simultaneous AIIM target printeed in MCR (left
ft, middle) and CAR
C
(right) usiing different color filters.
Also, the imppact of the metrology
m
methhod itself wass explored as a root cause for the residduals. For thiss purpose, twoo
identical wafe
fers were expoosed with bothh MCR and CAR
C
material and
a the simultaneous AIM marks were measured.
m
Thee
site-by-site coorrelation of these
t
measureements is com
mpletely random
m for both CA
AR and MCR
R (Figure 3). In
n other wordss,
the magnitudde of the targeet overlay meeasurement onn the first waffer cannot preedict anythingg about the beehavior on thee
Proc. of SPIE Vol. 10959 1095905-3
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
second waferr. It should be noted that thee cloud of points for the CA
AR is smaller than for the M
MCR, which agrees
a
with thee
lower residuaals. Although the grains aree a likely rooot cause for th
he observed reesiduals for thhe MCR, we have
h
not beenn
able to identify the root caause of the graains. The opticcal images sug
ggest a lengthh scale of hunddreds of nano
ometers for thee
grains. We haave not been able to find thhese in a scannning electron
n microscope (SEM) and nno such structu
ures are foundd
after etch.
Figure 3. Site-by-site
S
corrrelation of oveerlay measurem
ments from simu
ultaneous AIM
M targets from ttwo different wafers
w
for CAR
R
(left) and MCR
R (right).
However, if the same waafer is measurred twice an almost perfecct correlationn is found bettween the first and secondd
measurementt cycle (Figuree 4). Again, thhe spread for the CAR is sm
maller than foor the MCR, w
which agrees with
w the lowerr
residuals. Thee absence of correlation
c
forr the two diffe
ferent wafers and
a the high correlation
c
forr the dynamic repeat clearlyy
demonstrate that
t it is only the target noisse that is conttributing to thee high residuaals. The precission of the meetrology tool iss
a negligible contributor.
c
Figure 4. Site-by-site
S
corrrelation of overrlay measuremeents from simulttaneous AIM taargets from dynnamic repeat off the same wafeer
for CAR (left) and MCR (righht).
nts
3.2 Overlay measuremen
Next, overlayy measuremennts were donee using four different
d
AIM target designns. The targetss have differeent designs forr
segmentationn and sub-segm
mentation, butt their main diifference is in the coarse pittch of the barss. Two targetss have a coarsee
pitch of 2.4μ
μm, one of 1.77μm and onee of 1.3μm. The
T residuals as
a extracted from
f
the overrlay measurem
ments of thesee
targets are pllotted in Figurre 5 and show
w a strong deppendency on the
t coarse pitcch. Only the rresiduals in Y-direction
Y
aree
plotted for cllarity. The ressiduals in X-ddirection show
w a similar treend but are soomewhat highher. This is atttributed to thee
Proc. of SPIE Vol. 10959 1095905-4
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
dipole Y-likee illumination source that haas been used for
f the previou
us layer, whicch results in beetter defined structures
s
in Y
than in X direection. The loow order correection model W1F1 (first order
o
wafer annd first order ffield correctio
ons) obviouslyy
gives higher residuals, butt exactly the same
s
trends as the higher order
o
W3F3+C
CPE6 model ((third order wafer
w
and fieldd
corrections coombined withh a six parametter correction per exposure)). Qualitativelly, the dependdence on coarsse pitch can bee
understood frrom the discussion of targeet noise. A sm
maller coarse pitch
p
allows foor more bars w
within the sam
me target. Thee
higher numbeer of bars resuults in more avveraging withiin a single meeasurement and therefore sm
maller residualls.
Figure 5. Overlay
O
residuaals of MCR ressist on an etcheed previous patttern for low (W
W1F1, blue) and high (W3F3+
+CPE6, orange)
order correctioon models on foour AIM targets with different coarse pitch.
Next, the resiiduals of MCR and CAR resist
r
as a funnction of coarsse pitch are coompared afterr litho (ADI) and after etchh
(AEI) in Figuure 6, using thhe high order correction model.
m
At ADII, the slope off the residualss of the CAR materials as a
function of cooarse pitch is significantly lower than foor the MCR. This
T observation agrees witth the increaseed target noisee
of the MCR and
a the observvation that thiis can be mitiigated by incrreasing averagging by an opttimized targett design. Moree
dramatically, the coarse piitch dependennce completelyy disappears and the residuuals for CAR
R and MCR co
ollapse for thee
AEI data. Sinnce the grainss do not transsfer in the etcch process, th
he target noisee is completelly removed an
nd any coarsee
pitch dependeency is gone.
Figure 6. Overlay
O
residuaals of MCR (bllue) and CAR (yellow) on an
n etched previous pattern for a high (W3F3+
+CPE6, orange)
order correctioon models on foour AIM targetss with different coarse pitch. Strong
S
coarse piitch dependencyy is observed after
a
lithographyy
(ADI, left), butt this trend is coompletely absennt after etch (AEI, right).
The final oveerlay residualss are composeed of contributtions from thee scanner and contribution ffrom (random
m) target noisee.
Since these tw
wo terms are independent,
i
w can assum
we
me that the totaal residuals aree the squared sum of the tw
wo componentss
(Equation 1).
(Eq. 1)
Proc. of SPIE Vol. 10959 1095905-5
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
The target noise
n
(for thee 1.3μm coarrse pitch targget) we havee already measured from the measurements on thee
simultaneous AIM targets. In Table 2, we
w use this daata to calculatee the scanner contribution tto the residuaals. The data iss
in good internal consistenncy. The scaanner residualls as calculatted from the MCR and C
CAR data aree in excellennt
agreement wiith each other. It should be noted that thee ‘scanner resiidual’ should not
n be taken aas an intrinsic number of thee
scanner, but depends on thhe performance for the preevious as well as the curreent layer and also includes effects of thee
illumination source
s
that is used for both layers.
Table 2. Ovverlay residualss as obtained foor the 1.3μm cooarse pitch targeet for MCR andd CAR resist. Thhe scanner-indu
uced residuals
as calculated frrom the final reesiduals and thee target noise aggree very well.
Resid
duals
Target
T
Scanner
X
Y
X
Y
X
Y
ADI
MC
CR
3.3
2.9
2.2
2
2.5
2.5
1.2
2.7
2.6
1.7
1.7
1.1
1
1.3
2.4
1.6
AEI
CA
AR
MC
CR
M overlay meeasurement on
n a post-etch MCR
M
wafer. T
The residuals as well as thee
Also, we havve executed a complete SEM
correctable teerms are in veery good agreeement with both
b
the post-llitho and postt-etch optical overlay meassurements. Forr
example, the maximum deeviation betweeen the modell as calculated
d from the SE
EM and the m
model as calcullated from thee
post-litho datta is 0.3nm in X-direction and
a 0.6nm in Y-direction.
Y
It should be noted
n
that verry dense samppling plans haave been used for the resultts that have beeen discussed
d in this paperr.
Such dense sampling schemes are not realistic
r
for appplication in a high-volumee manufacturinng environmeent. Thereforee,
the final queestion is what requirementts the increassed target noiise poses on the samplingg scheme. If we assume a
lithography cluster
c
with perfectly
p
stable but unknow
wn overlay sig
gnature, we can
c estimate tthe total final overlay errorr
from Equation 2.
(Eq. 2)
i approximateed compared to the model that would bee
The second term of Equatiion 2 describees how closelyy the model is
m an infinite sampling dennsity. Here, NSU
N
(Normaliized Spatial Uncertainty)
U
iis strictly dep
pendent on thee
obtained from
sampling scheme and on thhe overlay moodel. The grapph in Figure 7 plots NSU.σtaarget for the exxperimental tarrget noise thaat
was obtainedd for MCR annd CAR as a function
f
of thhe number of sampling poiints. In-housee software hass been used too
calculate opttimized sparsee sampling plans.
p
If we assume
a
a max
ximum contriibution of 1nnm for the seecond term of
Equation 2, we
w see that 50
5 points per wafer is suffficient for botth CAR and MCR
M
for a loow order corrrection modell.
However, if we
w go to a thiird order wafe
fer and field coorrection mod
del, the 50 pooint sampling jjust meets thee specificationn
for the CAR, but not for thhe MCR. In thaat case ~180 points/wafer
p
will
w be requireed to meet the specification..
Proc. of SPIE Vol. 10959 1095905-6
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Figure 7. NSU.
N σtarget for low and highher order correection models and for CAR and MCR as a function of the number of
measurements per wafer.
4. CONCLUSI
C
IONS
Overlay metrrology for MC
CR (metal coontaining resissts) has been explored. It is found that MCR increases metrologyy
noise and coonsequently inncreases residduals. This is attributed to
t grains thatt are found iin the opticall image. It iss
demonstratedd how proper target design significantly reduces the taarget noise. Reduction
R
of thhe coarse pitcch of the AIM
M
mark is a pow
werful methodd for reducing the noise andd consequently
y reducing ressiduals. Also, color filter seelection can bee
used to mitiggate the targett noise. Howeever, even in the most optiimized case CAR
C
still givees significantly
y lower targeet
noise than MCR.
M
The higgher noise nevvertheless alloows adequatee extraction off even high oorder correctaables from thee
optical overllay measurem
ments. Negligible differencces with SEM
M-based overrlay metrologgy has been demonstratedd.
Finally, it is shown how the
t increased target noise for
f MCR willl require an inncrease in waafer sampling
g density. Thiss
mpling densitty does, however, not apppear to be prohibitive for using MCR materials forr high-volumee
increased sam
production.
R
REFERENCE
ES
[1] Closing Remarks,
R
SPIE
E 16th Internattional Sympossium on Extreeme Ultravioleet Lithographyy, Sept 20, 2018, Montereyy,
CA.
[2] Stowers, J., Anderson,, J., Cardineaau, B., Clark, B., De Schep
pper, P., Edsoon, J., Greer, M., Jiang, K.,
K Kocsis, M.,
Meyers, S., Telecky, A., Grenville, A.,
A De Simonne, D., Gillijn
ns, W., and Vandenberghhe, G., “Metaal oxide EUV
V
photoresist peerformance foor N7 relevantt patterns and processes,” Prroc. SPIE 97779, 977904 (20016).
[3] Chakrabaarty, S., Ouyanng, C., Krysakk, M., Trikeriiotis, M., Cho, K., Gianneliis, E., and Obber, C., “Oxid
de nanoparticlee
EUV resists: toward underrstanding the mechanism of
o positive and
d negative tonne patterning,”” Proc. SPIE 8679, 8679066
(2013).
[4] Krysak, M., Leeson, M., Han, E.,, Blackwell, J., and Harlsson, S., “Extending resoluution limits of
o EUV resisst
materials,” Prroc. SPIE 94222, 942205 (20015).
[5] Hotalen, J., Murphy, M.,
M Earley, W.,
W Vockenhuuber, M., Ekin
nci, Y., Freeddman, D., andd Brainard, R.,
R “Advancedd
development techniques foor metal-basedd EUV resists,,” Proc. SPIE 10143, 10143309 (2017).
[6] Vaglio Pret, A., Graves, T., Blankenship, D., and Biafore, J.; “Modeling and simulation of low-energy electron
scattering in organic and inorganic EUV photoresists,” Proc. SPIE 10146, 1014609 (2017).
Proc. of SPIE Vol. 10959 1095905-7
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 21 Feb 2022
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Download