Uploaded by richard.tsai

MANZ FOPLP production solutions EN 2019

advertisement
FAN- OUT PANEL-LEVEL PACKAGING
FOPLP Production Solutions
Driving productivity growth
2 | FOPLP PRODUCTION SOLUTIONS | MANZ AG
FOPLP PRODUCTION SOLUTIONS | MANZ AG | 3
EVOLUTION OF PACKAGING TECHNOLOGY IMPROVES PERFORMANCE OF ELECTRONIC PRODUCTS
*Not to scale
IC
IC
PCB
Substrate
IC
PCB
PCB
IC
THE SEMICONDUCTOR MARKET HAS ITS APPLICATION FOUNDATION ROOTED NOT ONLY IN TRADITIONAL PC AND
3C APPLICATIONS AND IS NOW VENTURING INTO IOT, BIG DATA, 5G COMMUNICATIONS, AI, AUTONOMOUS CARS,
AND SMART MANUFACTURING. SEMICONDUCTOR CLIENTS DEMAND SLIMMER FORM-FACTORS, LOWER POWER
CONSUMPTION, AND LOWER CHIP COSTS. THIS MAKES PACKAGING TECHNOLOGY EVEN MORE IMPORTANT.
IC
PCB
Fan-out package
Lead frame
I/O contact
count
less
Package
cost
low
Flip chip package
medium
high
Fan-in wafer-level package
medium
Wafer-level
package
many
high
Panel-level
package
many
medium
medium-low
Device
efficiency
extremely low
low
medium
high
medium-high
Thermal
transfer
low
medium
medium
high
high
Reduce material cost
Enhancement of circuit
efficiency and thermal
transfer
Increase in I/O density
Ever-evolving packaging technologies
driven by end products
Decrease in package size
Lower manufacturers’ overall
cost of ownership
Small form factor
Enhance efficacy
Integrated circuit (IC) packaging is the
final stage of semiconductor device
fabrication. Packaging has acted as a
space and electrical transformer providing
protection for the contents of the package
and access for power and signals to and
from the outside world.
Smaller form factor
• Without package substrate, a thinner
package can be achieved.
• Fan-out package integrates different
chips into a single 2D package,
achieving volume thinning SiP (System
in Package) technology,
With the demands for smaller, more
efficient, and highly durable ICs will only
increase over time as consumer demand
for thinner and lighter electronics grows.
As such, package technology has gone
from lead frame, flip chip package to fanin wafer level packaging which helped to
decrease chip size and thickness whilst
effectively lower fabrication cost.
Greater efficacy
• The RDLs are routed inward and
outward, enabling thinner packages
with more I/Os.
• Using RDL to connect chips of different
functions, the functionality will increase
by integration into a single package.
Integrate more functions
TREND OF INNOVATIVE ADVANCED PACKAGING
Due to the demand of consumer
electronics products for portable and
multi-functionality, the development
of microelectronic is forced to reduce
the size and costs, to raise high
performance.
However, the semiconductor packaging
industry is faced with the dilemma
of being unable to include the ever
increasing demand for higher I/O count
in the given microchip size to increase
device efficacy for consumer electronic
products.
Not only system-in-package (SiP)
but also more advanced packaging
technologies in the future are tending
toward using multi-die heterogeneous
integration and end-use in consumer,
high-speed
computation,
and
profes sional applications. Thus,
the development goals of advanced
packaging tends to:
• Increase the value of a semiconductor
product
• Adding functionality
• Maintaining/increasing performance
while lowering cost
• Decrease chip/package thickness
IC
a
PCB
Lead frame
IC
PCB
Flip chip packaging
IC
0.7a
PCB
Fan-out wafer-level/panel-level packaging
However, fan-in wafer-level packaging
still faced great challenges with the vast
advances of end products. (1) Bumping
is limited to the die size while continuing
scaling down chip size. (2) The assembly
cost gets higher while decreasing solder
ball size. So, it is difficult and challenging
for fan-in wafer-level packaging to
increase more and more I/O counts due to
the limit of the die size and the constraint
on the cost of bump formation process
while shrinking bump size. Therefore,
the packaging market tends toward more
advanced fan-out package. An overall of
the features:
[Billion USD]
40
35
30
CAGR
7%
25
20
15
10
5
0
2017
2018
2019
Flip chip package
Fan-out package
2020
2021
2022
2023
Fan-in package
Embedded die package
Lower cost
Fan-out package technology drastically
simplifies the complex process steps and
reduces material use, whilst effectively
lowering the overall production cost.
There are two fan-out packaging
technologies:
Fan-Out
Wafer-Level
Packaging (FOWLP) and Fan-Out PanelLevel Packaging (FOPLP). Though the
technical uses and applications are
different, both can achieve thinner
appearance for the final product. However,
the cost of FOPLP is lower than that of
FOWLP, making it the highest profile
advanced package technology in recent
years.
CAGR in revenue for the advanced
packaging industry may reach 7 %
from 2017 to 2023
The advanced packaging market would reach
about 39 billion USD in 2023.
Fan-out package technology is the fastest
growing segment, market size is expected to
*Thickness of packages vary varies with
different production processes
exceed 3 billion USD in 2023.
* Source: WSTS/Yole Développement
4 | FOPLP PRODUCTION SOLUTIONS | MANZ AG
FOPLP PRODUCTION SOLUTIONS | MANZ AG | 5
Fan-out packaging is now
an integral part of the portfolio
At the heart of innovation:
FOPLP technology
THE WAY TO MINIATURIZE THE PACKAGE FORM FACTOR BY FAN-OUT PACKAGING TECHNOLOGY IS TO
CONNECT DIFFERENT FUNCTIONS OF THE CHIP TO THE PASSIVE COMPONENTS BY RDL, OR TO FABRICATE IC
WITH 3D STRUCTURES. BOTH TECHNIQUES CHANGE THE CONNECTION AND FABRICATE METHOD IN ORDER TO
INTEGRATE HOMOGENEOUS OR HETEROGENEOUS CHIPS INTO A SINGLE PACKAGE.
PANEL-LEVEL PACKAGING (PLP) IS ONE OF THE LATEST PACKAGING TRENDS IN MICROELECTRONICS, DEVELOPIG
TOWARDS LARGER SUBSTRATE FORMATS. THE PUSH IS BEING DRIVEN BY PERCEIVED COST ADVANTAGES AND
PRODUCTIVITY BENEFITS, AS PANELS ALLOW FOR PARALLEL PROCESSING OF MORE UNITS DURING THE SAME PERIOD
OF TIME.
Multi-Chip module packaging is an
important facet of modern electronic
miniaturization
and
microelectronic
systems. It was designed for multiple
integrated ICs to facilitate their use as a
single package. This is considered a way
to extend the "Post-Moore's Law" era.
All mounted dies are KGD
Fan-out package is the prevailing
technology in advanced package. Its
process: (1) Dicing a wafer. (2) Mounting
known good dies (KGD) on carrier for
molding. (3) RDL and solder bump
formation. (4) Separate molding and
reusable carrier. (5) Package singulation.
This technique not only creates
more I/O contact on package by fan-
Substrate-less package
out, it also reduces package volume
and accomplishes homogeneous or
heterogeneous chip integration.
Therefore, chips are able to have higher
performance and chip makers are able to
lower the overall fabrication cost, while
also simplifying the process steps and
lowering the error rate.
Homogeneous or heterogeneous
The development of low density fan-out,
platforms to encompass the requirements
of potential new applications such as the
5G, AI & IoT.
Fan-out continuously moves towards
more and more homogeneous or
heterogeneous
multi-chip
module
integration into a single package. Thus,
the larger fan-out package size will be the
trend to achieve a more complex form
of multi-chip integration to have more
powerful performance.
multi-chip module integration
KGDs are attached to the carrier
By using RDL process as foundation,
The homogeneous or heterogeneous
and then encapsulated in an epoxy
drawing the needed RDL from the
integration of multi-chips into a single
molding compound. By completing
die does not require a substrate, nor
fan-out package could significantly save
the following processes, e.g. Cu RDL,
does it need a wire-bond, and the
development time and cost comparing
bump formation, carrier debonding,
package thickness can be decreased.
to SoC (System-on-Chip).
and package singulation, etc., the fan-
If forms multiple dies simultaneously,
out package can be attained.
the package can have multiple or
even
several
dozen
times
more
functions; this reduces the package
wafer
thickness when multiple chips are
stacked!
Known good die
Furthermore,
simplifying
the fabrication process also lowers
material costs.
IC
Substrate
PCB
Transparent glass
Transparent glass,
or circuit board or
semiconductor
materials
* Carrier shape could be round or square, and
its material could be glass, transparent glass,
or other semiconductor materials
FOPLP is attracting most attention as it
brings economies of scale due to the large
size of the panel and higher carrier usage
ratio of 95 %. The ratio is much higher
than that of wafer size FOWLP (carrier
usage ratio of 85 %), and enables highervolume production of large packages.
Currently, FOPLP is based on two major
technologies: FPD and PCB production
process and equipment.
PCB
Flip chip package
EMC
(Epoxy Molding
Compound)
V.S.
IC 1
IC 2
IC 1
IC 2
PCB
Fan-out package
PCB
On the downsizing circuit board, chip
package size decreases, but all chips are
still able to be integrated and thereby
decreasing the area taken up by the circuit
board, which also decreases the size of
the end product. On the other hand, the
reduced area on circuit board can be
used for other electronic components.
FOPLP technology not only supplies end
products with higher efficacy, it also
provides higher cost-efficiency.
attracts players with many different
business models, including outsourced
semiconductor assembly and test (OSAT),
integrated device manufacturers (IDMs),
foundries, substrate manufacturers and
FPD players. They perceive an opportunity
to enter the advanced packaging business
via fan-out technology.
Semiconductor manufacturers
Take the IDM and foundry for example:
by integrating with the packaging, it is a
beneficial commercial model to provide an
entire chip package. In terms of packaging
manufacturers, they can apply their
existing experience to quickly incorporate
FOPLP technology. The aim of investment
is to find its potential cost benefit and to
enhance product competitiveness.
PCB and FPD manufacturers
Simultaneous design and development
of chip, package, and PCB are becoming
more
important.
The
lithography
processes tools that have been developed
for the production of printed circuit
boards and flat panel displays can be
applied to the FOPLP RDL process on
large rectangular substrates as well. PCB
and FPD manufacturers are able to reduce
manufacturing costs by utilizing tools and
process know-how.
600 x 600 mm carrier
500 x 500 mm carrier
300 x 300 mm carrier
300 mm wafer
Higher carrier usage ratio,
higher manufacturing efficiency
In panel processing, the number of dies
populated on a panel is much greater than the
number of dies populated on a wafer.
Productivity increase that can be expected:
The 300 mm square carrier corresponds to
IC 3
From 2018 to 2023, the CAGR of FOPLP
is expected to reach more than 70 %.
The estimated overall market size in
2023 will reach 279.3 million USD*. This
1.4 times the 12" wafer carrier.
The 600 mm square carrier corresponds to
* Data source: Research institute Yole Développement
5.7 times the 12" wafer carrier.
6 | FOPLP PRODUCTION SOLUTIONS | MANZ AG
TOTAL PRODUCTION SOLUTIONS WITH DIVERSE TECHNOLOGICAL EXPERTISE
MANZ RDL PROCESS PRODUCTION SOLUTIONS
Wet chemistry
Al or Cu pad
Lithography process tools for high-density RDL process:
Cleaning / developing / etching / stripping / electroplating
Vertical or horizontal types
In-line or batch types
Manz FOPLP
Solutions
IC
IC
adhesive
known good die
carrier
known good die
Polymer protective
layer coating
molding
IC
carrier
Molding
known good die
Modular solutions to automate production
Total integrated solutions including wet chemistry, laser, and testing tools.
Wet chemistry
tools
molding
IC
IC
adhesive
Backgrind the EMC to
expose Cu contact-pads
carrier
Strong independent R&D strength
Manz's
R&D
not
development
Integration of cross-field equipment
only
Advanced packaging technology has
manufacture experience, and with
includes
of
shifted from wafer to rectangular
continuous research and development,
customer-specific/standardized tools,
carriers, such as glass panels or
its application in new markets of
but also sees itself as a development
PCB, etc., resulting in a productivity
different disciplines is based on
partner. With efficient and state-of-
advantage.
diverse core technological expertise.
the-art production solutions, Manz
experience in PCB and FPD lithography
We are dedicated to extend our
supports its clients in defining their
process equipment (panel format) as
knowhow in the FOPLP area and help
own process parameters, shortening
well as fully integrated manufacturing
manufacturers to efficiently integrate
development times and ensuring their
solutions. This enables us to support
the production chain and optimize
success.
fine L/S patterning on the panel, thick-
their process.
the
expertise
resist
Manz
lithography,
has
panel
extensive
IC
molding
Electroplating tool
Developer
Etcher
Stripper
(horizontal or
vertical)
Copper
electroplating
carrier
molding
RDL1
PI, BCB or PBO
Photoresist coating
Cleaner
Developer
Etcher
Stripper
(horizontal or
vertical)
IC
known good die
molding
Exposure and
development
carrier
载板
RDL1
PI, BCB or PBO
known good die
molding
Ti-Cu
RDL2
PI, BCB or PBO
Etching and
photoresist
stripping
molding
known good die
carrier
Cu RDL formation
Ti/Cu
PI, BCB or PBO
known good die
RDL and
ball attach
carrier
carrier
PI, BCB or PBO
carrier
Manz RDL
process
equipment
adhesive
photoresist
Photo-resistant
stripping and
titanium
copper etching
molding
known good die
Automation
Manz has rich process and equipment
molding
known good die
PI, BCB or PBO
carrier
Integration of diverse technologies
PI, BCB or PBO
photoresist
adhesive
Wet chemistry
tools
photoresist
RDL1
molding
carrier
Photo-resistant coating
Pl coating
Exposure and
development
carrier
PI, BCB or PBO
Laser direct imaging (LDI)
Laser ablation
IC
molding
known good die
molding
carrier
Chip reconstruction
Coater
Coating
photoresist
adhesive
PI, BCB or PBO
Laser processing
Laser cutting / drilling
Laser lift-off of Pl film
passivation
Al or Cu pad
molding
carrier
passivation layer
Solder Ball
Ti/Cu
Ti-Cu
UBM
Ti-Cu Sputtering
RDL2
Coater
handling
photoresist
RDL1
PI, BCB or PBO
capabilities, etc. and efficiently adapt
to the FOPLP business.
known good die
IC
molding
IC
carrier
Carrier removal
MANZ equipment
PI, BCB or PBO
molding
molding
known good die
Photoresist coating
carrier
Solder ball formation
FOPLP PRODUCTION SOLUTIONS | Manz AG | 7
WITH 8,000 TOOLS INSTALLED OVER THE PAST 30 YEARS FOR THE FPD AND PCB INDUSTRY, MANZ HAS MASTERED
LITHOGRAPHY PROCESSES AND ELECTROPLATING SYSTEMS TO ACHIEVE A HIGH DENSITY OF RDL IN THE
ADVANCED PACKAGE. OUR RELENTLESS R&D ACTIVITIES EXTEND NOT ONLY TO WET CHEMISTRY, BUT ALSO TO
LASER, COATING AND AUTOMATION TECHNOLOGIES. THIS ENABLES MANZ TO OFFER INTEGRATED SOLUTIONS
THAT CAN BE USED IN PRODUCTION FOR DIFFERENT SIZES, MATERIALS AND PROCESSES OF FOPLP TECHNOLOGY.
Wet chemistry
• Highly customizable tools in vertical,
horizontal, in-line, and batch types.
• Highly integrable with Manz automation
handling systems to enhance process
capability and automatic production.
• Real-time monitoring of chemical
components for processing quality
control.
• Outstanding etching rate and uniformity
realized by precision configurations of
multiple nozzle and efficient chemistry
transfer system.
• Particle removal rates ≥ 99 %.
Laser processing
• Laser drilling and ablation applicable for
various substrates and materials, such
as PI, epoxy, ABF, etc.
• Applicable for Through-Mold-Via (TMV)
and Through-Glass-Via (TGV) laser
drilling process.
• Offers a range of modular features
and fully automated system assists
which enable to shorten the actual
commissioning time and ramp up
production.
• Excellent optic designs and enable
to collocate different laser sources to
develop corresponding solutions for
different applications.
Coating
• Excellent uniformity ≤2.5 %.
• Unique syringe pump design for
excellent spray reproducibility >99.9 %.
• Coating pressure stability of under
0.15KPa.
• Two platforms of inline stage type/
gantry type,
• Supply air suspension system to
effectively avoid the process variation.
• Slit coater is able to combine cleaner,
developer, exposure tool and automatic
handling systems which make up
Manz Track Line lithography solution. It
effectively reduces production variability
as well as improves the process yield.
Automation
• High flexibility and customization ability
to satisfy client demands.
• A powerful multi-scale feature
integration ability that captures
customer requirements accurately.
• Low breakage rate and high yield
• Allows PC/PLC control and propriety
SCADA system.
Founded in 1987, Manz AG is a global high-tech equipment
manufacturing company. In addition to the CIGSfab turnkey
production line in the Solar segment, the company focuses
specifically on the automotive industry in the Electronics
and Energy Storage segments.
The company, listed on the stock exchange in Germany since
2006, currently employs 1,600 people in eight countries.
MANZ GERMANY
R&D, PRODUCTION, SALES & SERVICE
MANZ SLOVAKIA
R&D, PRODUCTION, SALES & SERVICE
Wet chemistry
MANZ HUNGARY
PRODUCTION
MANZ USA
SALES & SERVICE
MANZ ITALY
R&D, PRODUCTION, SALES & SERVICE
MANZ CHINA
R&D, PRODUCTION,
SALES & SERVICE
MANZ TAIWAN
R&D, PRODUCTION,
SALES & SERVICE
MANZ INDIA
SALES & SERVICE
Laser processing
Manz AG
Steigaeckerstrasse 5
72768 Reutlingen
Germany
Tel.: +49 7121 9000 0
Fax: +49 7121 9000 99
Coating
Automation
www.manz.com
info@manz.com
Manz China Suzhou Ltd.
No. 405, JiaLingjiang Road,
Suzhou New District,
Suzhou City, JiangSu Province,
China 215153
Phone +86 512 65136050
Fax +86 512 65136061
contact@manz.com.cn
www.manz.com.cn
Manz Taiwan Ltd.
No. 3, Tsu Chiang 3rd Road,
Chungli City, Taoyuan County,
32063 Taiwan
Phone +886 3452 9811
Fax +886 3452 9810
info@manz.com.tw
www.manz.com.tw
MANZ AG
07/2019
Manz FOPLP production solutions
Download