Organometallic Vapor Phase Epitaxy Technical Program

advertisement
Organometallic Vapor Phase Epitaxy
Technical Program
of Bi2Te3 and Sb2Te3 measured using spectroscopic ellipsometry”,
Journal of Electronic Materials, vol. 28, pp. 1111 - 1114, (1999).
In-Situ Monitoring and Characterization
Monday AM
March 12, 2001
9:00 AM
In-Situ Monitor and Control of Stress during MOCVD of (Al,Ga)N:
Karen E. Waldrip1; Jung Han1; Steven R. Lee1; Jeff J. Figiel1; Brent P.
Gila2; Cammy R. Abernathy2; Viswanath Krishnamoorthy3; 1Sandia
National Laboratories, Chem. Proc. Sci., Dept. 1126, PO Box 5800,
MS 0601, Albuquerque, NM 87185-0100 USA; 2University of Florida,
Dept. of Mats. Sci. & Eng., PO Box 116400, Gainesville, FL 32611
USA; 3University of Florida, Major Analyt. Instrumentation Ctr., PO
Box 116400, Gainesville, FL 32611 USA
The ability to produce short wavelength optoelectronic devices based
on AlGaN has been severely hindered by cracking of the AlGaN layers
due to growth and thermal tensile stresses in the films. We demonstrate
in this talk that the use of low-temperature AlGaN interlayers is effective in reducing the coherency stress when AlGaN is grown at high
temperature on GaN templates on sapphire substrates. The stress evolution during growth was monitored using an in situ wafer curvaturebased stress sensor and was correlated to ex situ high resolution x-ray
diffraction and cross-sectional transmission electron microscopy analysis
to determine the degree of coherency in the low temperature AlGaN
interlayers. For 0.9um-thick AlGaN containing 20% aluminum, we
found that the initial growth stress changed from tensile to compressive
as the aluminum concentration in the interlayer was increased. Study of
stress evolution during AlGaN growth on (111) Si substrates will also
be presented. Sandia is a multiprogram laboratory operated by Sandia
Corporation, a Lockheed Martin Company, for the United States Department of Energy under Contract DE-AC04-94AL85000.
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Tom Kuech
8:10 AM Welcome
8:20 AM
Emissivity-Correcting Pyrometry for OMVPE Applications: William G. Breiland1; Larry A. Bruskas1; Andrew A. Allerman1; Terry W.
Hargett1; 1Sandia National Laboratories, Dept. 1126 MS 0601, PO Box
5800, Albuquerque, NM 87185 USA
Single-wavelength emissivity-correcting pyrometry is a well-known
method for remote surface temperature measurement. It is ideally suited
for semiconductor deposition applications where the emissivity undergoes large changes due to thin film interference effects. However, in
MOCVD applications, the method is vulnerable to significant artifacts
in the temperature from reflectance drift and scaling errors and stray
thermal emission from sources other than wafers. Temperature errors
approaching 50 degrees can be incurred when the reflectance of the
wafer exceeds 80%. We present a simple method for in situ calibration
that is used to determine a single empirical parameter that corrects for
all the above mentioned artifacts. This parameter is used in a slightly
modified pyrometer algorithm to make artifact-free in situ surface temperature measurements during growth with 1 to 2 degree precision.
Applications of this method to temperature-sensitive materials growth
systems such as AlGaInN alloys are also presented.
9:20 AM
In-Situ Determination of GaAs Free Carrier Concentration and
Temperature by Raman Spectroscopy: James E. Maslar1; Wilbur S.
Hurst1; 1NIST, CSTL, 100 Bureau Dr., Stop 8360, Gaithersburg, MD
20899-8360 USA
In situ Raman spectroscopy was used to measure free carrier concentration and temperature of n-type GaAs in an organometallic vapor
phase epitaxy reactor at temperatures between 25 deg C and 480 deg C.
Previously grown GaAs epilayers and substrates with nominal n-type
doping levels between 1 x 1015 cm-3 and 6 x 1018 cm-3 were examined.
Models for determination of carrier concentration from Raman spectra
of GaAs at elevated temperatures were evaluated.
8:40 AM
In-Situ Monitoring of the Growth of Thick Bi2Te3 and Sb2Te3
Films and Bi2Te3-Sb2Te3 Superlattices Using Spectroscopic
Ellipsometry: Ishwara Bhat1; Hao Cui1; Rama Venkatasubramanian2;
1Rensselaer Polytechnic Institute, ECSE Dept., JEC 6003, Troy, NY
12180 USA; 2Research Triangle Institute, Research Triangle Park, NC
27709 USA
In this work, we present in-situ monitoring of the growth of thick
bismuth telluride (Bi2Te3) and antimony telluride (Sb2Te3) films as
well as Bi2Te3-Sb2Te3 superlattice using spectroscopic ellipsometry
(SE). Bi2Te3 and Sb2Te3 films were grown by metalorganic chemical
vapor deposition (MOCVD) at 350ºC. A 44-wavelength ellipsometer
with spectral range from 404 nm to 740 nm was used in this study. The
optical constants of Bi2Te3 and Sb2Te3 at growth temperature were
determined by fitting a model to the extracted in-situ SE data of optically thick Bi2Te3 and Sb2Te3 films. Compared to the optical constants of Bi2Te3 and Sb2Te3 at room temperature1, significant temperature dependence is observed. Using their optical constant at the
growth temperature, the growth of thick Bi2Te3 and Sb2Te3 films were
monitored and modeled and excellent fit between the experimental data
and data generated from the best-fit model was obtained. The growth
rates were determined to be 2.48 Å/sec and 1.92Å/sec for Bi2Te3 and
Sb2Te3, respectively. Growth of different Bi2Te3-Sb2Te3 superlattices
was also monitored. The growth of Bi2Te3 and Sb2Te3 layers can be
separately seen clearly in in-situ SE data. Modeling of in-situ superlattice
growth shows layers abrupt interfaces between the two constituent
films. 1H.Cui, I. Bhat and R. Venkatasubramanian, “Optical constants
9:40 AM
From Single Wafer to Multi-Wafer Reactors–In-Situ Sensor Assisted Process Transfer: Kolja Haberland1; Rainer Hövel2; Martin
Zorn3; Markus Weyers3; Jörg-Thomas Zettler4; Wolfgang Richter4;
1LayTec Gesellschaft für in-situ und Nano-SensorikmbH, Sekr. PN 5-7,
Hardenbergstr. 36, Berlin D-10623 Germany; 2Avalon Photonics Ltd.,
Badenerstr. 569, Postfach, Zürich CH-8048 Switzerland; 3FerdinandBraun-Institut für Höchstfrequenztechnik, Albert-Einstein-Str. 11, Berlin D-12489 Germany; 4Technische Universität Berlin, Institut für
Festkörperphysik, Sekr. PN 6-1, Hardenbergstr. 36, Berlin D-10623
Germany
In-situ sensor supported MOVPE growth has recently been demonstrated successfully in single wafer reactors on rotating samples, by
accessing growth rate, composition, sample temperature and doping.
This paper demonstrates how this type of combined reflectance and
reflectance anisotropy (R/RAS) sensor can be adapted also to state-ofthe-art planetary reactors, in order to transfer pilot processes from
single wafer to production line multi-wafer environments. After sketch1
of samples are comparable and will be presented. The results demonstrate the suitability of the getter technique as a true alternative for
hydrogen carrier gas purification.
ing briefly which additional sensor features had to be added (e.g. synchronization with the complex planetary rotation) we focus on an insitu based process transfer of an AlGaAs distributed bragg mirror from
a single wafer to a planetary Aixtron reactor. In both reactor types insitu measurements of the key parameters growth rate, composition,
temperature and doping level have been performed. In case of the planetary reactor, for each wafer an individual AlxGa1-xAs growth rate and
composition has been determined. We have also studied the influence of
n- and p-doping of AlGaAs on the optical signatures and found a clear
dependence of the measured signal on the doping level. This was exploited for in-situ doping level measurements in the different reactors.
11:20 AM
Comparison of TMI Chemical Analysis with InP and AlInAs Film
Properties: Michael L. Timmons1; Deo V. Shenai1; Alexandre Efimov2;
Edward D. Gagnon3; 1Rohm and Haas Company, Metalorganics, 60
Willow St., North Andover, MA 01845 USA; 2Shiva Technologies,
Inc., 6707 Brooklawn Pkwy., Syracuse, NY 13211 USA; 3Bandwidth
Semiconductor, LLC, 40 Wiggins Ave., Bedford, MA 01730 USA
Quality of organometallic sources, for example, trimethylindium
(TMI), has improved significantly in recent years. Impurity levels are
in part-per-billion (ppb) ranges from most metalorganics vendors. Analytical capabilities are pushed to identify and quantify impurities accurately at these levels. GDMS (glow discharge mass spectroscopy), a
high-vacuum chemical analysis, allows detection of impurities at low
ppb levels in grown films. A question for OMVPE chemistry becomes
the following: At what level do impurities fail to make an impact on
devices? To address this question, we present data that combine source
chemical analysis with GDMS to show the impurity profiles in grown
InP and AlInAs films. These results are compared to electrical characterization of the same films to show the impact of impurities on performance. These data show only weak cor-relation, suggesting that source
quality has attained levels where little impact on devices is expected.
Consistency becomes the dominant issue for source chemical manufacturers.
10:00 AM Break
Precursors
Monday AM
March 12, 2001
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Simon Watkins
10:40 AM
Purification of Dialkylzinc Precursors Using Macrocyclic Amines:
Lesley Margaret Smith1; K. M. Coward2; A. Steiner2; J. F. Bickley2; A.
C. Jones2; S. Petroni3; 1Epichem Limited, Power Rd., Bromborough,
Wirral, Merseyside CH62 3QF UK; 2University of Liverpool, Dept. of
Chem., Liverpool L69 7ZD UK; 3Istituto Nazionale per la Fisica della
Materia (INFM), UdR Lecce, Via per Arnesano, Via per Arnesano,
Lecce I-73100 Italy
Despite extensive research into the carbon doping of III-V materials,
zinc is still the most widely used and versatile p-dopant, with Me2Zn
and Et2Zn being most often employed as precursors. The rapid growth
MOVPE as a production technology for optoelectronic III-V devices
has placed increasingly stringent demands on the purity of the
metalorganic precursor, with trace oxygen being a particular problem, in
Al-containing layers. Trace oxygen levels can be significantly reduced
by the use of the adduct Me2Zn(NEt3), but it is not possible to obtain
base-free Me2Zn from this compound. However, ultra-high purity
dimethylzinc and diethylzinc can be readily obtained by mild thermal
dissociation of their adducts with low volatility macrocyclic tertiary
amines. In this paper, the synthesis and structural characterisation of
(Me2Zn)2[N4-aza crown], (Me2Zn)2[N6-aza crown] and
(Et2Zn)2[N4-aza crown] are reported and their dissociation behaviour
is discussed.
11:40 AM
Ammonia Gas: Purification Media Characterization by Trace Level
Analysis of O2 and H2O Contamination: Sara Guadagnuolo1;
Giorgio Vergani1; Marco Succi1; 1Saes Getters SpA, Pure Gas R&D
Labs., viale Italia 77, Lainate 20020 Italy
Brightness and quality of blue LED devices, and in general of most
III-V compound devices, is now known to have a strong dependence on
the presence of deep states. The presence of dopant species in the epilayer broadens the light emission peak due to unwanted carrier recombination effects. The intensity and width of room temperature PL spectra of GaN (for example) has been shown to increase as layer contamination is increased. A purification media for Ammonia gas, commonly
used as a Nitrogen source, has been developed for the reduction and
control of gaseous contamination. In this work we will illustrate some
characterization studies performed of the material and some further
studies we are currently performing. Trace level moisture and oxygen
analyses of purified ammonia are a routine characterization procedure
for us and the analytical methods for these impurities will be illustrated. Typical purification media efficiency and capacity tests will
also be illustrated.
11:00 AM
On the Suitability of Getter Purified Hydrogen for the LP-MOVPE
of AlGaAs: A Comparison to Pd-Diffused Hydrogen: Hilde
Hardtdegen1; R. Schmidt1; K. Wirtz1; Sara Guadagnuolo2; Giorgio
Vergani2; 1Juelich Research Center, Inst. of Thin Film & Ion Tech.,
Juelich D-52425 Germany; 2Saes Getters SpA, Pure Gas R&D Labs.,
viale Italia 77, Lainate 20020 Italy
Diffusion through a very thin Pd foil is a well-accepted method for
the purification of hydrogen carrier gas in MOVPE. However, due to
the foil’s mechanical fragility pinholes and cracks can form, which may
go unnoticed until damage is done to the metalorganic source compounds as well as to the deposited layers resulting in high costs for
production. Therefore purifying methods such as getter columns, which
will chemically bind the impurities irreversibly and hold them back are
a welcome alternative to Pd diffusion. The aim of this work is to test the
suitability of getter purified hydrogen carrier gas for MOVPE by depositing an extremely sensitive material towards the most harmful impurity oxygen: Al0.3 Ga0.7As. Two sets of samples were grown under
different deposition conditions using both purification techniques for
hydrogen carrier gas. Optical and electrical characteristics for both sets
Growth Mechanisms I
Monday PM
March 12, 2001
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chairs: Jerry Stringfellow; Nigel Mason
7:00 PM
Alternative Gas Phase Reactions During GaN Growth: Ramchandra
Wate1; James A. Dumesic1; Thomas F. Kuech1; 1University of Wisconsin, Dept. of Chem. Eng., 1415 Engineering Dr., Madison, WI 53706
USA
The metalorganic vapor phase epitaxy of GaN is complicated by the
extensive and pervasive complex gas phase chemistry within the growth
system not typically found in the metal organic vapor phase epitaxy of
other III-V materials. The most well known reaction is the formation of
2
results on decomposition mechanisms for metal oxide compositions (Y,
Ba, Cu), and demonstrate the utility of including such studies in the
design of molecules for OMVPE growth (Mg, Yb).
a gas phase adduct between trimethyl gallium, (CH3)3Ga, and ammonia,
NH3, that leads to the formation of more complex gas phase products,
such as ((CH3)2GaNH2)x=2 or 3, which further react and complicate the
detailed growth behavior. The detailed mechanisms responsible for the
observed gas phase reactions were investigated by density functional
theory (DFT) calculations, performed with Gaussian98 software. These
calculations initially determined the heat of reaction for the overall
reaction as well as the elementary steps. The pathway where a second
ammonia molecule acts as a hydrogen transfer agent is the most favorable one among the three pathways examined.
8:20 PM
Epiready GaAs Substrates for MOVPE, Differences Between OnAxis and Vicinal Substrates: Nigel John Mason1; 1University of
Oxford, Phys. Dept., Clarendon Lab., Parks Rd., Oxford, Oxon OX1
3PU UK
The preparation of substrates, for MOVPE growth is a poorly understood process. Up to now, there have been no reports of differences
in preparation for on-axis and vicinal substrates. It is well known that
growth on on-axis substrates is more difficult but some devices require
them to be used for optimum device performance. It is therefore important to try to observe and understand any differences between the two
types of substrates during the preparative stage. We observe that GaAs:
Si substrates show kinetically controlled island growth [islands 50 nm
high and 200 nm long, at 450°C]. Since the ambient is molecular hydrogen and the reactor is clean at the outset, the island material must be
coming from incomplete sublimation from the substrate. The vicinal
substrates show a completely smooth surface except for the expected
offcut. Subsequent homoepitaxy results in rough morphology for onaxis substrates and smooth for vicinal. Suggestions for smooth growth
on-axis will be presented.
7:20 PM
A Study of Gas Phase Reactions in TMGa-NH3-NH2 Using InSitu Raman Spectroscopy: Min Huang1; Jang Yeon Hwang1; Timothy J. Anderson1; 1University of Florida, Chem. Eng. Dept., Gainesville,
FL 32611 USA
The most common precurs for MOCVD of GaN are Trimethylgallium
(TMGa) and ammonia (NH3). It is well known that TMGa and NH3
form a TMGa:NH3 adduct at low temperature. It is suggested that at
the higher temperature region close to the substrate, this adduct decomposes to yield the dimer or trimer of an addcut species ((CH3)2GaNH2)x.
In this contribution, the gas phase thermal dissociation/decomposition
of TMGa:NH3 in N2 has been studied at typical MOCVD reaction
conditions by in situ Raman spectroscopy. Besides the formation
of((CH3)2GaNH2)x species, at 3.7 mm from the substrate temperature
above 530 K, dissociation of the parent adduct TMGa:NH3 was observed to produce TMGa. The reactions were probed in a vertical
impinging flow cylindrical MOCVD reactor. Gas phase temperature
profiles were also obtained simultaneously using N2 rotational spectra.
A gas phase reaction mechanism scheme is proposed based on the
downstream spatially resolved Raman observation.
Mid-Infrared Materials and Devices
Tuesday AM
March 13, 2001
7:40 PM
Low Temperature Nitride Precursor Reactions: J. Randall
Creighton1; 1Sandia National Laboratories, Dept. 1126, PO Box 5800,
MS-0601, Albuquerque, NM 87185 USA
We have the examined the reactions and interactions of TMAl and
TMGa with ammonia using a variety of techniques. As expected, both
metalorganics react with ammonia to form adducts, which we unambiguously identify with mass spectroscopy. However, over the 0-200°C
range we have found no evidence supporting decomposition reactions
such as methane elimination. As the temperature is raised the adducts
simply dissociate back into the original reactants at rates consistent
with equilibrium calculations. We have measured the vapor pressures
of the adducts and their mixtures near room temperature and found that
condensation can be a significant process, especially at higher reactor
pressures. At 18°C the TMAl:NH3 and TMGa:NH3 vapor pressures
are 75 and 410 millitorr, respectively. The two species form a nearly
ideal solid solution, so the presence of one component will significantly
affect the vapor pressure of the second component in a manner that
mimics a “parasitic” reaction.
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Ishwara Bhat
8:20 AM
Structural and Optical Properties of Ultrathin GaSb/GaAs Quantum Wells Grown by MOVPE: O. J. Pitts1; S. P. Watkins1; 1Simon
Fraser University, Dept. of Phys., 8888 University Dr., Burnaby, BC
V5A 1S6 Canada
We report on the growth and characterisation of multiple type II
quantum wells of GaSb of up to 2 monolayer thickness embedded in
GaAs. These structures are formed by an Sb-As exchange reaction. At
500ºC, the GaSb thickness increases with the exchange exposure time,
up to 10 seconds, then decreases for t>10 sec. The crystalline quality
of the samples with exchange times less than 10 sec. is shown to be
excellent by high-resolution x-ray diffraction, however in-situ monitoring by reflectance difference spectroscopy presents evidence for strong
Sb-As intermixing. Optical properties of the GaSb quantum wells are
studied by photoluminescence and photoreflectance (PR) measurements.
Two strong features below the GaAs bandgap are resolved by PR,
which we attribute to type-II transitions involving heavy and light
holes. The splitting of these transitions is shown to increase linearly
with the GaSb well thickness. These features are observed even at
submonolayer GaSb coverages.
8:00 PM
Utilization of Results from In-Situ Vapor Phase UV-VIS Spectroscopy in the Design of Materials for OMVPE Growth: William
S. Rees1; Oliver Just1; Bettie Obi-Johnson2; Jason S. Matthews3; 1Georgia Institute of Technology, Sch. of Chem. & Biochem., 770 State St.,
Boggs Bldg., Rm. 3-44, Atlanta, GA 30332-0400 USA; 2Celanese Acetate, 2850 Cherry Rd., Rock Hill, SC 29730 USA; 3Union Carbide
Corporation, Tech. Ctr., 3200 Kanawha Trpk., PO Box 8361, South
Charleston, WV 25303-0361 USA
In OMVPE growth of oxides, an issue receiving attention is the
design of appropriate precursor chemistries. One challenge in this field
is to balance desirable growth mechanisms with sufficient vapor pressure and vapor phase stability of the source compounds. Relying on in
situ UV-VIS spectroscopy as a vapor phase diagnostic, deposition
mechanisms have been examined for a series of metal oxide precursors.
The information gained in this study has been utilized in designing
ligands for attachment to specific metals. This lecture will describe the
in situ vapor phase UV-VIS monitoring apparatus, present selected
8:40 AM
Structural, Electrical, and Optical Characterization of GaAsSb
on InP: S. P. Watkins1; O. J. Pitts1; C. Dale1; K. L. Kavanagh1; V. Fink1;
E. Chevalier1; S. Hummel2; N. Moll2; 1Simon Fraser University, Dept.
of Phys., 8888 University Dr., Burnaby, BC V5A 1S6 Canada; 2Agilent
Technologies, Elec. Rsch. Lab., Palo Alto, CA USA
GaAsSb is a promising material for the fabrication of high speed InPbased double heterojunction transistors. Previous reports of low hole
mobilities in GaAsSb layers grown at Simon Fraser University (SFU)
suggested an unusually strong alloy scattering mechanism for this material. Recent samples grown at Agilent show somewhat higher mobilities approaching those of InGaAs at comparable doping levels. We
3
patterned GaAs substrates by metalorganic chemical vapor deposition.
Transmission electron microscopy measurements show that the density of dislocations in the GaSb layer grown on a patterned GaAs
substrate with sub-micron openings is less than 106cm-2. Local stress
simulation indicates that the local stress gradient near a small opening is
effective in bending and confining dislocations to within ~2 µm from
the growth window, resulting in significant dislocation density reduction. We are exploring InGaAsSb and AlGaAsSb lattice matched to
GaSb for use in thermal photovoltaics (TPV). Toward this end we have
investigated the growth and doping of AlGaAsSb using metal-organic
chemical vapor deposition (MOCVD) in an Emcore D75 high-speed
rotating disk reactor. We have also explored the n- and p-type doping of
this material using diethyltellurium, tetraethyltin, and diethylzinc. We
will report on the growth conditions used to prepare these materials as
well as their characterization by x-ray diffraction, atomic force microscopy, photoluminescence, secondary ion mass-spectroscopy, and Hall
measurements. We are currently investigating the source of oxygen and
carbon impurities in AlGaAsSb and will also report on these results.
We will present current-voltage measurements of a cell isolation diode
fabricated from AlGaAsSb showing a breakdown voltage of greater
than -5 V at -1 amp/cm2 and a high shunt resistance. These diodes
should be able to serve as isolation diodes in TPV cells.
report differences in the levels of compositional ordering observed by
transmission electron microscopy in samples grown at SFU and at
Agilent, and believe that this is responsible for the observed variations
in hole mobilities. The ordering, detected in [001] plan-view images and
selected area diffraction patterns, has a primary modulation period of
around 1.1 nm aligned with a particular [110] in-plane direction. Room
temperature Raman spectra show no evidence of phase separation. A
strong plasmon peak observed in the Raman spectra can be used to
estimate the carrier concentration at high doping levels.
9:00 AM
The Preparation of AlGaAsSb Diodes On GaSb Substrates Using
Metal Organic Chemical Vapor Deposition: Robert M. Biefeld1; J.
G. Cederberg1; G. M. Peake1; M. N. Palmisiano2; 1Sandia National
Laboratory, Dept. 1126, PO Box 5800-0601, Albuquerque, NM 871850601 USA; 2Bechtel Bettis, Inc., West Mifflin, PA 15122 USA
We are exploring InGaAsSb and AlGaAsSb lattice matched to GaSb
for use in thermal photovoltaics (TPV). Toward this end we have investigated the growth and doping of AlGaAsSb using metal-organic
chemical vapor deposition (MOCVD) in an Emcore D75 high-speed
rotating disk reactor. We have also explored the n- and p-type doping of
this material using diethyltellurium, tetraethyltin, and diethylzinc. We
will report on the growth conditions used to prepare these materials as
well as their characterization by x-ray diffraction, atomic force microscopy, photoluminescence, secondary ion mass-spectroscopy, and Hall
measurements. We are currently investigating the source of oxygen and
carbon impurities in AlGaAsSb and will also report on these results.
We will present current-voltage measurements of a cell isolation diode
fabricated from AlGaAsSb showing a breakdown voltage of greater
than -5 V at -1 amp/cm2 and a high shunt resistance. These diodes
should be able to serve as isolation diodes in TPV cells.
10:00 AM Break
GaInAsN
Tuesday AM
March 13, 2001
9:20 AM
Spontaneous Vertical Composition Modulation in Epitaxial
GaInAsSb Grown on Vicinal Substrates: Christine A. Wang1; Christopher J. Vineis1; Daniel R. Calawa1; Paul M. Nitishin1; 1MIT Lincoln
Laboratory, Electro-Optical Matls. and Devices, 244 Wood St., Lexington, MA 02420 USA
Observations of spontaneous vertical composition modulation
(VCM) in epitaxial Ga1-xInxAsySb1-y (0<x<0.2, 0<y<0.2) are reported. Nominally lattice matched layers are grown by organometallic
vapor phase epitaxy on (001) GaSb substrates miscut 2 or 6° toward (1-11)A, (1-11)B, or (101). Field emission scanning electron microscopy and transmission electron microscopy (TEM) of epilayer crosssections reveal a layered structure with a high degree of regularity in the
growth direction. The layers are continuous and straight over several
microns of lateral distance, and spontaneously form a highly regular
superlattice with a periodicity between 15 to 20 nm. This VCM is
reproducible and is observed for a wide range of substrate miscuts,
alloy compositions, and deposition temperatures (525 to 575°C). Crosssection TEM suggests that GaInAsSb self-organizes at the onset of
growth and maintains a consistent periodicity throughout several microns of deposition. Triple-axis x-ray diffraction shows a particularly
remarkable feature of the atoms ordering to produce a layered structure
that is tilted 4° in addition to the miscut angle of the (001) substrate
surface plane.
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Raj Bhat
10:40 AM
Indium and Nitrogen Determination in (GaIn)(NAs)/GaAs MultiQuantum Well Structures: Kerstin Volz 1; Joerg Koch 1 ; Falko
Hoehnsdorf1; Andreas Schaper1; Wolfgang Stolz1; Leander Tapfer2;
Horst Baumann3; 1Philipps University Marburg, Mats. Sci. Ctr., HansMeerwein St., Marburg 35032 Germany; 2P.A.S.T.I.S., CNRSM,
Brindisi, Italy; 3Johann Wolfgang Goethe University, Inst. for Nucl.
Phys., Frankfurt/Main, Germany
The novel material system (GaIn)(NAs)/GaAs opens up the possibility of realizing 1.3 or even 1.55 µm wavelength emission based on
GaAs substrates. This high wavelength emission is due to the large
bandgap bowing of this quaternary material system. The dependence of
this bowing on the In and N concentration is up to now not known in
detail. Additionally, the investigated material system exhibits a large
miscibility gap under thermodynamic equilibrium conditions. Therefore the exact knowledge of the composition of the material is crucial
for applications. Multi-quantum well (MQW) structures of the metastable (GaIn)(NAs)/GaAs have been grown under non-equilibrium conditions by MOVPE, using as group V precursors 1,1-dimethylhydrazine and tertiarybutylarsine. (Resonant) Rutherford Backscattering Spectrometry and high-resolution Secondary Ion Mass Spectrometry have
been applied to determine the In and N contents in the MQW systems.
The elemental composition, which has been obtained from these investigations will be compared to the In and N contents gained from dynamical simulations to high-resolution XRD spectra. The accuracy of
the individual techniques on the determination of the elemental composition will be evaluated and discussed.
9:40 AM
Lateral Epitaxial Growth of GaSb: S. S. Yi1; Darren M. Hansen1;
Brian E. Hawkins1; Thomas F. Kuech1; C. K. Inoki2; D. L. Harris2; T. S.
Kuan2; 1University of Wisconsin, Dept. of Chem. Eng., 1415 Engineering Dr., Madison, WI 53706 USA; 2University at Albany, State University of New York, Dept. of Phys., Albany, NY 12222 USA
A principal concern in the growth of GaSb on GaAs substrates is the
large, 8 % lattice mismatch which leads to a high threading dislocation
density on the order of ~1010cm-2. The lateral epitaxial overgrowth
(LEO) of GaSb on GaAs substrates is of particular interest because the
laterally grown GaSb material could exhibit a reduced threading dislocation density. We present the successful results of LEO of GaSb on
11:00 AM
GaInNAs Quantum Well and Quantum Dot Structures for 1.55
µ m Emission on GaAs: Teppo Eerikki Hakkarainen1; Juha Ilmo
Toivonen1; Marco Ilmari Mattila1; Markku Antero Sopanen1; Harri
4
Lipsanen1; 1Helsinki University of Technology, Optoelectronics Lab.,
Otakaari 7 A, Espoo 02015 Finland
GaInNAs quantum wells (QWs) and self-assembled quantum dots
(QDs) may be utilized as an active media for 1.55 µm emission on
GaAs. We have fabricated GaInNAs QW and QD structures by atmospheric pressure metalorganic vapor phase epitaxy (MOVPE) using
dimethylhydrazine (DMHy) and tertiarybutylarsine (TBAs) as sources
for nitrogen and arsenic, respectively. The effect of in-situ and postgrowth annealing on the optical properties of QW samples was studied
in detail. The effect of coverage and growth temperature on density,
size, uniformity and optical properties of the GaInNAs islands was
studied. Room temperature photoluminescence of up to 1.55 µm was
obtained from the structures. Laser diode structures were fabricated
and optically excited. Laser emission at 1.15 µm was observed from
QW structures. The material system seems promising for the fabrication of 1.55 µm lasers on GaAs.
Posters
Tuesday PM - 7:00 PM
March 13, 2001
Room: Pavilion
Location: Hilton San Diego Resort
Session Chairs: Bob Biefeld; Steve Hummel
Three-Minute Oral Summaries in St. Tropez/Monte Carlo/Riviera
Room
Self-Organized Growth of InAs Quantum Wires on GaAs Substrate by MOCVD: Benzhong Wang1; Soo Jin Chua1; 1Institute of
Materials Research & Engineering, 3 Research Link, Singapore 117602
Singapore
Self-organized InAs quantum wires are first grown on a vicinal GaAs
(001) substrate by MOCVD using TMGa, TMIn and TBA as source
materials. Two-dimensional, quasi-three-dimensional of wires, and threedimensional of islands growth processes are observed during InAs deposition at higher growth temperature. However, islands are not formed
even if InAs with 6 monolayers is deposited on the substrate at 410°C.
Very strong photoluminescence (10K) emitted from the sample with
~1.5 monolayer deposition is located at 874.8 nm with full width at half
maximum of 13.8 meV. The emitting wavelength can be easily changed
by a mount of InAs depositing.
11:20 AM
Interface Induced Changes in the Optical Properties of
(GaIn)(NAs): Joerg Koch1; Bernardette Kunert1; Stephan Schaefer1;
Falko Hoehnsdorf1; Thorsten Torunski1; Siegfried Nau1; Kerstin Volz1;
Wolfgang Stolz1; 1Philipps University Marburg, Mats. Sci. Ctr. &
Dept. of Phys., Hans-Meerwein St., Marburg 35032 Germany
(GaIn)(NAs) has attracted a lot of interest in recent years, due to the
possibility to realize 1.3 µm or even 1.55 µm wavelength emission
based on GaAs substrates. We have investigated in detail the influence
of interface roughness on the optical as well as structural properties in
(GaIn)(NAs)/GaAs multiple quantum well (MQW) structures. All
samples have been deposited by MOVPE at low growth temperatures
of 525°C, applying the efficiently decomposing precursors TEGa,
TMIn, TBAs and UDMHy. The interfaces have been investigated by
atomic force microscopy (AFM), transmission electron microscopy
(TEM) studies and means of high resolution X-ray diffraction (HRXRD). Various experiments on growth interruptions, surface stabilization and thermal annealing of the interior interfaces in metastable
(GaIn)(NAs)/GaAs MQW structures have been performed to investigate the optical and structural changes. Possible correlations to device
performance will be presented and discussed. Financial support by the
Deutsche Forschungsgemeinschaft, Infineon Technologies and Mochem
is gratefully acknowledged.
Determination of Free Carrier Concentration in n-type GaSb
Using Raman Spectroscopy: James E. Maslar1; Wilbur S. Hurst1;
Christine A. Wang2; Douglas C. Oakley2; 1NIST, CSTL, 100 Bureau
Dr., Stop 8360, Gaithersburg, MD 20899-8360 USA; 2MIT, Lincoln
Lab., 244 Wood St., Lexington, MA 02420-9108 USA
Raman spectroscopy was used to evaluate the free carrier concentration in n-type GaSb. GaSb epilayers lattice-matched to GaSb substrates, GaSb epilayers lattice-mismatched to GaAs substrates, and
GaSb substrates were examined. Epilayers were grown by organometallic vapor phase epitaxy while substrates were grown by the liquid
encapsulated Czochralski method. GaSb epilayers grown on different
substrates were investigated since GaSb-based device structures are
grown on GaSb substrates, whereas test structures for GaSb carrier
concentration measurement are grown on GaAs substrates. Different
substrates are employed because electrical measurement of carrier concentration requires a semi-insulating substrate and semi-insulating GaSb
is difficult to obtain. Raman spectra indicate that GaSb epilayers grown
on GaSb substrates have larger free carrier concentrations than corresponding GaSb epilayers grown on GaAs substrates under nominally
identical conditions. This is significant because the assumption is often
made that for nominally identical growth conditions the GaSb carrier
concentration is independent of substrate.
11:40 AM
Material Growth and Design of InGaAsN Solar Cells: Andrew A.
Allerman1; Steve R. Kurtz2; Eric D. Jones3; Robert M. Sieg2; 1Sandia
National Laboratories, Dept. 1126, M/S0601, PO Box 5800, Albuquerque, NM 87185 USA; 2Sandia National Laboratories, Dept. 1742,
M/S0603, PO Box 5800, Albuquerque, NM 87185 USA; 3Sandia National Laboratories, PO Box 5800, Dept. 1123, M/S0601, Albuquerque, NM 87185 USA
Metal-organic chemical vapor deposition has been used to grow
InGaAsN solar cells with a bandgap (»1.05eV) suitable for integration
with the existing InGaP/GaAs multi-junction solar cells. InGaAsN solar cells were grown to examine the effects of V/III ratio and doping on
cell performance. Additional cells have been measured that employ
doping and compositional grading, and other structural designs to enhance photocurrent generation. Solar cell internal quantum efficiencies
(IQE) »70% and open circuit voltages (Voc) of »0.4V are obtained for
material with a 1.05eV bandgap. The hole diffusion length in annealed,
n-type, InGaAsN is approximately 1µm and has, to date, been independent of nitrogen concentration. Electron diffusion lengths are observed to scale with nitrogen concentration, ranging from 0.4µm in
1.20eV alloys (» 1% N) to < 0.1µm in 1.05eV alloys (» 2.5% N). To
date, high quantum efficiencies have only been obtained with cell designs utilizing hole diffusion in n-type material.
P-type Carbon Doping of GaSb: Rodney D. Wiersma1; James A. H.
Stotz1; Oliver J. Pitts1; Michael L. W. Thewalt1; Simon P. Watkins1;
1Simon Fraser University, Dept. of Phys., 8888 University Dr., Burnaby,
BC V5A 1S6 Canada
The growth of carbon-doped GaSb by MOVPE has never been reported, to our knowledge, despite increasing interest in carbon-doped
GaAsSb alloys for HBT applications.1 An important issue is the question of how carbon affects the lattice constant of GaSb alloys at high
doping levels. In this work, we report the use of carbon tetrachloride in
conjunction with triethylgallium and trimethylantimony to achieve doping levels in GaSb from 1e17 to greater than 1e19 cm-3. High resolution
X-ray diffraction measurements confirm that the effect of carbon on
the lattice parameter is significant for doping levels above 1e19 cm-3 as
in the case of GaAs. By introducing controlled low doping levels of
carbon into thick homoepitaxial samples we have succeeded for the
first time in identifying carbon-related low temperature photoluminescence bands, which we suggest are due to donor-acceptor pair and free5
Elemental Characterization of MOVPE Materials by Glow Discharge Mass Spectrometry: Alexandre G. Efimov1; Martin Kasik1;
Karol Putyera1; Olivier Moreau1; 1Shiva Technologies., Inc., 6707
Brooklawn Pkwy., Syracuse, NY 13211 USA
For a decade Glow Discharge Mass Spectrometry (GDMS) has
been used routinely for the trace elemental analysis of 10-100 microns
thick layers of solids. Its application to MO VPE semiconductor layers was beyond capabilities of the technique because of inherent high
sputtering rates (0.1-0.3 microns/min). Advances in the technique allowed us to diminish sputtering rates down to 0.02-0.03 microns/min.
At the same time detection limits were at the level below 1 x 10e14 at/
cm3 for simultaneous analysis of twelve elements in A3B5 materials
and films on Si grown by OM VPE. In the recent paper we demonstrate
results of trace elemental characterization of 1-10 microns thick MO
VPE materials (GaAlAs, InGaAs, InP and Cu/Si). GDMS has been
useful for evaluation of trace elemental background in precursor materials, finding sources of contamination in epitaxial process and substrates, interface study and rough depth profiling preceded high-resolution SIMS analysis.
to-bound transitions of carbon acceptors. 1C.R. Bolognesi, S.P. Watkins,
Compound Semiconductor 6, 94 (2000)
Effect of Orientation on the Growth Rate and Silicon Incorporation Rate on GaSb Grown by Metalorganic Vapor Phase Epitaxy:
Ishwara B. Bhat1; Jian Yu1; 1Rensselaer Polytechnic Institute, ECSE
Dept., JEC 6032, 110 8th St., Troy, NY 12180 USA
The incorporation rate of dopants during metalorganic vapor phase
epitaxy (MOVPE) strongly depends on the orientation of the starting
substrates. MOVPE of Si-doped GaSb layers grown on (100), (111)B
and (111)A substrates were used to study the effect of orientation on
the growth and silicon incorporation rates. Orientation dependence of
growth rates and silicon incorporations were studied as a function of
temperature and V/III ratio. As the V/III ratio increased, the growth rate
on the (111)B oriented substrate decreased and the growth rate on the
(111)A oriented substrate increased. The surface morphology on different substrates was studied by scanning electron microscopy (SEM)
and atomic force microscopy (AFM). Flat-top hexagonal hillocks were
observed on (111)B surface, and the growth was by step-flow on these
facets. A surface kinetic growth model has been proposed to account
for the growth features observed on the (111)B surfaces. Finally, the
orientation dependence of silicon incorporation was studied by secondary ion mass spectrometry and Hall measurements. It was observed
that the silicon incorporation rate was 4-8 times higher on (100) oriented surface than on the (111)B oriented substrate. Data from the
electrical and morphological studies are presented.
Implementation of Ion Implantation for III-V Compliant Substrates: Martin Chicoine1; Sjoerd Roorda1; Remo A. Masut2; Patrick
Desjardins2; Chantal Beaudoin1; 1Universite de Montreal, Dept. of
Phys., C.P. 6128 Succursale Centre-Ville, Montreal, Quebec H3C 3J7
Canada; 2Ecole Polytechnique de Montreal, Genie Physique, C.P. 6079
Succ. Centre-Ville, Montreal, Quebec H3C 3A7 Canada
A compliant substrate will allow the partition of the strain in a
heteroepitaxial coherent film or heterostructure with the substrate. We
propose that a shallow uniform layer of nanometer-sized cavities below the surface of the substrate will de-couple the top thin layer of the
substrate, thus effectively producing a pseudo free-standing thin layer,
which could render the substrate compliant. The present work shows
that such cavities can be created in III-V substrates by low energy
helium implantation followed by adequate thermal treatment of the
substrate previous to growth. Pre-implantation, however, may create
structural damage in the substrate, particularly near the surface, which
seriously affects subsequent material growth. We have established conditions for the creation of cavities followed by successful OMVPE of
InP-based heterostructures. These heterostructures of varying strain
and thickness, and the underlying substrate were characterized structurally by high resolution X-ray diffraction and transmission electron
microscopy. We will also present absorption and photoluminescence
data comparing simultaneous growth on normal and pre-implanted substrates.
Orientation Dependence of GaInAsSb Grown by Organometallic
Vapor Phase Epitaxy: Christine A. Wang1; Daniel R. Calawa1; Christopher J. Vineis1; 1MIT Lincoln Laboratory, Electro-Optical Mats. &
Devices, 244 Wood St., Lexington, MA 02420-9108 USA
The GaInAsSb alloys, which are currently being developed for optoelectronic devices that operate in the mid-infrared wavelength range, are
thermodynamically metastable materials and can phase separate. The
phase separation proceeds during growth at the surface of the epitaxial
layer and leads to degradation in material quality. Consequently, the
epitaxial surface step structure, as it is affected by growth kinetics, is
important in understanding approaches to further improve GaInAsSb
epitaxial alloys. This paper reports the orientation dependence of
GaInAsSb alloys grown by organometallic vapor phase epitaxy. Layers
were grown nominally lattice matched to (001) GaSb substrates with
either a 2° or 6° miscut toward (-1-11)A, (1-11)B, or (101). The surface
structure was measured by ex-situ atomic force microscopy, while structural and optical properties were determined by triple axis x-ray diffraction, transmission electron microscopy, and photoluminescence. A
periodic surface step structure is correlated with good structural and
optical properties, while an irregular surface is indicative of phaseseparated material with comparatively degraded properties. The surface decomposition is affected by substrate miscut angle, and details of
the dependence of phase separation on substrate misorientation are
reported.
MOCVD-Grown InGaAsN using Efficient and Novel Precursor,
Tertiarybutylhydrazine, for Optoelectronic & Electronic Device
Applications: Nein-yi Li1; Paul R. Sharps2; Fred Newman2; Hong
Hou2; Pablo Chang3; Albert G. Baca4; Ravi Kanjolia5; 1Kingmax Optoelectronics, No. 1 Kuang Fu North Rd., Hsin Chu Industrial Park, Hu
Kuo, Taiwan; 2Emcore PhotoVoltaics, 10420 Research Rd. SE, Albuquerque, NM 87123 USA; 3Agilent Technology, 3175 Bowers Ave. M.
S. 87D, Santa Clara, CA 95054 USA; 4Sandia National Laboratories,
1515 Eubank Blvd. SE, Albuquerque, NM 87185-0603 USA; 5Epichem
Inc., 26 Ward Hill Ave., Haverhill, MA 01835-0730 USA
Currently, dimethylhydrazine (DMHy) has been commonly used as
the N source for InGaAsN growth by MOCVD. Generally speaking, a
low-temperature growth and a much higher DMHy/AsH3 flow rate
ratio are necessary to incorporate enough N into InGaAs by MOCVD.
However, incomplete pyrolysis of DMHy at low growth temperatures usually introduces carbon impurities from methyl-ligand in DMHy
into InGaAsN epilayers. In addition, a much higher DMHy flow is
required to maintain a high flow rate ratio of DMHy/AsH3 for InGaAsN
growth, making DMHy not practical and economical for low-cost mass
production, especially for high-efficiency quadruple-junction InGaAsN
solar cells. Therefore, we propose and demonstrate, for the first time,
the feasibility of using tertiarybutylhydrazine (TBHy) as an efficient
and a less carbon-containing N precursor for the growth of high-quality
InGaAsN by MOCVD at lower growth temperatures. Based on our
GaAs/GaInP Uniformity Control in Planetary Reactors
 : T.
Schmitt1; M. Deufel1; J. Hofeldt1; M. Heuken1; H. Juergensen1; 1Aixtron
AG, Kackertstr 15-17, Aachen 52072 Germany
The MOCVD approach has been developed to provide material of
superior quality in terms of lateral uniformity and abrupt interfaces.
This will be shown on the basis of GaAs/GaInP superlattices grown on
GaAs in a standard 8x4 inch configuration of an AIX 2600G3 system,
which is based on a laminar radial flow. The excellent p-doping uniformity of less than 1% at a doping level of 3x1019 cm-3 and controllability
of auto carbon doping in GaAs will be shown and discussed in detail.
Here we use XRD-, sheet resistance-, Hall- and CV-profile measurements to assess the layer properties. Hereby we will show the influence of the group V gas flow on the growth rate and auto doping. In
addition unique thickness uniformity below 1% standard deviation in
GaInP based layer structures, which is the basic demand for the commercial production of electronic and optoelectronic devices like UHB
LED, LASER and HEMT will be discussed.
6
Vibrational spectroscopy and ab initio cluster calculations reveal that
the H atoms break the phosphorous dimer bonds and attack the singly
and doubly occupied dangling-bond orbitals. The implications of these
results for real-time monitoring of the OMVPE process will be discussed at the meeting.
preliminary results, TBHy is a more efficient and a less carbon-containing N precursor than DMHy for growth of high-quality InGaAsN.
More details on performance of InGaAsN solar cells & laser diodes will
be presented.
Bulk Sub-Atmospheric Pressure Hydride Storage and Delivery
System: Karl Olander1; 1ATMI, Inc., 19616 Gulf Blvd., Ste. 301,
Indian Shores, FL 33785 USA
During the last five years, the sub-atmospheric pressure storage and
delivery of toxic and pyrophoric gases has evolved from supplying ion
implant dopants to broader acceptance in CVD and OMVPE applications. SAGE [Sub-Atmospheric Pressure Gas Enhanced] containers
that can deliver in excess of 200 pounds of arsine [450 Liter] have been
approved by the DOT and are in development. Arsine purity comparable to high pressure sources has also been achieved. This paper compares conventional high pressure hydride use with bulk SAGE delivery
systems in several key areas; [1] risk reduction, [2] cost to install and
[3] overall cost of ownership. Details of the first U.S. application of
bulk SAGE, including an extraction and delivery system supplying
several production reactors, are discussed.
9:00 AM
Composition Modulation in GaInP Epilayers Enhanced by the
Addition of Surfactants during OMVPE Growth: Gerald B.
Stringfellow1; R. T. Lee1; C. M. Fetzer1; D. C. Chapman1; J. K. Shurtleff1;
1University of Utah, College of Eng., 1495 E. 100 S., Rm. 214, Kennecott
Bldg., Salt Lake City, UT 84112 USA
The use of surfactants in semiconductors during OMVPE is a powerful and exciting tool for controlling the epilayer properties. The addition of a small Sb or Bi concentration leads to the elimination of CuPtB ordering. Additional changes occur at higher Sb concentrations. The
layers spontaneously form a structure with a lateral compositional
modulation (CM) in the [110] direction. Periodic surface undulations
are clearly seen for the layers with CM. The low temperature PL peak
energy is observed to decrease with increasing CM. The PL emission is
strongly anisotropic, with a high intensity in the [1-10] direction which
is the reverse of the polarization observed for CuPt ordered GaInP
layers. To determine the importance of kinetics in forming the CM
structure, the effect of growth rate was studied. The amplitude of the
CM in layers grown with both surfactants is significantly increased for
decreased growth rates. The process controlling the amplitude appears
to be kinetically-limited. It is likely that adding the surfactant Sb or Bi
increases the adatom surface diffusion coefficients which acts to enhance the extent of CM, especially for low grown rates.
Growth Mechanism II
Wednesday AM
March 14, 2001
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
9:20 AM
Reflectance Difference Spectroscopy of Mixed Surface Phases of
Gallium Arsenide and Indium Phosphide (001): Sven B. Visbeck1;
Qiang Fu1; Mike J. Begarney1; Daniel C. Law1; Connie H. Li1; Robert F.
Hicks1; 1University of California, Los Angeles, Chem. Eng. Dept.,
5531 Boelter Hall, 405 Hilgard Ave., Los Angeles, CA 90095 USA
During MOVPE growth, the surfaces of gallium arsenide and indium
phosphide exhibit mixed structures, in which the distribution among
surface phases depends on the temperature and pressure of the group V
precursor. In this work, we report on reflectance difference spectra of
mixed phases of GaAs and InP (001), which have been benchmarked
against scanning tunneling micrographs. The RDS line shape for InP is
a linear combination of the spectra of the two principal surface reconstructions, the (2x1) and (2x4). By contrast, the RDS line shape for
GaAs is not a simple function of the two main reconstructions, the
c(4x4) and (2x4). The STM data show that a complex roughening process occurs as the arsenic coverage is decreased from 1.75 monolayers
(ML) for the c(4x4) to 0.75 ML for the (2x4). This is due to gallium
out-diffusion onto the surface and nucleation of small, metastable (2xn)
domains (n = 2, 3 or 4) on top of the c(4x4) phase.
Session Chair: Jerry Olson
8:20 AM
In-Situ Control of InP-Based Hetero-Interfaces with UHV-Characterization: Thomas Hannappel 1 ; Lars Töben 1 ; Christian
Pettenkofer1; Sven Visbeck1; Frank Willig1; 1Hahn-Meitner-Institut,
SE4, Glienicker Strasse 100, Berlin D-14109 Germany
The knowledge of the electronic and atomic properties of the InP(100)
surface is of crucial importance for the preparation of abrupt heterointerfaces in thin film devices. In this paper InP-based interfaces were
prepared with specific MOCVD-recipes and, after contamination-free
sample transfer, investigated in ultra high vacuum (UHV). They were
studied with photoelectron spectroscopy (PES), STM, LEED, and
reflectance anisotropy spectroscopy (RAS) at 20 K. The formation of
highly ordered surfaces is indicated by maximum peak heights and
specific fine structure in RA spectra, and by pronounced valence band
structures in PE spectra. The low temperature RA spectra were correlated with PES measurements that were recorded before and after exposure to excited H2 and with LEED and STM. This is shown here for the
ordered (2x4) reconstructed In-terminated and for the ordered (2x1)like reconstructed P-terminated interfaces.
9:40 AM
Enhanced RDS Intensities from Thin Layers of GaAs on Vicinal
(100)Ge: J. M. Olson1; W. E. McMahon1; 1National Renewable Energy Laboratory, 1617 Cole Blvd., Golden, CO 80401 USA
Despite the small lattice mismatch, the nucleation of GaAs on Ge
can be strongly three-dimensional (3D). In this study we use reflectance difference spectroscopy (RDS) to study the initial stages of GaAs/
Ge heteronucleation. For conditions that promote specular nucleation,
i.e. low growth temperatures and low V/III ratios, the RD spectrum is
essentially that of 2x4-like GaAs with positive peak intensities, at
2.6eV and 4.4eV, of less than 0.005. Increasing the V/III ratio during
nucleation from 5 to 30 promotes the growth of larger 3D islands with
a concomitant increase in the RD intensities of the 2.6eV and 4.4eV
peaks by more than an order of magnitude. With slower growth rates
and higher growth temperatures, peak intensities as large as 0.13 have
been observed. Possible causes of this phenomenon are presented and
discussed.
8:40 AM
Effect of Hydrogen Adsorption on Reflectance Difference Spectroscopy of Indium Phosphide (001)-(2x1) Surface: D. C. Law1; Q.
Fu1; C. H. Li1; Y. Sun1; S. B. Visbeck1; R. F. Hicks1; 1University of
California, Los Angeles, Chem. Eng. Dept., 5531 Boelter Hall, Los
Angeles, CA 91007 USA
Reflectance difference spectroscopy (RDS) is a promising technique
for real-time monitoring of compound semiconductor surfaces during
organometallic vapor-phase epitaxy (OMVPE). However, it is difficult
to assign the features in the optical spectra without first benchmarking
them against well-characterized surface structures. We have found that
hydrogen atoms bond relatively strongly to the indium phosphide (001),
and should at least partially cover the surface during the growth process. The RDS spectra of InP are dramatically affected by adsorbed
hydrogen. The positive and negative peaks seen in the spectra of the
phosphorous-rich (2x1) reconstruction decrease proportionately with
the hydrogen coverage, and at saturation, lack any defined structure.
10:00 AM Break
7
growth mechanism appears to be different compared to the mechanisms described for GaN. Complete characterization studies along with
the growth mechanism will be presented at the talk.
Novel Materials
Wednesday AM
March 14, 2001
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Devices
Session Chair: Chris Wang
Wednesday PM
March 14, 2001
10:40 AM
Alternative Boron Precursors for BGaAs Epitaxy: John F. Geisz1;
D. J. Friedman1; Sarah R. Kurtz1; 1National Renewable Energy Laboratory, 1617 Cole Blvd., Golden, CO 80401 USA
The MOVPE growth of zinc-blende BxGa1-xAs and BxGa1-x-yInyAs
alloys with boron concentrations (x) up to 3%-5% has recently been
demonstrated using diborane as a boron precursor. Growth of these
alloys using diborane is complicated by many factors, such as parasitic
gas-phase reactions, highly temperature-dependent boron incorporation, and limited boron incorporation before the onset of structural
breakdown. These factors suggest that diborane may not be the best
precursor for the growth of these alloys. We will compare the use of
alternative boron precursors; trimethylboron (TMB), triethylboron
(TEB), and boron trifluoride (BF3), with diborane for the MOVPE
growth of these boron containing III-V alloys.
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Mike Tischler
1:30 PM
Influence of Oxygen in AlGaAs-Based Laser Structures with AlFree Active Region on Device Properties: A. Knauer1; G. Beister1;
F. Bugge1; G. Erbert1; H. Wenzel1; J. Sebastian1; B. Sumpf1; M. Weyers1;
G. Traenkle1; 1Ferdinand-Braun-Institut, Mats. Tech. Div., AlbertEinstein-Str. 11, Berlin D-12489 Germany
It has been reported that oxygen incorporation in AlGaAs-based
laser structures with Al-containing active region degrades the laser performance. Thus trimethyl aluminum (TMAl) sources with especially
low oxygen concentration were developed for the deposition of AlGaAs
by MOVPE. Additionally, Al-free active regions have been introduced
for high-power laser applications. Here AlGaAs-based laser structures
with GaAsP active region for emission near 730nm and 800nm were
deposited from TMAl sources with different levels of oxygen contamination. Broad area laser devices were fabricated from these structures
and their laser data determined. The data show, that low oxygen levels
in the AlGaAs waveguide structure are especially required for shorter
emission wavelengths even for laser structures with Al-free active region. For 730nm emission 7W output power and a degradation rate of
1·10-5h-1 at 2W cw (100µm stripe width x 4mm, 25C) are achieved
from such structures using the TMAl source leading to the lowest Ouptake.
11:00 AM
Growth and Characterization of MOVPE Grown (In,Mn) as Diluted Magnetic Semiconductor: A. J. Blattner1; B. W. Wessels1;
1Northwestern University, Mats. Rsch. Ctr., 2225 North Campus Dr.,
Evanston, IL 60208-3108 USA
The metalorganic vapor phase epitaxy of (In,Mn)As diluted magnetic semiconductor was investigated. Trimethylindium,
cyclopentadienyl-manganese and arsine were used as reactants. Single
phase In1-xMnxAs films with x<0.1 were grown at a substrate temperature of 475 °C. X-ray diffraction indicated that the films were epitaxial.
For x greater than 0.1, MnAs formed as a second phase. The MnAs was
also nominally epitaxial. Single phase In1-xMnxAs films were p-type
with carrier concentrations up to 1.4x1019 cm-3. To determine their
optical properties as well as the presence of impurities in the thin films,
Fourier transform infrared spectroscopy was used. FTIR indicated the
presence of absorption peaks at 2917 cm-1 and 2848 cm-1. These absorption peaks are attributed to the symmetric and asymmetric vibrational stretching modes of C-H in CHn (n=1-3) defect complexes. The
C-H complexes are unintentionally incorporated during the (In,Mn)As
film growth.
1:50 PM
PIN Photodetector Epitaxy Process Development in a Planetary
Reactor: Jedon Kim1; D. C. Sutryn1; E. J. Michel1; F. Reinhardt1;
1Lucent Technologies, Microelectronics, 2525 N. 12th St., Reading, PA
19612 USA
Successful epitaxy process development of InGaAs based PIN photodetectors on InP substrates using a planetary production metal organic chemical vapor deposition (MOCVD) reactor is reported. The
PIN structure consisted of 0.5µm InP (or Q)/3.5µm (or 5.2µm) InGaAs/
1.5µm InP grown on 2 inch InP substrates. InP wafer surface treatment
and InP(or Q)/InGaAs interface control are found to be critical for the
electrical properties of the device. Epi-ready substrates from 5 different vendors have been used and appropriate surface treatment is discussed based on the characterization results and device yield. Optimization process of the InP(or Q)/InGaAs interface is also discussed.
Structural (X-ray diffraction and Transmission Electron Microscope)
and electrical (Hg probe C-V) characterization techniques have been
used for this optimization. Photoluminescence intensity measurement
is found to be a reliable characterization technique for the prediction of
the device performance and yield.
11:20 AM
Epitaxial Lateral Overgrowth of CdTe on GaAs Substrates using
MOVPE: Ishwara Bhat1; Ruichao Zhang1; 1Rensselaer Polytechnic
Institute, ECSE Dept., JEC 6003, Troy, NY 12180 USA
Epitaxial lateral overgrowth (ELO) is a new technique to grow lowdefect-density thin films on lattice-mismatched substrates. This technique has been successfully applied to grow high quality GaN on sapphire and SiC substrates. We have applied this method to grow low
defect density CdTe on GaAs substrates using metalorganic vapor phase
epitaxy. A Si3Nx mask layer of about 1000A thick was deposited on
GaAs substrates and patterned using standard photolithography. The
MOVPE growth was carried out using dimethylcadmium and
diethyltellurium using vertical low-pressure reactor. Perfect selectivity
was obtained when the temperature was >500C and pressures <30
Torr. ELO CdTe with vertical side-walls and flat-top surface could be
obtained by orientating the window stripes along one of the [110]
directions. For stripes along [001] orientations, the ELO CdTe had
faceted structures (either triangular or inverted triangular). AFM studies indicate that selectively grown CdTe had reduced defect density and
better surface morphology compared to layers grown on unpatterned
regions. However, there was no discernible difference between the materials in the window region and the ELO region, indicating that the
2:10 PM
Growth of InP as Current Blocking Structures for 1.55 Micron
Laser: Joseph Brian Seiler1; D. C. Sutryn1; E. J. Michel1; F. Reinhardt1;
K. Grim-Bogdan1; K. Hinkle1; V. Panayotov1; 1Lucent Technologies,
Microelectronics, 9999 Hamilton Blvd., Breinigsville, PA 18031 USA
Mono mode Distributed Feedback diode lasers for 1.55 micron application require focus of electrical current in areas as narrow as one
micron or less. This can be achieved by selective area etching and re
growth of the non-laser areas with either resisting material or reverse
biased p-n junctions. We studied the epitaxial growth of a blocking
8
structure of a capped mesa buried hetero structure (CMBH)- laser in a
planetary production MOCVD reactor. The mesa definition was
achieved by wet chemical etching. The mesa structure before growth
consists of a silicone dioxide mask protecting the active region, while
the field area is exposed to the epitaxial growth. The self-organization
of non-planar surfaces with microscopic dimensions will be discussed
with respect to growth parameters and device performance. High Resolution cross-sectional Scanning Electron Microscopy (SEM) and confocal microscopy reveals the development of crystallographic facets
and their dopant incorporation.
these, Solkatronic Chemicals has an active Responsible Care program,
which examines the Gases throughout their life cycle. Over the last ten
years, Solkatronic has examined a variety of issues related to package
integrity, Emergency Response, Safety for the ESG products. The presentation will summarize some of the recent safety studies: Cylinder
Valve Impact Testing Cylinder Leak TestingPhysical Testing (Hydrogen Selenide Flammability, Phosphine Pyrophoricity)
3:45 PM
Safe Handling of Liquid Organometallics via an Automated Bulk
Delivery System: Ravi Kanjolia1; Stan Pryll1; Richard Pearce1; Graham Williams2; 1Epichem, Inc., 26 Ward Hill Ave., Haverhill, MA
01835 USA; 2Epichem, Ltd., Power Rd., Bromborough, Wirral
CH623QF UK
The inherent hazard associated with organometallic precursors in
MOCVD is their pyrophoricity and the toxicity of the resultant byproducts. For example, trimethylgallium ignites in air to form gallium
oxide, which is a known irritant on inhalation, and a moderately toxic
oxide. As MOCVD technology moved into production, the handling of
OM bubblers has increased considerably at fabrication facilities. The
large-scale consumption of OM’s has resulted in increased handling in
a number of organizational units including shipping & receiving, storage, and operations. The automated, bulk OM delivery system- EpiFill
is a safe and cost effective system that reduces operator intervention
for bubbler change-out, and minimizes handling by shipping, receiving,
and stores personnel. This system was developed to reduce reactor
downtime, reduce qualification cycles, and increase safety at MOCVD
growth facilities.
2:30 PM
Growth of InGaAsP Structures over Sub-Micron Gratings in a
Production Scale MOCVD Reactor: Gayathri K. Rao1; G. M. Ford1;
C. W. Ebert1; T. Pinnington1; F. Reinhardt1; 1Lucent Technologies,
Microelectronics, 9999 Hamilton Blvd., Breinigsville, PA 18031 USA
Design of Distributed Feedback Laser, Modulators and selective
optical components for 1.55 micron application requires epitaxial growth
on non-planar sub micron gratings as well as on patterned surfaces. We
studied the growth morphology and the optical properties of MQW
structures, grown on top of gratings as a function of external growth
parameters in a planetary commercial production reactor. High Resolution Scanning electron Microscopy (SEM), standard X-Ray and micro
X-Ray, locally resolved photoluminescence and Atomic Force Microscopy (AFM) were employed to asses growth quality, MQW quality,
and growth front evolution of quaternary fill-in layer on sub micron
gratings. Growth enhancement of selective area growth as a function of
external parameter was studied and optimized. Wafer to wafer and run
to run wavelength reproducibility will be presented as well as device
data in manufacturing mode.
4:00 PM
Advancements in Safe Delivery of Hydride Gases for III-V Manufacturing: Jim Dietz1; Ray Dubois1; Jim Mayer1; 1ATMI, Inc., 7
Commerce Dr., Danbury, CT 06810 USA
The manufacture of III-V compounds via chemical vapor deposition
requires the use of highly toxic arsine and phosphine gases. On the
facilities side, manufacturers have implemented automated gas delivery
systems, multi-point gas monitoring, and one or more emergency gas
scrubbers to manage the risk of using these toxic liquified gases. On the
process side, film quality is highly dependent on hydride gas quality
both over the life of a cylinder and from cylinder to cylinder. As compound semiconductor wafer starts increase to meet the growing demand
for compound semiconductors, the demand from III-V fabricators for
arsine and phosphine will grow accordingly. With this increase in demand for both arsine and phosphine, an increased risk associated with
the higher use of these toxic, flammable dopants is ever present. The
paper will discuss the requirements and possibilities for installing minibulk sources of arsine and phosphine using a sub-atmospheric pressure
delivery system to reduce the potential for catastrophic releases of
arsine and phosphine. The paper will present process data on deposited III-V films to demonstrate the viability of using sub-atmospheric
pressure sources. Last, the paper will describe implementation of minibulk arsine and phosphine in new and existing fabricators to decrease
the risk of storing large volumes of hydrides.
2:50 PM
Design of Vertical Tapers Using Selective-Area Epitaxy with Quantitative Modeling: Jonathan E. Greenspan1; Ian Betty1; Richard Glew1;
Robert Foster1; 1Nortel Networks, PO Box 3511, Ottawa, Ontario
K1Y 4H7 Canada
Next generation photonic systems require components integrating
two or more devices. For example, better coupling into a fiber can be
achieved by integrating a laser with an optical spot-size converter (SSC).
One approach to implementing a SSC is with a vertical taper. We report
on a method for fabricating vertical tapers integrated with an active
device in an MOCVD reactor. Quantitative modeling of the selectivearea growth process is used to determine the mask dimensions required
to deposit a waveguide core with a thickness profile previously optimized by beam propagation calculations. Modeling is also used to
predict the composition and strain shifts caused by growth in the presence of the dielectric masks, and to minimize the peak strain shift.
Measurements using spatially resolved photoluminescence, energy dispersive x-ray analysis, and surface profiling are reported to demonstrate the validity and usefulness of the model.
3:10 PM Break
Safety
Wednesday PM
March 14, 2001
4:15 PM
Safety of Metalorganics during Transportation and Storage: Jim
Dixon1; 1EMF, Ltd., Cambridge, UK
The amounts of Metalorganics used has increased dramatically over
the past few years; in terms of the number of users and the quantities
shipped. In addition the quantities stored on site has also increased to
keep pace with increasing production requirements. In order for the
work to be carried out safely, we studied methods of extinguishing
metalorganic fires. Investigations were carried out to examine the efficacy of the packing requirements as defined by international regulations in terms of crash and fire resistance. Also examined were the
effects of escaping Metalorganics into the shipping containers.
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Raj Bhat
3:30 PM
Compound Semiconductor Gas Product Stewardship: Eugene Y.
Ngai1; 1Solkatronics, Morrisville, PA USA
Many of the Electronic Specialty Gases (ESG) used in growing compound semiconductor devices are highly toxic, corrosive and/or pyrophoric. To reduce the risk in the Filling, Use and Transportation of
4:30 PM
Appropriate PPE for Pyrophoric Materials: New Evaluations: Curtis
Post1; Greg Smith1; Eric Majoor1; 1Akzo Nobel, Deer Park, TX USA
9
perature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the
epitaxial lateral overgrowth process, however a notable difference is the
absence of coalescence boundaries. The role of stress on the microstructure of the GaN obtained by the IT-IL process will be described.
Most of the organometallics used in MOCVD processes possess
particular inherent hazards. They are pyrophoric and react violently
with water. These chemicals can, however, be handled safely using
good safety practices and proper Personal Protective Equipment (PPE).
Recent experience at Akzo Nobel implied a need for improved PPE for
handling pyrophoric organometallics and suggested that PPE evaluations based on small scale tests conducted in the past were not fully
predictive of field performance. Akzo has now conducted small-scale
lab PPE tests, larger scale lab PPE tests, and field-scale tests of complete PPE ensembles to establish stringent and reliable standards for
pyrophoric organometallics handling PPE. A variety of materials of
construction for gloves, outer and inner garments, face shields and hoods
were tested under controlled conditions to determine the optimum PPE
required for each task to be performed. Based on the findings of these
tests, Akzo Nobel recommends specific PPE for personnel performing
potentially hazardous tasks. These include: removing caps from product cylinder inlets and outlets of cylinders containing High Purity
Metalorganics; breaking connections between process equipment and
product cylinders; and opening lines or equipment formerly containing
pyrophoric organometallics that are not definitely known to be free of
pyrophoric materials. The PPE recommended for each situation is predicated upon a philosophy of providing complete protection for all parts
of a worker when product spills or leaks are possible. Experience has
shown that it is important to not leave any part of the worker exposed
and that even small spills can cause serious harm to unprotected personnel. Akzo Nobel also offers a Safety Self-Audit Checklist or, upon
request, will come to your facility and perform a Safety Audit at no
charge.
8:40 AM
Progress in Planar Growth of GaN on Si(111): Hugues Marchand2;
Brendan Moran1; Lijie Zhao1; Naiqian Zhang2; Rob Coffie2; James S.
Speck1; Umesh K. Mishra2; Steven P. DenBaars1; 1University of California, Dept. of Matls., Santa Barbara, CA 93117 USA; 2University of
California, Dept. of Elect. & Comp. Eng., Santa Barbara, CA 93117
USA
Two schemes of nucleation and growth of gallium nitride on Si(111)
substrates are investigated and the structural and electrical properties
of the resulting films are reported. Gallium nitride films grown using a
10-500 nm-thick AlN buffer layer deposited at high temperature
(~1050°C) are found to be under 260-530 MPa of tensile stress and
exhibit cracking, the origin of which is discussed. The threading dislocation density in these films increases with increasing AlN thickness,
covering a range of 1.1E 9 cm-2 to >5.8E 9 cm-2. Films grown using a
thick, AlN-to-GaN graded buffer layer are found to be under compressive stress and are completely crack-free. Heterojunction field effect
transistors (HFETs) fabricated on such films result in well-defined
saturation and pinch-off behavior with a saturated current of ~525 mA/
mm and a transconductance of ~100 mS/mm in DC operation.
9:00 AM
The Influence of Process Conditions on the Growth of Group-III
: B.
Nitrides in Production Type MOCVD Planetary Reactors
Schineller1; O. Schoen1; H. Protzmann1; M. Luenenbuerger1; A. Alam1;
M. Heuken1; M. Juergensen1; 1Aixtron AG, Kackertstr 15 - 17, Aachen
D-52072 Germany
We investigated the growth of InGaN/GaN MQW in the single wafer
AIX 200 RF system and the production type AIX 2000 G3 HT in the
6 x 2 inch and 5 x 3 inch configuration. The formation of luminescent
quantum dots was found to be enhanced with decreasing growth temperature and increasing In content in the gas phase. Higher reactor total
pressures were found to lead to higher In incorporation without enhancing the amount of phase separation. In full loaded runs we were
able to tune the wavelengths through the visible spectrum with wafer to
wafer standard deviations of 0.9% at 441.5 nm, 1.4% at 470.7 nm,
1.3% at 499.3 nm, and 0.3% at 579.8 nm. We will show additional data
on electrical, optical and structural properties of various layer structures which are commonly used as building blocks for device fabrication. A special focus will be on the reproducibility and yield determining factors.
4:45 PM
Safe Handling of Organometallics: Robert Stennick1; 1Hass Company, North Andover, MA 01845 USA
Metalorganic compounds used in vapor phase epitaxy are generally
regarded as hazardous materials and exhibit varying degrees of spontaneous combustibility and toxicity. For over 30 years, these products
have been safely used for the growth of compound semiconductor
films. This presentation will review the hazardous properties of
metalorganics, safe handling and actions useful in the event of an accidental release. Finally, proactive measures to ensure the continued safe
application of these products will be discussed.
5:00 PM User Comments/Discussion
Nitrides I
Thursday AM
March 15, 2001
9:20 AM
InGaN/GaN Quantum Well Growth on GaN Stripes Formed by
Lateral Epitaxial Overgrowth: Xingang Zhang1; P. D. Dapkus1;
Dawei Ren1; D. H. Rich2; 1University of Southern California, Compound Semicond. Lab., 3651 Watt Way, VHE 313, Los Angeles, CA
90089-0243 USA; 2University of Southern California, Dept. of Mats.
Sci., 3650 Watt Way, VHE 607, Los Angeles, CA 90089-0241 USA
InGaN/GaN QWs have been grown on top of LEO GaN mesa stripes
which have atomically smooth inclined sidewalls and 2 µm wide flat
tops. These mesa stripes are uniquely formed by coalescence of two
adjacent LEO GaN stripes each with the triangular cross section. Threading dislocations (TD) in the center of the coalesced region are reduced
by the mask blocking process and by TD bending since the two coalescence GaN stripes have the inclined {1101} sidewalls. Our preliminary
MOCVD growth and cathodoluminescence (CL) studies show strong
In spatial migration. Two distinct emission peaks at 390 nm and 430
nm are observed in the spectra that originate, respectively, from the
sidewalls and mesa top. CL images revealed that both the top QW
emission at 430nm and the sidewall emission at 390nm are uniform and
strong. This is in sharp contrast to QW growth on planar GaN buffer
that usually shows strong In phase segregation and carrier localization.
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Russ Dupuis
8:20 AM
Mechanisms of Dislocation Reduction in GaN using an Intermediate Temperature Interlayer: E. D. Bourret-Courchesne 1; M.
Benamara1; Z. Liliental-Weber1; J. Washburn1; 1E.O. Lawrence Berkeley Laboratory, 1 Cyclotron Rd., M.S. 2-200, Berkeley, CA 94720
USA
A reduction of the dislocation density in GaN by three to four orders
of magnitude was obtained by insertion of a single thin interlayer grown
at an intermediate temperature (IT-IL) after the initial growth at high
temperature. A description of the growth process is presented with
characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend in the
interlayer and do not propagate in the top layer grown at higher tem10
11:00 AM
Optimization of AlGaN Back-Illuminated Solar-Blind MSMs
Grown by Metalorganic Chemical Vapor Deposition: Damien J.
H. Lambert1; Bo Yang1; Ting Li1; Charles J. Collins1; Michael M. Wong1;
Uttiya Chowdhury1; Bryan S. Shelton1; Ariane L. Beck1; Ho Ki Kwon1;
Tinggang Zhu1; Jonathan C. Denyszyn1; Joe C. Campbell1; Russell D.
Dupuis1; 1The University of Texas at Austin, Microelect. Rsch. Ctr.,
PRC/MER-R9900, Austin, TX 78712-1100 USA
We report the optimization of back-illuminated solar-blind metalsemiconductor-metal (MSM) photodetectors, grown by MOCVD. We
have varied the growth conditions (e.g., growth temperature, growth
rate, growth pressure) and have studied the correlation of the symmetric and asymmetric X-ray diffraction line widths, surface morphology,
and cathodoluminescence upon the MSM device performances.
Al0.44Ga0.56N layers with various thicknesses have been used as the
active region in these structures. All the samples employed the same
Al0.58Ga0.42N window layer and AlN buffer layer. We find that the
performance of the MSMs is highly dependant on the crystal quality
of the active region. Specifically, the use of thin strained compared to
thicker relaxed Al0.44Ga0.56N active regions greatly reduces the leakage
current of the MSMs. The relaxation presumably creates defects that
are responsible for the large leakage currents. By optimizing the grow
th conditions, we have fabricated solar-blind MSM photodetectors
having a 48% external quantum efficiency for wavelengths λ ~262 nm.
9:40 AM
OMVPE Growth of p-Type GaN using Solution Magnesocene:
Yundong Qi2; Charles Musante2; Kei May Lau1; Raj Odedra3; Ravi
Kanjolia4; Lesley Smith3; 1Hong Kong University of Science & Technology, EEE Dept., Clear Water Bay, Kowloon, Hong Kong; 2University of Massachusetts/Amherst, ECE Dept., Amherst, MA 01003 USA;
3Epichem, Ltd., UK; 4EpiChem, 26 Ward Hill Ave., Haverhill, MA
01835 USA
Bis(cyclopentadienyl)magnesium, (Cp2Mg) is a common source for
p-type doping in GaN and AlInGaP materials. However, it is a white
crystalline solid with very low vapor pressure. This makes its usage in
the MOCVD application very difficult due to the typical problems
associated with the transport of the solid. Furthermore, the problem is
compounded by the very low vapor pressure resulting in significant
memory effect. Some of these problems can be overcome by the new
source- Solution magnesocene. In this source, Cp2Mg is dissolved in a
solvent that is essentially non volatile. The advantage of this approach
is a consistent delivery of the magnesium source with essentially identical vapor pressure of Cp2Mg. We investigated the growth of Mgdoped GaN by OMVPE using solution Cp2Mg. At 100 torr growth
pressure and 1030°C growth temperature, comparison is made using
solution Cp2Mg and conventional solid Cp2Mg. All the Mg-doped
GaN are activated at 750°C in nitrogen ambient environment for 30
minutes. Low resistivity p-type GaN with Hall hole concentration in
the mid-10E17 cm-3 range has been achieved using both solid and liquid
sources. We believe higher levels are achievable with further optimization.
11:20 AM
UVLEDs with AlInGaN/InGaN and AlGaN/InGaN Active Regions
Grown by OMVPE: Gregory M. Peake1; A. J. Fischer1; J. Han1; J. J.
Figiel1; C. C. Mitchell1; R. M. Biefeld1; 1Sandia National Laboratories,
PO Box 5800 MS 0601, Albuquerque, NM 87185-0601 USA
III-N Ultra Violet Light Emitting Diodes (UVLEDs) are important
for lighting, curing and sensing applications. We will present recent
results for UVLEDs with InGaN quantum wells and AlInGaN or AlGaN
barriers grown by OMVPE. Improved light extraction techniques have
doubled the output of our LEDs by using a bottom reflector of Al
deposited by e-beam evaporation. We have also used a modified contact geometry to reduce current crowding. Physical and optical measurements of our most recent diodes will be presented. We will also
present strategies for reaching wavelengths < 350 nm.
10:00 AM Break
Nitrides II
Thursday AM
March 15, 2001
Room: St. Tropez/Monte Carlo/Riviera
Location: Hilton San Diego Resort
Session Chair: Andy Allerman
11:40 AM
MOCVD Growth and Characterization of AlGaN/GaN/GaInN Vertical Cavity Surface Emitting Laser: Jung Han1; Karen E. Waldrip1;
Jeffrey J. Figiel1; Hailong Zhou2; Eleni Makarona2; Arto V. Nurmikko2;
1Sandia National Laboratories, Chem. Proc. Sci., MS-0601, PO Box
5800, Albuquerque, NM 87185 USA; 2Brown University, Div. of Eng.,
Providence, RI 02912 USA
One of the most challenging issues in growing nitride-based DBR
mirrors is sample cracking due to the tensile mismatch between AlGaN
and GaN. In this talk we will demonstrate stress management using
AlN interlayers. The modulation and evolution of stress during growth
of AlGaN and GaN layers was clearly resolved by an in-situ stress
monitor. Nomarski microscopy from a 60-pair DBR structure (~5 um
thick) indicated an essentially crack-free surface. Reflectivities up to
and beyond 99% have been measured from GaN/AlGaN multilayer
DBR stacks with a peak wavelength varying from 370 to 420 nm. The
in-situ DBRs have been incorporated into vertical cavity structures
which include GaN:In active QW light emitter region and high reflectivity
SiO2/HfO2 dielectric DBRs. Optically pumped near ultraviolet vertical cavity laser operation has been obtained under quasi-continuous
wave conditions at room temperature near 383 nm from shallow InGaN/
GaN multiple quantum wells. Sandia is a multiprogram laboratory,
operated by Sandia Corporation, a Lockheed Martin company, for the
United States Department of Energy, under contract DE-AC0494AL85000.
10:40 AM
Comparison of AlGaN/GaN Heterojunction Field-Effect Transistors Grown on Sapphire and SiC Substrates by Metalorganic
Chemical Vapor Deposition: Bryan S. Shelton1; Shyh-Chiang Shen2;
Damien J. H. Lambert1; Tinggang Zhu1; Michael M. Wong1; Uttiya
Chowdhury1; Ho Ki Kwon1; Ki-Soo Kim1; Jonathan C. Denyszyn1;
Milton Feng2; Russell D. Dupuis1; 1The University of Texas at Austin,
Microelect. Rsch. Ctr., PRC/MER-R9900, Austin, TX 78712-1100
USA; 2University of Illinois at Urbana-Champaign, Ctr. for Compound
Semiconductor Microelectr., 208 North Wright St., Urbana, IL 61801
USA
The influence of the substrate on the properties of AlGaN/GaN
heterojunction field-effect transistors (HFETs) grown by low-pressure
metalorganic chemical vapor deposition is described. The AlGaN/GaN
HFETs are grown by low-pressure MOCVD on (0001) sapphire and nSiC substrates. The epitaxial structures are grown at ~200 and (~50
Torr for AlGaN layer) in a hydrogen ambient using trimethylgallium,
trimethylaluminum, and ammonia. The HFET structures are completely
undoped, gaining their charge from piezoelectric and spontaneous polarization effects of the AlGaN/GaN interface. The role of the substrate
on the mobility and sheet charge are examined through variable-temperature Hall effect and C-V measurements. Optimizations of the growth
conditions in the transition from the GaN layer to the AlGaN layer are
examined from the standpoint of mobility and through the use of AFM
RMS roughness. Both 2µm and 0.25µm HFET devices have been fabricated with the highest transconductance values of 190 mS/mm and
300-400 mS/mm, respectively.
12:00 PM Closing Remarks
11
Inde
x
Index
A
Abernathy, C R ................................ 1
Alam, A ......................................... 10
Allerman, A A ............................. 1, 5
Anderson, T J .................................. 3
B
Baca, A G ........................................ 6
Baumann, H ..................................... 4
Beaudoin, C ..................................... 6
Beck, A L ....................................... 11
Begarney, M J .................................. 7
Beister, G ......................................... 8
Benamara, M ................................. 10
Betty, I ............................................. 9
Bhat, I ............................... 1, 3, 6, 8
Bhat, R ........................................ 4, 9
Bickley, J F ...................................... 2
Biefeld, R M ........................ 4, 5, 11
Blattner, A J ..................................... 8
Bourret-Courchesne, E D .............. 10
Breiland, W G .................................. 1
Bruskas, L A .................................... 1
Bugge, F .......................................... 8
C
Calawa, D R ............................... 4, 6
Campbell, J C ................................ 11
Cederberg, J G ................................ 4
Chang, P .......................................... 6
Chapman, D C ................................. 7
Chevalier, E ..................................... 3
Chicoine, M ..................................... 6
Chowdhury, U ............................... 11
Chua, S ............................................ 5
Coffie, R ........................................ 10
Collins, C J .................................... 11
Coward, K M .................................. 2
Creighton, J R ................................. 3
Cui, H .............................................. 1
D
Dale, C ............................................. 3
Dapkus, P D .................................. 10
DenBaars, S P ............................... 10
Denyszyn, J C ............................... 11
Desjardins, P ................................... 6
Deufel, M ........................................ 6
Dietz, J ............................................. 9
Dixon, J ........................................... 9
Dubois, R ........................................ 9
Dumesic, J A ................................... 2
Dupuis, R D ............................ 10, 11
E
Ebert, C W ....................................... 9
Efimov, A G ................................. 2, 6
Erbert, G .......................................... 8
F
Feng, M ......................................... 11
Fetzer, C M ...................................... 7
Figiel, J J .................................. 1, 11
Fink, V ............................................. 3
Fischer, A J .................................... 11
Ford, G M ....................................... 9
Foster, R .......................................... 9
Friedman, D J .................................. 8
Fu, Q ............................................... 7
G
Gagnon, E D .................................... 2
Geisz, J F ......................................... 8
Gila, B P .......................................... 1
Glew, R ............................................ 9
Greenspan, J E ................................ 9
Grim-Bogdan, K ............................. 8
Guadagnuolo, S ............................... 2
H
Haberland, K ..................................... 1
Hakkarainen, T E ............................. 4
Han, J ....................................... 1, 11
Hannappel, T ................................... 7
Hansen, D M ................................... 4
Hardtdegen, H ................................. 2
Hargett, T W .................................... 1
Harris, D L ...................................... 4
Hawkins, B E .................................. 4
Heuken, M ................................ 6, 10
Hicks, R F ....................................... 7
Hinkle, K ......................................... 8
Hoehnsdorf, F ............................ 4, 5
Hofeldt, J ......................................... 6
Hou, H ............................................. 6
Hövel, R .......................................... 1
Huang, M ........................................ 3
Hummel, S .................................. 3, 5
Hurst, W S .................................. 1, 5
Hwang, J ......................................... 3
I
Inoki, C K .......................................... 4
J
Jones, A C ....................................... 2
Jones, E D ....................................... 5
Juergensen, H .................................. 6
Juergensen, M ............................... 10
Just, O ............................................. 3
K
Kanjolia, R .......................... 6, 9, 11
Kasik, M .......................................... 6
Kavanagh, K L ................................ 3
Kim, J .............................................. 8
Kim, K ........................................... 11
Knauer, A ........................................ 8
Koch, J ....................................... 4, 5
Krishnamoorthy, V .......................... 1
12
Kuan, T S ........................................ 4
Kuech, T F ............................. 1, 2, 4
Kunert, B ......................................... 5
Kurtz, S R ................................... 5, 8
Kwon, H ........................................ 11
L
Lambert, D J .................................. 11
Lau, K ............................................ 11
Law, D C ......................................... 7
Lee, R T ........................................... 7
Lee, S R ........................................... 1
Li, C H ............................................. 7
Li, N ................................................ 6
Li, T ............................................... 11
Liliental-Weber, Z .......................... 10
Lipsanen, H ..................................... 4
Luenenbuerger, M ......................... 10
M
Majoor, E ........................................... 9
Makarona, E .................................. 11
Marchand, H .................................. 10
Maslar, J E .................................. 1, 5
Mason, N J .................................. 2, 3
Masut, R A ...................................... 6
Matthews, J S .................................. 3
Mattila, M I ...................................... 4
Mayer, J ........................................... 9
McMahon, W E ............................... 7
Michel, E J ....................................... 8
Mishra, U K .................................. 10
Mitchell, C C ................................. 11
Moll, N ............................................ 3
Moran, B ....................................... 10
Moreau, O ....................................... 6
Musante, C .................................... 11
N
Nau, S .............................................. 5
Newman, F ...................................... 6
Ngai, E Y ......................................... 9
Nitishin, P M ................................... 4
Nurmikko, A V .............................. 11
O
Oakley, D C ....................................... 5
Obi-Johnson, B ............................... 3
Odedra, R ...................................... 11
Olander, K ....................................... 7
Olson, J ........................................... 7
Olson, J M ....................................... 7
P
Palmisiano, M N ............................. 4
Panayotov, V .................................... 8
Peake, G M ............................... 4, 11
Pearce, R .......................................... 9
Petroni, S ......................................... 2
Pettenkofer, C .................................. 7
Pinnington, T ................................... 9
Pitts, O J ..................................... 3, 5
Post, C ............................................. 9
Protzmann, H ................................. 10
Pryll, S ............................................. 9
Putyera, K ........................................ 6
Q
Qi, Y .............................................. 11
R
Rao, G K ......................................... 9
Rees, W S ........................................ 3
Reinhardt, F ................................ 8, 9
Ren, D ........................................... 10
Rich, D H ...................................... 10
Richter, W ........................................ 1
Roorda, S ......................................... 6
S
Schaefer, S ....................................... 5
Schaper, A ....................................... 4
Schineller, B .................................. 10
Schmidt, R ....................................... 2
Schmitt, T ........................................ 6
Schoen, O ...................................... 10
Sebastian, J ...................................... 8
Seiler, J B ........................................ 8
Sharps, P R ...................................... 6
Shelton, B S ................................... 11
Shen, S .......................................... 11
Shenai, D V ..................................... 2
Shurtleff, J K ................................... 7
Sieg, R M ........................................ 5
Smith, G ............................................ 9
Smith, L M ................................ 2, 11
Sopanen, M A .................................. 4
Speck, J S ...................................... 10
Steiner, A ......................................... 2
Stennick, R .................................... 10
Stolz, W ...................................... 4, 5
Stotz, J A ......................................... 5
Stringfellow, G B ............................ 7
Stringfellow, J ................................. 2
Succi, M .......................................... 2
Sumpf, B ......................................... 8
Sun, Y .............................................. 7
Sutryn, D C ..................................... 8
W
Waldrip, K E ............................. 1, 11
Wang, B ........................................... 5
Wang, C ........................................... 8
Wang, C A ............................ 4, 5, 6
Washburn, J ................................... 10
Wate, R ............................................ 2
Watkins, S P .......................... 2, 3, 5
Wenzel, H ........................................ 8
Wessels, B W ................................... 8
Weyers, M .................................. 1, 8
Wiersma, R D .................................. 5
Williams, G ...................................... 9
Willig, F ........................................... 7
Wirtz, K ........................................... 2
Wong, M M ................................... 11
Y
Yang, B .......................................... 11
Yi, S S ............................................. 4
Yu, J ................................................ 6
Z
Zettler, J ........................................... 1
Zhang, N ........................................ 10
Zhang, R .......................................... 8
Zhang, X ........................................ 10
Zhao, L .......................................... 10
Zhou, H ......................................... 11
Zhu, T ............................................ 11
Zorn, M ........................................... 1
T
Tapfer, L .......................................... 4
Thewalt, M L ................................... 5
Timmons, M L ................................. 2
Tischler, M ...................................... 8
Töben, L ........................................... 7
Toivonen, J I .................................... 4
Torunski, T ...................................... 5
Traenkle, G ...................................... 8
V
Venkatasubramanian, R ................... 1
Vergani, G ....................................... 2
Vineis, C J .................................. 4, 6
Visbeck, S ........................................ 7
Visbeck, S B .................................... 7
Volz, K ........................................ 4, 5
13
Download