Full Syllabus (PDF version)

advertisement
Indian Institute of Space Science and Technology
Department of Space, Government of India
Thiruvananthapuram
Curriculum and Syllabus for
M.TECH
VLSI and Microsystems– R2013
1
2
FIRST SEMESTER
Code
Course Title
Lecture
Hours
3
AVM611 Physics of Micro and
Nanoelectronic Devices
Tutorial
Hours
0
Practical
Credits
0
Total
Credits
4
AVM612 Introduction to Micro
Electro
Mechanical
Systems (MEMS)
3
0
0
4
AVM613 Analog VLSI Circuits
3
0
0
4
AVM614 Digital VLSI Circuits
3
0
0
3
AVM631 VLSI Design lab
0
3
3
1
Total
12
3
3
16
Tutorial
Hours
0
0
Practical
Credits
0
0
Total
Credits
3
3
SECOND SEMESTER
Code
AVM621
AVM622
E01
E02
AVM641
AVM642
AVM851
AVM852
Course Title
Lecture
Hours
3
3
Mixed Signal VLSI Design
Micro/Nano fabrication
technology
Elective I
Elective II
MEMS Lab
Microelectronics Lab
Seminar
Comprehensive viva
Total
3
3
0
0
0
0
12
3
0
0
3
3
0
0
6
0
0
3
3
0
0
6
3
3
1
1
2
2
18
THIRD SEMESTER
Code
E03
AVM853
Course title
Lecture
Hours
Elective III
3
M-Tech Project0
Phase-I
Total
3
Tutorial
Hours
0
0
Practical
Credits
0
0
0
0
Total Credits
3
15
18
FOURTH SEMESTER
Code
AVM854
Course Title
M-Tech
Phase-II
Total
Lecture
Hours
Project0
0
Tutorial Practical
Hours
Credits
0
0
0
ELECTIVE COURSES
Course
Code
AVM 861
AVM 862
AVM 863
AVM 864
AVM 865
AVM 866
AVM 867
AVM 868
AVM 869
AVM 870
AVM 871
Course Name
RF MEMS
High frequency VLSI circuits
Thin films: Materials and characterization
VLSI Digital Signal Processing
MEMS Integration
Sensors and Actuators
Power semiconductor devices
Compound semiconductor devices and Technology
EDA Principles and Practices
Microfluidics and Bio-MEMS
Testing and Verifications of VLSI circuits
4
0
Total Credits
18
18
AVM611
Physics of Micro and nanoelectronic Devices
(3- 0 - 0) 3 credits
Review of quantum mechanics, E-k diagrams, effective mass, electrons and holes in
semiconductors, band diagram of silicon, carrier concentration, carrier statistics, career
transport, junction devices(P-N junction, Metal –semiconductor junctions, solar cells etc),
MOS capacitor as a building block for MOSFETs (Ideal MOS, real/Non ideal MOS, band
diagrams, C-V characteristics, electrostatics of a MOSCAP), MOSFET, I-V characteristics,
scaling, short channel and narrow channel effects, high field effects, Transport
phenomenon in nanoelectronic devices (CNTFETs, Graphene Transistors)
Texts/References:
1. Donald A Neamen, Semiconductor Physics and Devices: Basic Principles, McGraw-Hill
(1997) ISBN 0-256-24214-3
2. Yuan Taur & Tak H Ning, Fundamentals of Modern VLSI Devices, Cambridge University
Press, 1998
3. Robert F. Pierret, Semiconductor Device Fundamentals, Addison-Wesley (1995), ISBN
020154393-1
4. E. H. Nicollian and J. R. Brews, MOS Physics and Technology, John Wiley, 1982.
5. K. K. Ng, Complete Guide to Semiconductor Devices, McGraw Hill, 1995.
6. D.K. Schroder, Seminconductor Material and Device Characterization, John Wiley,
1990.
Introduction to Micro Electro Mechanical Systems
AVM612
(3- 1 - 0) 4 credits
History of Microsystem Technology with overview on commercial products Scaling laws,
Microelectronic technologies for MEMS ,Materials and processing for MEMS,
Micromachining Technology: Surface and Bulk Micromachining, Microsystem Modelling,
Mechanical Analysis, Transduction Mechanisms (optical, piezoelectric, piezoresistive etc.),
MEMS Micro sensors, and applications (Mechanical, Inertial, Biological, Chemical, RF
Applications etc.), Bonding & Packaging of MEMS, MEMS reliability, scaling in MEMS,
recent research directions in MEMS (eg. CMOS-MEMS integration, Polymer MEMS, NEMS
etc…)
Texts/References:
1. S. D. Senturia, Microsystem Design (2005 edition)
2. Tai-Ran Hsu MEMS & Microsystems: Design, Manufacture, and Nanoscale
Engineering, 2nd Edition
3. Marc Madau, Fundamentals of Microfabrication Science of Miniaturization, CRC Press
4. G. K. Ananthasuresh , K. J. Vinoy, S. Gopalakrishnan, K. N. Bhat , V. K. Aatre Micro and
Smart Systems Technology and Modeling (January 2012)
5. Minhang Bao "Analysis and Design Principles of MEMS Devicess",
Peer reviewed international journals such as IEEE/ASME Journal of MEMS, IOP Journal of
Micromechanics and Microengineering, IOP Journal of Nanotechnology, Elseivier Sensors and
Actuators etc. and conference proceedings such as IEEE MEMS, IEEE Nanotechnology,
Transducers etc..
5
AVM622
Micro/Nano Fabrication Technology
(3- 0 - 0) 3 credits
Classical scaling in CMOS, Moore’s Law, Clean room concept, Material properties, crystal
structure, lattice, Growth of single crystal Si, Cleaning and etching, Thermal oxidation, Dopant
diffusion in silicon, Deposition & Growth (PVD, CVD, ALD, epitaxy, MBE, ALCVD etc.),Ionimplantation, Lithography (Photolithography, EUV lithography, X-ray lithography, e-beam
lithography etc.), Etch and Cleaning, CMOS Process integration, Back end of line processes
(Copper damascene process, Metal interconnects; Multi-level metallization schemes),
Advanced technologies(SOI MOSFETs, Strained Si, Silicon-Germanium MOS, metal
semiconductor source / drain junctions, High K, metal gate electrodes and work function
engineering, Double gate MOSFETs, FinFETs, TunnelFETsetc..) , emerging research devices
and architectures (Nanowire FETs, CNT FETs, Graphene transisors, Organic FETs etc..)
Texts/References:
1. James Plummer, M. Deal and P.Griffin, Silicon VLSI Technology, Prentice Hall
Electronics
2. Stephen Campbell, The Science and Engineering of Microelectronics, Oxford
University Press, 1996
3. S.M. Sze (Ed), VLSI Technology, 2nd Edition, McGraw Hill, 1988
4. C.Y. Chang and S.M.Sze (Ed), ULSI Technology, McGraw Hill Companies Inc, 1996.
Peer reviewed international journals such as IEEE Electronic Device Letters, Transactions on
Electron Devices, Journal o Microelectronics, etc and conference proceedings such as
International Electron Device Meeting (IEDM), IRPS etc..
6
AVM614
Digital VLSI circuits
(3- 0 - 0) 3 credits
Overview of CMOS device fundamentals (DC Characteristics,AC Characteristics,
Processing overview)
CMOS inverters, combinational logic and sequential CMOS logic, Modeling of interconnect
wires. Optimization of designs with respect to cost, reliability, performance, and power
dissipation. Sequential circuits:-timing considerations, and clocking approaches. Design of
large system blocks, including arithmetic, interconnect, memories, and programmable logic
arrays
VLSI design: Introduction, Process, Architectural design, Logic design, Physical design
Layout styles: Custom layout, Gate-array layout, Standard-Cell layout, Macro-Cell layout, PLA,
FPGA layout.
Circuit partitioning: Problem definition, Cost function constraints, approaches to partitioning
problem.
Placement: Complexity of placement, Problem definition, estimation of wire-length.
Floor planning: Floor planning model, Cost functions, terminology.
Grid routing, Global routing, Channel routing
Design Technology: Introduction to Hardware Description Language Layout Generation and
Verification: Behavioral, Structural, Physical level
Texts/References:
1. CMOS VLSI design: A circuits and systems perspective, Neil H.E. Weste, David Money
Harris, Addison-Wesley(Pearson)
2. J.M. Rabaey, A. Chandrakasan and B. Nikolic, Digital Integrated Circuits- A Design
Perspective, 2nd ed., PHI, 2003
3. CMOS digital integrated circuits: Analysis and design, Sung-Mo Kang, Yusuf Lablebici,
TATA McGraw-Hill
4. Theoretical foundation of VLSI design, edited by K. McEvoy, J.V. Tucker, Cambridge
University Press
5. VLSI Physical design automation: Theory and Practice, Sadiq M. Sait, Habib Youssef,
World Scientific Publishing
6. VLSI Circuit Layout: theory and design, Edited by T.C Hu, Ernest S. Kuh, IEEE Press
7. VLSI design: A Practical guide for FPGA and ASIC implementation, Vikram Arkalgud
Chandrasetty, Springer
8. VLSI design techniques for Analog and Digital circuits, Randall L. Geiger, Phillip E. Allen,
Noel R. Strader, McGraw- Hill Publishing company
AVM613
Analog VLSI Circuits
(3- 1 - 0) 4 credits
Introduction to VLSI analog circuits, MOSFET models, common source amplifier with resistive
load, diode connected load, current source load, cascade and folded cascode amplifiers,
passive and active current mirrors, frequency response and noise, MOS differential amplifier,
single stage and two stage operational amplifiers, compensation techniques, Direct coupled
amplifiers, switched capacitor circuits, , LC VCO,Data converters: Working principle and
7
architecture of a folding-and-interpolation ADC, Design of sample and hold amplifier, Design
of folding amplifier and interpolation network, Design of decimation filter, Working principle
and architecture of a Sigma-delta ADC, Design of basic and multistage sigma-delta converters,
Working principle and architecture of a pipeline ADC.
Text/References:
1. Design of Analog CMOS Integrated Circuits by Behzad Razavi ,Tata McGraw Hill
2. David A Johns & Ken Martin, Analog Integrated Circuit Design, John Wiley and Sons
3. Philip Allen & Douglas Holberg, CMOS Analog Circuit Design, Oxford University Press,
2002.
8
AVM621
Mixed Signal VLSI Design
(3- 0 -0) 3 credits
Analog and discrete-time signal processing, Review of continuous-time filters, Discrete-time
filters, Analog and discrete-time signal processing, Analog integrated continuous-time and
discrete-time (switched-capacitor) filters.Basics of Analog to digital converters (ADC), Basics
of Digital to analog converters (DAC), DACs, Successive approximation ADCs, Dual slope ADCs,
High-speed ADCs (e.g. flash ADC, pipeline ADC and related architectures), High-resolution
ADCs (e.g. delta-sigma converters), signal conditioning circuits with data converters,
Characterization of a comparator, basic CMOS comparator design, analog multiplier design,
signal integrity issues in modern VLSI circuits, high-speed I/O circuits, Mixed-Signal layout,
Interconnects, Phase locked loops, Delay locked loops.
Texts/References:
1. R. Jacob Baker, “CMOS mixed-signal circuit design” , Wiley India, IEEE press, reprint 2008.
2. Behzad Razavi “Design of analog CMOS integrated circuits”, McGraw-Hill, 2003.
3. CMOS circuit design, layout and simulation by R. Jacob Baker, Revised second edition,
IEEE press, 2008.
4. Rudy V. de Plassche “CMOS Integrated ADCs and DACs”, Springer, Indian edition, 2005.
5. Gordon Roberts, Friedrich Taenzler, Mark Burns, “An introduction to mixed-signal IC test
and measurement., Oxford university press, first Indian edition, 2008
6. Arthur B. Williams “Electronic Filter Design Handbook”, McGraw-Hill, 1981.
7. R. Schauman “Design of analog filters”, Prentice-Hall 1990 (or newer additions).
Lab courses
AVM641
MEMS Lab
(0- 0 -3) 3 credits
Module 1: Design and simulation
This module focuses on design and simulation aspects of sensors, actuators and sensor systems.
The laboratory course provides an overview of numerical and analytical modelling and design of
microsystems using leading softwares in the field such as TCAD for MEMS
Module 2 Fabrication and characterization of MEMS devices
1. Familiariztion of unit processes
2. Familiarization of analytical characterization techniques: thin film thickness
measurement using reflectance and ellipsometry techniques, 4-point probe for sheet
resistance measurement, micro-stylus step height measurement, AFM, FTIR, XRD, SEM,
,LDV, Nanoindentation
3. Fabrication of MEMS structures such as Microcantilever beam/suspended membrane
etc..
4. Characterization of MEMS structures
Texts/References:
(List same as that for the theory courses on MEMS and Thin films)
9
AVM631
VLSI Design Lab
(0- 0 -3) 3 credits
Design of a complex digital circuit (eg. an ALU or a multiplier) using high level ardware
description languages, logic simulation and timing simulation.
Extraction of critical paths and circuit simulation of critical path sub-circuits.
Circuit partitioning and realisation using FPGAS or PALS.
Design of an analog circuit (eg. a filter) using circuit simulation. Setting up macromodels for
subcircuits (eg. for OPAMPS).
Construction and performance verification for the circuit.
Simulation of transmission line effects in a circuit layout/ post layout simulation..
Project type experiments using a variety of techniques learnt in the course for realising circuit
modules. Introduction of software: Analog design flow, digital design flow, integration with SCL
technology file.
AVM642
Microelectronics lab
(0- 0 -3) 3 credits
Module 1: Microelectronics Device and Process Simulation
This module focuses on the simulation of fabrication processes and the microelectronics devices
such as short channel MOSFET, solar photo voltaic device etc.using TCAD tools. The process
simulation enables one to experiment with the device fabrication flow. The device simulation
involves simulating the electrical characteristics of a process simulated/fabricated device.
Module 2: Microfabrication and characterization
1. Familiarization of Microfabrication environment in clean room
2. Familiarization of different unit processes, Fabrication of MOS Capactior
3. Electrical characterization: High frequency capacitance-voltage measurement (HFCV) and
High frequency capacitance-voltage measurement (LFCV), I-V and reliability
measurements, parameter extraction of MOS devices
Elective Courses
AVM866
Sensors and Actuators
(3- 0 -0) 3 credits
Introduction and historical background, Microsensors : Sensors and characteristics, Integrated
Smart sensors, Sensor Principles/classification-Physical sensors ( Thermal sensors, Electrical
Sensors, tactile sensors, accelerometers, gyroscopes , Proximity sensors, Angular displacement
sensors, Rotational measurement sensors, pressure sensors, Flow sensors, MEMS microphones
etc.), Chemical and Biological sensors (chemical sensors, molecule-based biosensors, cell-based
biosensors), transduction methods(Optical, Electrostatic, Electromagnetic, Capacitive,
Piezoelectric, piezoresistive etc.), Microactuators : Electromagnetic and Thermal microactuation,
Mechanical design of microactuators, Microactuator examples,-microvalves, micropumps,
micromotors-Microactuator systems : eg. Ink-Jet printer heads, Micro-mirror TV Projector.
10
Introduction to interfacing methods: bridge circuits, Programmable gain instrumentation
amplifiers, A/D and D/A converters, microcontrollers
Applications and case studies: Microsensors and actuators in environmental sensing,
RF/Electronics devices, Optical/Photonic devices, microsensors for space applications, MEMS
sensors in navigation systems, radiation sensors, Medical devices, Bio-MEMS
Texts/References
1. Micromechanical Transducers: Pressure sensors, accelrometers, and gyroscopes by M.H. Bao, Elsevier, New York, 2000
2. "Microsensors" by Richard S. Muller, Roger T. Howe, Stephen D. Senturia, Rosemary L.
Smith, and Richard M. White, IEEE Press, IEEE Number PC 0257-6, ISBN 0-87942-254-9,
New York, 1991.
3. "Micromechanics and MEMS: Classic and Seminal Papers to 1990. " by William Trimmer,
IEEE Press, IEEE Number PC4390, ISBN 0-7803-1085-3, New York.
4. Beckwith T. G., Margoni R. D., Lienhard J. H. “Mechanical Measurements”, New York:
Addison-Wesley Pub. Co, 1995
5. G. K. Ananthasuresh, K. J. Vinoy, S. Gopalakrishnan, K. N. Bhat, and V. K. Aatre, Micro and
Smart Systems’, Wiley-India, 2010.
AVM861
RF MEMS
(3- 0 -0) 3 credits
Introduction to RF MEMS, , Electrical and mechanical modelling of MEMS devices, MEMS
Switches: Introduction to MEMS switches; Capacitive shunt and series switches: Physical
description, circuit model and electromagnetic modelling; Techniques of MEMS switch
fabrication and packaging; Design of MEMS switches.
RF Filters and Phase Shifters: Modeling of mechanical filters, micromachined filters, surface
acoustic wave filters, micromachined filters for millimeter wave frequencies; Various types of
MEMS phase shifters; Ferroelectric phase shifters.
MEMS Varactor, MEMS Resonators
micromachined waveguide components; Micromachined antennas: Micromachining techniques
to improve antenna performance, reconfigurable antennas.
Integration and Packaging for RF MEMS: Role of MEMS packages, types of MEMS packages,
module packaging, packaging materials and reliability issues.
Texts/References:
1. Varadan, V.K., Vinoy, K.J. and Jose, K.J., “RF MEMS and their Applications”, John Wiley &
Sons. 2002.
2. Rebeiz, G.M., “MEMS: Theory Design and Technology”, John Wiley & Sons. 1999.
3. De Los Santos, H.J, “RF MEMS Circuit Design for Wireless Communications”, Artech
House. 1999
4. Research papers and study material of Clark T.-C. Nguyen, Electrical Engineering and
Computer Sciences , UC Berkeley Nguyen Book to be added
11
AVM 863
Thin films: Materials and characterization
(3- 0 -0) 3 credits
Material Science: introduction, structure, defects, bonds and bands, thermodynamics of
material, kinetics, nucleation.
Thin film nucleation: Atomic view of substrate surfaces, thermodynamic aspects of Nucleation,
Kinetic processes in Nucleation and growth.
Epitaxy: Lattice mismatch and defects in epitaxial film, epitaxy of compound semiconductors,
High and low temp. methods of deposition.
Characterization of thin films: structural and chemical characterization of films and surfaces.
XRD, TEM, AFM, SEM,
Inter diffusion: compound formation, phase transformation in thin film, metal-semiconductor
reaction, mass transport in thin film.
Mechanical properties of thin films: Mechanical testing and strength of thin films, analysis of
internal stress,
Texts/References:
1. Micro- and Opto- Electronic Materials and Structures: Ephrahim Suhir, Y.C Lee, C. P
Wong
2. Thin Film Phenomena: Kasturi L. Chopra
3. Thin Film Device Applications: Kasturi L. Chopra, Indrajeet Kaur
4. The materials Science of Thin Films: Milton Ohring
5. Hand book of thin films deposition processes and techniques; Priciples, methods,
equipment, and application: Klaus K. Schuegraf
6. Thin Film Physics: O. S. Heavens
AVM 865
MEMS Integration
(3- 0 -0) 3 credits
MEMS – An Overview, Miniaturisation, MEMS and Microelectronics -3 levels of Packaging. Critical
Issues viz., Interface, Testing & evaluation. Packaging Technologies like Wafer dicing, Bonding
techniques, Sealing. Design aspects and Process Flow, Materials for Packaging, Top down
System Approach, Electron Beam welding and Laser welding. Vacuum Packaging. 3D Packaging.
Micro Assembly techniques, Testing and Design for test. Packaging for Medical Applications, Bio
Chips / Lab-on-a chip and micro fluidics, RF Packaging, Optical Packaging, Packaging for
Aerospace applications. , Transduction and Special packaging requirements (Oil Filling, Purging,
Inert Gas filling, Thermal, Shock, Vibration, EMI/EMC etc,) for Absolute, Gauge and differential
Pressure measurements, , Accelerometer and Gyro packaging techniques, Environmental
Protection and safety aspects in MEMS Packaging. Reliability Analysis, FMECA and Media
Compatibility, Packaging Strategy and Trends, Standardisation of Interfaces, Case Studies –
Challenges/Opportunities/Research frontier.
Texts/References:
1. Tai-Ran Hsu, MEMS PACKAGING, INSPEC, The Institution of Electrical Engineers,
London,UK,2004.
12
2. Tai-Ran Hsu, MEMS & MICRO SYSTEMS Design and Manufacture, Tata McGraw Hill, New
Delhi,2002
3. John H Lau, Cheng Kuo Lee, C.S. Premchandran, Yu Aibin, Advanced MEMS Packaging,
McGraw Hill, 2010
4. International Packaging work shop held at CeNSE , IISc on 29,30th September, 2011.
(notes)
5. Web-based literature.
High frequency VLSI circuits (RF
AVM 862
Microelectronic Circuits)
(3- 0 -0) 3 credits
Overview of RF system: Introduction to RF Transceiver architectures, Multiple access techniques,
Different wireless standards, Various modulation techniques used in RF system; Aspects and
considerations of RF design: Low voltage and low power design, RF-models of devices; Building
blocks of RF: Design of oscillator and mixer, Frequency synthesizer, Design of low noise
amplifiers, Design of narrowband and wideband amplifiers, Design of high efficiency power
amplifier, Matching network design; RF system design and testing: Design of RF system, Noise
and distortion measures and mitigation methods.
Texts/References:
1. Sorin Voinigescu “High frequency Integrated Circuits” Cambridge university press
2. B. Razavi, RF Microelectronics, NJ: Printice Hall, Upper Saddel River, 1998
3. Robert Caverly, “CMOS RFIC Design Principles” Artech House
AVM 864
VLSI Digital Signal Processing
(3- 0 -0) 3 credits
Introduction to Digital Signal Processing ; Need of VLSI DSP algorithms. main DSP Blocks and
typical DSP Algorithms. Number Representation: Fixed point Representation Floating point
Representation; Binary Adders; Binary Multiplier; Binary Dividers; Floating point Arithmetic
Implementation: CORDIC architectures; Multiply Accumulator unit ; Computation of Special
functions using MAC cells.
Redundant arithmetic, redundant number representations , carry free radix 2 addition and
subtraction . Hybrid radix 4 addition. Radix 2 hybrid redundant multiplication architectures , data
format conversion. Redundant to nonredundant converter. Numerical strength reduction. Bit
level arithmetic structures- parallel multipliers, interleaved floor plan and bit plan based digital
filters. Bit serial multipliers. Bit serial filter design and implementation. Canonic signed digit
arithmetic, Distributed arithmetic. Synchronous pipelining and clocking styles, clock skew and
clock distribution in bit level pipelined VLSI designs. Parallel FIR filters. Pipelining of FIR filters.
Parallel processing. Pipelining and parallel processing for low power FIR filters. Pipeline
interleaving in digital filters. Pipelining and parallel processing for IIR filters. Low power IIR filter
design using pipelining and parallel processing, Pipelined adaptive digital filters. Systolic Array
Architectures and fast convolution algorithms. Round off noise and its computation. State
variable description of digital filters, Scaling using slow-down, retiming and pipelining.
Texts/References:
13
1. Keshab K. Parhi, VLSI Digital Signal Processing Systems - Design and Implementation,
Wiely, 2010
2. K. J. Ray Liu, High-Performance VLSI Signal Processing – Innovative Architectures and
Algorithms, Systems Design and Applications, IEEE Press, 1998
3. Thorsten Grotker, et al, System Design with SystemC, Kluwer Academic Publishers, 2002
4. U Meyer Baese Digital Signal Processing with Field Programmable Gate Arrays Springer
2009
5. Roger Woods …[et al]. FPGA-based Implementation of Signal Processing Systems/
(2008).
6. Jose E. France, Yannis Tsividis,“Design of Analog-Digital VLSI Circuits for
7. Telecommunication and Signal Processing”, Prentice Hall, 1994.
AVM 867
Power semiconductor devices
(3- 0 -0) 3 credits
Introduction to Power Semiconductor devices, Device Basic Structure and Characteristics , High
current effects in diodes, Breakdown considerations for various devices, Junction Termination
techniques for increasing breakdown voltage, edge termination in devices, beveling, open base
transistor breakdown Structure & Performance of Schottky and PIN Power Diodes , Parasitic
Circuit Elements in Power Diode Recitifiers, Circuit Requirements for Power Transistor
Switches,Structure & Performance of Power Transistors: a. MOSFETs; b. BJTs and IGBTs, Parasitic
Circuit Elements in Power Transistor Switches , Circuit Requirements for PNPN Thyristors,
Structure & Performance of PNPN Thyristors, Parasitic Circuit Elements in PNPN Thyristors,
Implementation of Power Electronic Devices using SiC & GaN, Heat transfer in power devices,
packaging of power devices
Texts/References:
1. Baliga,G.J., Fundamentals of Power Semiconductor Devices ,Springer.
2. S.M. Sze, Physics of Semiconductor Devices, 2nd ed., Wiley, 1981.
14
Download