IEEE/SEMI Advanced Semiconductor Manufacturing Conference

Advanced Semiconductor
Manufacturing Conference and Workshop
IEEEISEMI
ADVANCED
SEMICONDUCTOR
MANUF'ACTURING
CONFERENCE
AND WORKSHOP
World Class Manufacturing
Theme: Factory of the Future
ASMC '93 PROCEEDINGS
The Advanced Semiconductor Manufacturing Conference and Workshop is an annual
forum that provides a venue for the presentation of methodologies, approaches and
techniques required to achieve world class semiconductor manufacturing. A key role this
conference plays is in promoting interaction among semiconductor professionals at all levels.
The goals and objectives of the conference are to assist in making the participating companies
more knowledgeable of semiconductor production methods, encourage open communication
between participants, and develop the strategic relationship between users and suppliers
needed to achieve manufacturing excellence and improved global competitiveness.
-
October 18 19, 1993
Boston, Massachusetts
1993 PROCEEDINGS
ADVANCED SEMICONDUCT
ACTURING COME
WORKSHOP (ASMC)
PERMISSION TO REPRINT OR COPY:
Abstracting is permitted with credit to the source. Libraries are permitted to
photocopy beyond the limits of U.S. copyright law for private use of patrons. Instructors are
permitted to photocopy isolated articles for non-commercial classroom use without fee. For
other copying, reprint, or re-publication permission, write to: SEMI; 805 East Middlefield
Road; Mountain View, CA 94043 U.S.A. All rights reserved.
Copyright O 1 9 9 3 by Semiconductor Equipment and Materials International, Inc.
Papers have been printed as received from authors, without editing. All opinions expressed in the
Proceedings are those of the authors and are not necessarily those of Semiconductor Equipment and
Materials International (SEMI) or the Institute of Electrical & Electronics Engineers, Inc. (IEEE).
PRINTED IN THE UNITED STATES OF AMERICA
Additional copies of these Proceedings may be purchased from:
SEMI
805 East Middlefield Road
Mountain View, CA 94043 U.S.A
Phone: (4 15)940-6971
or
IEEE Service Center
445 Hoes Lane
Piscataway, NJ 08855-0060
Phone: (908)981-0060 or, in the U.S.A., 1-800-678-IEEE
Layout, composition, and compilation by
Semiconductor Equipment and Materials International
..
11
TABLE OF CONTENTS
iv
Overview of SEMI and IlEEE
MANUFACTURING WORKFORCE: EDUCATION AND DEPLOYMENT FOR THE 90s
Chairs: Melvyn Effron, IBM Corp.; Kanti Prasad, Univ of Massuchusens Lowell
Opportunities for Gigascale Integration (GSI) beyond 2003 (abstract)
Keynote Paper: James D. IMeindl, Rensselaer Polytechnic Institute
1
The MMST Future-Factory Vision
Invited Paper: Robert R. Doering, Texas Instruments, Inc
2
Fab of the h t u r e (abstract) David Art, SEMAXECH; Michael O'Halloran, IDC
4
htqration of Technical !hpport into Manufacturing at IBM's 200-mm Wafer Facility
Scott R. McClure, IBM - Technology Products
5
Desisning Work Cells for High Performance
Tom Pomorski, Steve Colucci, National Semiconductor Corp
8
From Drawing Board to Market Place in Two Years: Introduction of an Advanced BiCMOS Product
Through the Use of Cross Fmctional Teams Susan Hanley, Douglas Wilson, National Semiconductor Corp
13
The Evolution of Self-Directed Work Teams Within a Collective
Bargahhg Environment Roger A. Bishop, Robert E. Murphy, Jr., Hanis Corp
18
Mhoelectronics Mannfaitcturing Education L. F. Fuller, R.E. Pearson, I.R. Turkman,
S.K. Kurinec, R.L. Lane, M.A. Jackson, B.W. Smith, Rochester Institute of Technology
26
MODELING AND COMPUTER INTEGRATED MANUFACTURING
Chairs: Mark Rioux, National Semiconductor Corp; G. Ravishankar, Intel Corp
Inventory Controlled Environment (I.C.E.) Just-in-Time at National Semiconductor
Paul A. Fearon, National Semiconductor Corp
34
Technical Feasiblility of Yelf Directed Work Team Concept in Supervisorless Semiconductor
Fabrication EIIVirOMlent Using CIM Based Real-Time Productivity Monitors Victor Joseph, VJ Associates
39
Role of System Dynamics m Semiconductor Fabrication G. Ravishankar, Intel Corp
40
Open Software Architechre for the Semiconductor Industry Jim Kramer, USDATA Corp
45
Software Engineering for Semiconductor Manufacturing Eqnipmeut Suppliers
Claude R. Baudoin, National Semiconductor Corp; Jeffrey P. Kantor, Honeywell
56
Worldwide On-line DocwmentatiodData Retrieval System
David Lucas, Analog Devices Lrd; Mary Robinson, Rosemary Greco, Analog Devices Inc
72
INTERNATIONAL PERSPECTIVE
Chairs: David Fletcher, Digital Equipment Corp; James Kawski, ADE Corp
The Silicon Glen: The Scottish Electronics Cluster (abstract)
John A. Perry, Digitai' Equipment Scotland Lrd
75
hture Technological and Economic Prospects for VLSI (abstract)
76
Hiroyoshi Komiya, U U I Laboratory, Mitsubishi Electric Corp
Research Directions for VLSI at Minimum Capital Cost (abstract)
Daniel Bois, GRESSI !(aCEA/LEll& FTICNET French Consortium)
77
The Deployment of TPM in the F m t 8" Wafer Pilot Line in Taiwan (abstract)
David Liu, J.M. Yang, J.Y. Lin, H.P. Tseng, ERSOnllpI
78
1993 IEEElSEMI Advanclzd Semiconductor Manufacturing Conference
Boston, MA
PROCESS IMPROVEMENT METHODOLOGIES
Chairs: Peter van der Meulen, Brooks Automation; Robert Virgalla, Ultratech Stepper
Comparative Optical Techniques for Monitoring Metals in Siogle-Crystal Silicon
Valerie Wenner, John Lowell, Advanced Micro Devices
79
Scheduling Semiconductor Manufacturing Plants to Reduce Mean and Variance of
CycleTme Steve C.H. Lu, Deepa Ramaswamy, P.R. Kumar, Univ of Illinois
83
What Happens to Gas Cylinder Purity as Content is Consumed? P. Albert, A. Amato,
A.M. Brzychcy, Y. Chen, E. Flaherty, L. Johns, W. Sanbom, Matheson Gas Products Inc
86
A CFD Model for the PECVD of Silicon Nitride
David J. Collins, Andrzej J. Strojwas, Carnegie Mellon Univ; Daniel White, Jr., Tans Instruments Inc
92
A Sampled Data Approach to Yield Modeling and Analysis
Geoff O'Donoghue, Gary Cheek, Analog Devices Semiconductor
97
Global Consistency of UHP Gas Supply APIMS Certificatiou on On-Site
Nitrogen Plants (abstract) Edward F. Bell, 7he BOC Group; T . Nakayasu, Osaka Sans0 Kogyo
100
Evaluating Destructive Measurements Using Gage R & R
Colleen Sue Ackermann, Motorola Inc
101
Cumulative Thin Film Stress from Wafer Fabrication Processes and its Effect on
Post Backgrind Wafer Shape James L. Kawski, ADE Corp; John Flood, Motorola Inc
106
Productiou Management System for the Twenty-First Century Steve Danziger, IBM Corp
111
Statistical Optimization of Aluminum Etch in the AME 8330 Utilizing Total Productive
Maiuteuance Brian K. Guy, Ben I. Herron, Ham's Semiconductor
115
Effects and Interactions of Wafer Shape and Stepper Chucks on Wafer
Flatness Control R. Goodall, J. Kawski, ADE Corp
118
The SignXcance and Detection of Trammissive Defects on 5X Reticles
Larry S. Zurbrick, KLA Instruments Corp; Wolfgang Henke, Fraunhofer Institut
124
ADVANCED WAFER PROCESSING
Chairs: Friedrich Boebel, Fraunhofer Institut; Lynn Fuller, Rochester Institute of Technology
t
Competitive Manufacturing for the 1990s
Gerhard H. Parker, Intel Corporation
Reflection Supported Pyrometric Interferometry: A New Tool for m d u , Real Time
Temperature Control in Semiconductor Manufacturing Friedrich G. Boebel, Heino Moller,
Walter PreiB, Fraunhofer Institut
130
An Evaluation of 4X Reticles for 25Onm D W Lithography (abstract)
Harry Sewell, Nicholas Deluca, SVG Lithography Systems
135
A Monitor Wafer Based Controller for PECVD Silicon Nitride Process on AMT 5000
P.K. Mozumder, Sharad Saxena, Texas Instruments; David Collins, Camegie Mellon Univ
136
Hybrid Lithography System using EBeam Direct Writer and Optical Stepper
Toshio Kaneko, Yukinobu Shibata, Yoshio Sakitani, Toshiei Kurosaki, Hitachi Lrd
142
A New High Density Plasma Etching System Using A Dipolering Magnet (DRM)
Tokuhisa Ohiwa, Isahiro Hasegawa, Makoto Sekine, Toshiba Gorp
148
Optimization of a High-Volume 200-mm BiCMOS Manufacturing Line
T. Hook, B. Chen, G. Starkey, A. Bhattacharyya, M. Faucher, C. Racine, C. Willets, S. Eslinger,
S. Kulkarni, W. King, C. Washbum, J. Piccirillo, S. Mongeon, A. Johnson, E. Gabrielle, IBM COT
152
p Not available at time of printing
1993 lEEE/SEMI Advanced Semiconductor Manufacturing Conference
Boston, MA
TOTAL OUALITY MANAGEMENT & PARTNERING ISSUES
Chairs: David Bohling, Air Products & Chemicals; Harold Parks, Univ of Arizona
Successful BusineSs-Process Improvement with Cross-Functional Teams
Don C u d n g s , Janette Bombardier, Chris Chiquoine, IBM Technology Producfs
156
Process Improvements and1 Empowerment in a VLSI Pilot Line
161
J. Conway, J. Maimon, P. Nixon, M. Polavarapu, IBM Federal Systems CO
A Mannfactnring Strategy for Workforce Empowerment
Jay C. Duncombe, Beniard L. LaMarche, Patricia McCullough, Ham's Semiconductor
168
Process Capability Improvement in a Student Run Integrated Circuit Factory
172
L.F. Fuller, P.C. Waldrop, K.D. Hirschman, Rochester Institute of Technology
Partnership Between Education and Industry for Preparing of Students for
State-of-theArt VLSI Technology Kanti Prasad, Univ of Massachusetts Lowell
178
-
184
Rational Balance Intuition and Reason in Problem Solving
Kim P. Tomlinson, Brian L. Campbell, IBM Technology Products
DEFECT RIEDUCTION AND YIELD ENHANCEMENT
Chairs: Gary Cheek, Analog Devices, John Conway, IBM Federal Systems CO
Framework for an Advanced Inspeetion Program (abstract)
Robert Cappel and Mary Beth Nasr, Digital Equipment Corp
190
Automatic Patterned Defect Classification using Fuzzy Logic
M. Luria, E. Adin, M. Moran, D. Yaffe, Galai Laboratories Lrd, J. Kawski, ADE Corp
191
Implementation of Real-Time Particle Detection at Post Metal Depositiqn
Karen Gildersleeve, Simon Gonzales, Motorola Inc
194
Isolating the Killer Defecc Process Analysis Using Particle Map to Probe
198
Map Correlation Eric Carman, Chris Lawrence, Raj Nair, Gerald Sanchez, Motorola Inc
FAST Particle Detection for In-Situ Reactor Analysis
Walter H. Whitlock, Frederick L. Tapp, Kevin McKeigue, Airco - Ihe BOC Group Inc
201
An Evalnation of Snrface Finish and Flu&-np Procedures for High M t y HCl Gas
204
Distribution Systems R. Duguid, Millipore Corp, S. Coder, Advanced Micro Devices,
R. Binder, Novapure C ~ r pB.
, Hertzler, Air Products & Chemicals
MANUFAC'TURING COST MANAGEMENT
Chairs: George Bowers, IBM COT; Ron G u ~ MRensselaer
,
Polytechnic Institute
Cost Rednction in U.S. Semiconductor Environment (abstract) Victor Joseph, V .Associates
211
Cost of Analysis for a Mdtiple ProductMultiple Process Factory: Application of
SEMATECH's Future Factory Design Methodology
E. Neacy, N. Abt, S. ELrown, M. McDavid, J. Robinson, S . Srodes, T.Stanley, SEMAl7ZCH
212
Cost of Ownership Model for Process Gas Improvements
Mark Kellam, MCNC Centerfor Microelectronics; Carlton Osburn, North Carolina State Univ;
Steve Wechter, AIRCO - Ihe BOC Group, Inc
220
Cost of Ownership Benefits Using Multiply Charged Ion Implants on Conventional Medium and High
Current Implanters Ksnneth Steeples, Gus Tai, Daniel Fess, David Fletcher, Digital Equipment Corp
223
Analytical Optimization of the Plasma Clean Cycle for a Nitride PECVD System as Evalnated
by Cost of Ownership John Langan, Sui Yuan LYM, Bruce Huling, Russ Morgan, Air Products &
Chemicals; Bob Anders,on, John Behnke, Michael Bennan, Hassan Kobessi, Advanced Micro Devices
229
Optimization of Cost Vewns Performance of Gas Distribution Systems Through Contamination Modelling
P. Espitalier-NOB, M. Chigirinskiy, A. Athalye, K. Siefering, W.Whitlock, Airco - me BOC Group Inc
232
Speakers biographies
237
SEMI Pnblications, Standards, Videos, Network
249
IEEE Membership Information
248
1993 IEEE/SEMI Advanced Semiconductor Manufacturing Conference
Boston, MA