wednesday technical sessions 1600 – 1720

advertisement
SUNDAY
MONDAY
0900 - 1200 AM Workshops & Short Courses
WSA: Introduction to GaN MMIC Design (cont. in PM)
WSB: Advancements and Challenges Toward Radio-in-Package and Radio-on-Chip (cont. in PM)
WSC: Imaging at Millimeter-Wave and Beyond (cont. in PM)
WSD: Re-Configurability Requirements for Multi-standard Low-power Operation (cont. in PM)
WSE: Advancements in Linear Power Amplifiers for Cellular Infrastructure (cont. in PM)
WSF: EMI Compliant Design Practices: Interference Anal., Floorplanning, Grounding Strategies, Chip-Package-Board Co-Design (cont. in PM)
WSG: New Architectures for Digitized Receivers (cont. in PM)
WSH: Design for Manufacturability and Self-Testability of RFICs (cont. in PM)
WSI: RF Bio-Medical Electronics and Sensors (cont. in PM)
WSJ: Systems & Circuits for Sensing, Co-Existence, and Interference Mitigation in SDR and Cognitive Radios (cont. in PM)
1320 -1720 PM Workshops & Short Courses
WSA: Introduction to GaN MMIC Design (cont. from AM)
WSB: Advancements and Challenges Toward Radio-in-Package and Radio-on-Chip (cont. from AM)
WSC: Imaging at Millimeter-Wave and Beyond (cont. from AM)
WSD: Re-Configurability Requirements for Multi-standard Low-power Operation (cont. from AM)
WSE: Advancements in Linear Power Amplifiers for Cellular Infrastructure (cont. from AM)
WSF: EMI Compliant Design Practices: Interference Anal., Floorplanning, Grounding Strategies, Chip-Package-Board Co-Design (cont. from AM)
WSG: New Architectures for Digitized Receivers (cont. from AM)
WSH: Design for Manufacturability and Self-Testability of RFICs (cont. from AM)
WSI: RF Bio-Medical Electronics and Sensors (cont. from AM)
WSJ: Systems & Circuits for Sensing, Co-Existence, and Interference Mitigation in SDR and Cognitive Radios (cont. from AM)
WSK: Half-Day Workshop: Efficiency Enhancement Techniques of Power Amplifiers and Transmitters for Mobile Applications (PM only)
SC-1: Techniques and Realizations of Microwave and RF Filters (cont. in PM)
SC-1: Techniques and Realizations of Microwave and RF Filters (cont. from AM)
SC-2: Nonlinear Dynamics and Stability Analysis/Design of Microwave Circuits (cont. in PM)
SC-2: Nonlinear Dynamics and Stability Analysis/Design of Microwave Circuits (cont. from AM)
Registration 0700 - 1800 (BCC: Pratt Street Lobby) • RFIC Plenary 1740 - 1900 (BCC: Ballroom III-IV) • RFIC Reception 1900 - 2100 (BCC: Ballroom I-II)
0900 - 1200 AM Workshops & Short Courses
WMA: High Efficiency, Linear Power Amplifier Technology: Ka-, Q-band and Beyond (cont. in PM)
WMB: Nanotechnologies for Microwave Interconnects and Packaging (AM only)
WMC: Practical Compression, IMD, Load Pull and Behavioral Modeling Measurements (cont. in PM)
WMD: Laboratory Class: Wafer-Level S-Parameter Calibration Techniques (cont. in PM) (BCC: Room 318 - 320)
WME: Simulation- and Surrogate-Driven Microwave Design Technology (cont. in PM) (BCC: Room 321 - 323)
WMF: Challenges and Techniques of Magnetic Resonance Imaging (MRI) Systems (cont. in PM)
WMG: Recent Developments in Microwave Imaging and Detection (cont. in PM)
WMH: Flexible, Autonomous RFID-Enabled Sensors: Novel Applications, Energy Harvesting and Integration Challenges (cont. in PM)
WMI: Current state of Hexaferrite Materials and Their Applications (cont. in PM)
FRIDAY
THURSDAY
WEDNESDAY
TUESDAY
WMK: High Power Effects on Passive Microwave Components (cont. in PM)
SC-3: Noise in Electromagnetic Circuits and Systems (AM only)
SC-4A: Low Phase Noise Oscillators: Theory and Design and Laboratory (cont. in PM)
SC-5: Frequency Synthesizer Design Techniques (cont. in PM)
1200 - 1320 Panel Session
1320 -1720 PM Workshops & Short Courses
Panel Session: SoftwareWMA: High Efficiency, Linear Power Amplifier Technology: Ka-, Q-band and Beyond (cont. from AM)
Defined Radios - Facts and
Fantasies (BCC: Room 307 - 308) WMC: Practical Compression, IMD, Load Pull and Behavioral Modeling Measurements (cont. from AM)
WMD: Laboratory Class: Wafer-Level S-Parameter Calibration Techniques (cont. from AM) (BCC: Room 318 - 320)
WME: Simulation- and Surrogate-Driven Microwave Design Technology (cont. from AM) (BCC: Room 321 - 323)
WMF: Challenges and Techniques of Magnetic Resonance Imaging (MRI) Systems (cont. from AM)
WMG: Recent Developments in Microwave Imaging and Detection (cont. from AM)
WMH: Flexible, Autonomous RFID-Enabled Sensors: Novel Applications, Energy Harvesting and Integration Challenges (cont. from AM)
WMI: Current state of Hexaferrite Materials and Their Applications (cont. from AM)
WMJ: Compact Equivalent Circuits and Table Based FET Models - Is There One Winner for Circuit Designers and Foundries? (PM only)
WMK: High Power Effects on Passive Microwave Components (cont. from AM)
SC-4A: Low Phase Noise Oscillators: Theory and Design and Laboratory (cont. from AM)
SC-5: Frequency Synthesizer Design Techniques (cont. from AM)
SC-6: National and International Spectrum Regulation for Microwave Professionals (PM only)
Registration 0700 - 1800 (BCC: Pratt Street Lobby) • RFIC Symposium 0800 - 1720 • IMS Plenary 1740 - 1900 (BCC: Ballroom III-IV) • IMS Welcome Reception 1900 - 2100 (BCC: Ballroom I-II)
0800 - 0940 Early AM Technical Sessions
1000 - 1140 Late AM Technical Sessions
1200 - 1320 Panel Session
1420 - 1540 Early PM Technical Sessions
1600 - 1720 Late PM Technical Sessions
TU1A: Integrated and Tunable Filter Technologies (BCC: Room 310)
TU2A: Compact Reconfigurable and Tunable Filters (BCC:
Panel Session: What Is the Limit TU3A: Microwave Ferrite Devices and Materials (BCC: Room 310)
TU4A: Tunable Passives and Acoustic Filters (BCC: Room 310)
Room 310)
of Multi-Radio Integration ...
TU2B: RF and Microwaves in Medicine: Medical Sensors and Or Rather, Is It ‘Disintegration’? TU3B: Measurement Techniques for Biological Tissues and Subjects TU4B: 44: Focus Session: High Field Magnetic Resonance Imaging
TU1B: RF and Microwaves in Medicine: Monitoring and Imaging (BCC:
(BCC: Room 307 - 308)
Room 314 - 315)
Devices (BCC: Room 314 - 315)
(BCC: Room 314 - 315)
Systems (BCC: Room 314 - 315)
TU1C: RFID Technologies and Applications (BCC: Room 316 - 317)
TU3C: Special Session: Historical Perspectives on Microwave Develop- TU4C: Advances in Low Noise IC Design and Measurements (BCC:
ment in the Baltimore-Washington Area (BCC: Room 316 - 317)
Room 316 - 317)
TU3D: Advances in RF MEMS Switches (BCC: Room 318 - 320)
TU4D: Advances in RF MEMS Tunable Filters (BCC: Room 318 - 320)
TU3E: Nonlinear Circuit and System Simulation (BCC: Room 321 - 323) TU4E: Efficient Wireless Transfer of RF Power (BCC: Room 321 - 323)
TU2F: New Concepts in Microwave Radiation Structures (BCC:
TU3F: Analysis and Design of Novel Structures (BCC: Room 324 - 326) TU4F: Waveguiding and Periodic Structures (BCC: Room 324 - 326)
Room 324 - 326)
TU1G: Novel Semiconductor Devices and Ics (BCC: Room 327 - 329)
TU2G: Silicon CMOS RF and Microwave Circuits (BCC: Room
TU3G: Efficient Broadband Power Amplifiers (BCC: Room 327 - 329) TU4G: GaN and LDMOS Linear Power Amplifiers (BCC: Room 327 - 329)
327 - 329)
Registration 0700 - 1800(BCC: Pratt Street Lobby)• RFIC Symposium 0800 - 1140 • Exhibition 0900 - 1700 (BCC: Halls B-G) • MicroApps Seminar 0930 - 1650 (Exhibit Hall: Booth 413) •
IMS Student Design Competitions 1200 - 1400 (BCC: Hall A) • IMS Student Paper Contest 1200 - 1400 (BCC: Hall A) • RFIC Interactive Forum 1200 - 1400 (BCC: Hall A) Rump Session: Microwave R&D Funding Policy & Trends 2000 - 2200 (Hilton: Key 5)
0800 - 0940 Early AM Technical Sessions
1000 - 1140 Late AM Technical Sessions
1200 - 1320 Panel Session
1420 -1540 Early PM Technical Sessions
1600 - 1720 Late PM Technical Sessions
Panel Session: Commercial
WE3A: Broadband Measurement Techniques (BCC: Room 310)
WE4A: Advanced Circuit and Material Measurement (BCC: Room
WE1A: Advanced Synthesis and Design Techniques of Microwave Filters and WE2A: Novel Technologies for Practical Filter Realizations
Multiplexers (BCC: Room 310)
(BCC: Room 310)
Viability of RF-MEMS: A
310)
Reality or a Dream? (BCC: Room WE3B: New Trends in Passive Components (BCC: Room 314 - 315) WE4B: Focus Session: Handset Impedance Tuners (BCC: Room
WE1B: Novel Transmission-line Metamaterial Structures and Devices (BCC: WE2B: Planar and Substrate Integrated Waveguide
307
308)
Room 314 - 315)
Techniques (BCC: Room 314 - 315)
314 - 315)
WE3C: Microwave Photonics Systems and Devices (BCC: Room
WE4C: High Power Microwave Processing: Modeling and ApplicaWE2C: Focus Session: Recent Developments in Photonics for
RF Front-Ends (BCC: Room 316 - 317)
316 - 317)
tions (BCC: Room 316 - 317)
WE1D: Advances in Ultra-Low-Power Transceiver Architectures
WE2D: Power Amplification Enhancement Techn. for AdWE3D: High-Power, High-Efficiency GaN Power Amplifiers (BCC:
WE4D: Innovative GaN Power Amplifiers (BCC: Room 318 - 320)
(BCC: Room 318 - 320)
vanced Wireless Comm. Systems (BCC: Room 318 - 320)
Room 318 - 320)
WE1E: Array and Power Combining Techniques (BCC: Room 321 - 323)
WE2E: Array and Module Integration (BCC: Room 321 - 323)
WE3E: Non-linear Modeling for Microwave Devices (BCC: Room
WE4E: FET Modeling (BCC: Room 321 - 323)
321 - 323)
WE1F: Special Session: 100 Years of Superconductivity - Existing & Emerging WE2F: Focus Session: Retrospective and Outlook of CompuWE3F: Recent Advances and Applications of Space Mapping (BCC: WE4F: Computer-Aided Analysis for Electrically Large Distributed
RF Applications of Superconductivity (BCC: Room 324 - 326)
tational Microwave Engineering (BCC: Room 324 - 326)
Room 324 - 326)
Networks (BCC: Room 324 - 326)
WE1G: Millimeter Wave Technologies and Components for System Integra- WE2G: THz Technologies and Applications (BCC: Room
WE3G: Advances in Millimeter Wave and THz Technologies (BCC:
tion (BCC: Room 327 - 329)
327 - 329)
Room 327 - 329)
WE1H: Special Session: Memorial Session for Theodore Saad (BCC: Room
WE2H: Special Session: Memorial Session for Roger Sudbury
WE4H: Special Session: A Tribute to Professor Nathan Marcuvitz
309)
(BCC: Room 309)
(BCC: Room 309)
Registration 0700 - 1800 (BCC: Pratt Street Lobby) • Exhibition 0900 - 1800 (BCC: Halls B-G) • MicroApps Seminar 0930 - 1650 (Exhibit Hall: Booth 413) • IMS Interactive Forum 1200 - 1400 (BCC: Hall A)
0800 - 0940 Early AM Technical Sessions
1000 - 1140 Late AM Technical Sessions
1200 - 1320 Panel Session
1420 -1540 Early PM Technical Sessions
1620 – 1720 Closing Ceremony
TH1A: Advances in Signal Generation Technologies (BCC: Room 310)
TH2A: Innovative Planar Filters and Multiplexers (BCC:
Panel Session: Microwave Pho- TH3A: Multi-band and Multi-mode Planar Filters (BCC: Room 310)
CLOSING CEREMONY
Room 310)
tonics: A Growing or Shrinking
With a special Presentation
“Cognitive Radar”
TH1B: New Advances in Power Dividers and Hybrids for RF and Microwave TH2B: Advances in Passive Circuit Technology for Microwave Value Proposition? (BCC: Room TH3B: Frequency Conversion and Control (BCC: Room 314 - 315)
307 - 308)
by Dr. Joseph Guerci
Applications (BCC: Room 314 - 315)
System Applications (BCC: Room 314 - 315)
(BCC:
Ballroom III - IV)
TH2C: Industrial Sensors Using Innovative RF Techniques
TH3C: Focus Session: Microwaves Around the World - II (BCC: Room
TH1C: Focus Session: Microwaves Around the World - I (BCC: Room
316 - 317)
(BCC: Room 316 - 317)
316 - 317)
TH1D: Signal Processing/Integrity Circuitry for Data Throughput up to 80
TH2D: Focus Session: The Impact of Carbon Nanoelectronics
TH3D: Advances in RF Nanotechnology (BCC: Room 318 - 320)
Gbit/s (BCC: Room 318 - 320)
on Radiofrequency Technology (BCC: Room 318 - 320)
TH1E: Focus Session: Microwave Technologies for Space: Needs and ChalTH2E: Advances in Radar Systems for Sensing and Imaging
TH3E: Advances in Communication, Positioning, and Direction
lenge (BCC: Room 321 - 323)
(BCC: Room 321 - 323)
Finding Systems (BCC: Room 321 - 323)
TH1F: Advanced Packaging Materials and Techniques for Microwave and
TH2F: Time-Domain Modeling: Advances and Applications
TH3F: Accuracy Enhancement in Numerical Frequency Domain
Millimeter-wave Applications (BCC: Room 324 - 326)
(BCC: Room 324 - 326)
Techniques (BCC: Room 324 - 326)
TH1G: Power Amplifiers and Reconfigurable Networks for VHF and UHF
TH2G: High Linearity, High Efficiency Power Amplifier
TH3G: Broadband/Multiband Power Amplifier Design Techniques
(BCC: Room 327 - 329)
Techniques (BCC: Room 327 - 329)
(BCC: Room 327 - 329)
Registration 0700 - 1600 (BCC: Pratt Street Lobby) • Exhibition 0900 - 1500 (BCC: Halls B-G) • MicroApps Seminar 0910 - 1450 (Exhibit Hall: Booth 413) • IMS Interactive Forum 1200 - 1400 (BCC: Hall A)
AM Workshops & Short Courses 0800 - 1200
PM Workshops & Short Courses 1320 - 1720
WFA: Wireless Power Transmission (cont. in PM)
WFB: Piezoelectric RF MEMS for Communication and Defense Applications (AM only)
WFC: The Design Flow of Microwave Power Amplifiers: Challenges and Future Trends (cont. in PM)
WFD: Medical and Biological Microwave Sensors and Systems (cont. in PM)
WFE: Electron. Steered Arrays for Radar, Comms and EW: Are They Affordable And Ready to Assume a Place in 21st Century Systems? (cont. in PM)
WFA: Wireless Power Transmission (cont. from AM)
WFC: The Design Flow of Microwave Power Amplifiers: Challenges and Future Trends (cont. from AM)
WFD: Medical and Biological Microwave Sensors and Systems (cont. in PM)
WFE: Electron. Steered Arrays for Radar, Comms and EW: Are They Affordable And Ready to Assume a Place in 21st Century Systems? (cont. from AM)
WFF: Wireless Sensor Network Technologies for Emerging Applications (cont. in PM)
WFF: Wireless Sensor Network Technologies for Emerging Applications (cont. from AM)
WFG: Innovative and Highly Accurate Local Positioning Systems (cont. in PM)
WFG: Innovative and Highly Accurate Local Positioning Systems (cont. from AM)
WFH: Recent Advances in Multi-Giga Bit Per Second (Gbps) Data Throughput Techniques for Ka-Band Space-to-Ground Links (AM only)
WFI: Advances in RF Imaging Techniques (AM only)
WFJ: Nanotechnology-Enabled RF and Cognitive Devices, Components and Systems (cont. in PM)
WFJ: Nanotechnology-Enabled RF and Cognitive Devices, Components and Systems (cont. from AM)
WFK: Practical Design Approaches and Issues in Software Defined Radios (cont. in PM)
WFK: Practical Design Approaches and Issues in Software Defined Radios (cont. from AM)
Registration 0700 - 0900 (BCC: Pratt Street Lobby) • ARFTG Conference 0800 - 1550 (Hilton: Holiday 1-3) • ARFTG Interactive Forum 0940 - 1040 and 1410 - 1450 (Hilton: Holiday 4-5)
TABLE OF CONTENTS
Social Events
5 June 2011
RFIC Reception 1900 - 2100
(BCC: Ballroom I-II)
Student and GOLD Receptions 1800 - 1930
(Hard Rock Café Baltimore)
Women in Microwaves Reception 1800 - 2000
(Hilton: Lobby Bar)
Ham Radio Social 1800 - 2100
(Hilton: Key 12)
7 June 2011
6 June 2011
IMS Welcome Reception 1900 - 2100
(BCC: Ballroom I-II)
8 June 2011
Industry Hosted Cocktail Reception: 1715 – 1800
(BCC: Halls B-G)
MTT-S Awards Banquet 1820 - 2200
(Hilton: Key 7-12)
10 June 2011
9 June 2011
MTT-S Student Awards Luncheon: 1200 – 1400
(Hilton: Ballroom 6)
Crab Feast 1800 - 2200
(USS Constellation & Pier 1)
Technical Track Key:
µwave Field & Circuit Techn.
MICROWAVE WEEK
IMS Chair’s Message
Things to Note for Your Ultimate Microwave Week Experience!
Badge Cash Outlets
IEEE and MTT-S Membership
Advance and On-site Registration
US Visa Advisory
Accommodations
About Baltimore and Transportation
IMS TECHNICAL PROGRAM
Technical Program Chair’s Message
Plenary Presentation
Tuesday
Panel, Special, and Focused Sessions
Technical Sessions
Student Paper Competition
Wednesday
Panel, Special, and Focused Sessions
Technical Sessions
Interactive Forum
Thursday
Panel, Special, and Focused Sessions
Technical Sessions
Interactive Forum
Steering Committee
Technical Program Committee
MTT-S Administrative Committee
Future IMS Locations
MTT-S Awards and IEEE Fellows
RFIC TECHNICAL PROGRAM
Chair’s Message
Welcome to the 2011 RFIC Symposium
Plenary and Panel Sessions
Technical Program
Committees
ARFTG TECHNICAL PROGRAM
Chair’s Message and Committees
Technical Program
Active Components
Systems & Applications
15
16
17–31
32–54
55–72
73
74
75
75
76–77
78
79
80–81
82–85
86
87
88
WORKSHOPS AND SHORT COURSES
Sunday
Monday
Friday
EXHIBITION
Commercial Exhibit
MicroApps
SOCIAL EVENTS AND GUEST PROGRAM
Social Events, Hospitality Suite, and Recreational Activities
Convention Center Maps
Passive Components
4
4–5
5
6
7–10
11
12–13
14
89–94
94–100
101–104
105–107
108–109
110–114
115
Emerging Technical Areas
General Interest
M I C R O W AV E
2
W E E K
0
1
1
WELCOME FROM THE IMS CHAIR
On
behalf of the IMS2011 Steering Committee, I have the privilege
of inviting you to IMS2011. I hope I will have the honor of
welcoming you in Baltimore June 5th through 10th 2011 for the
world’s premiere technical conference on all things related to RF, microwave,
and millimeter-wave technologies. Our theme for IMS2011 is Microwaves for
the World. We will have technical sessions, panel sessions, workshops, and
short courses on state-of-the-art technologies and techniques presented
by leading experts from around the world. The emphasis will be on how our technology
and profession has benefited the world.
Co-located with IMS2011 are the 2011 Radio-Frequency Integrated Circuits (RFIC)
Symposium (www.rfic2011.org) and the 77th Automatic Radio-Frequency Techniques
Group Conference (www.arftg.org). Of course, we also have the largest collection of
exhibitors who showcase their products, equipment, expertise, and services. This
opportunity for all members of our technical community to interact and network is
what makes IMS and Microwave Week the unique experience that it is.
All registered attendees are encouraged to attend this year’s Monday evening
Plenary Session. The Keynote speaker, Professor J. David Rhodes, is known for
his greater than 30-year involvement with microwave technology, his leadership in filter design and application, and his
entrepreneurial achievements. His address is titled “Migration of WCDMA and 4G LTE into Existing Cellular Bands.”
Our slogan this year is IMS2011 in Baltimore: A Perfect Match, which emphasizes in a way every microwave engineer can
understand that Baltimore is an ideal IMS venue. The Baltimore Convention Center and major hotels are all within walking distance
of the beautiful Inner Harbor. Baltimore makes a great destination for family and guests. And, in those rare instances when you
need a break from IMS Technical and Social programs, you are sure to find something that interests you.
Our Steering Committee and colleagues at IEEE Meeting & Conference Management and MP Associates are committed to
making this your best IMS experience ever.
See you in Baltimore,
Jeffrey M. Pond
THINGS TO NOTE FOR YOUR ULTIMATE
MICROWAVE WEEK EXPERIENCE!
Monday Plenary Session
and Welcome Reception
The Plenary Session will be held Monday evening, 6 June 2011, in the Baltimore
Convention Center beginning at 1740. All registered attendees are encouraged to hear
Professor J. David Rhodes speak on “Migration of WCDMA and 4G LTE Into Existing
Cellular Bands.” See page 16. The Welcome Reception will follow the Plenary Reception.
Student Paper Competition
The Student Paper Competition Interactive Forum will be available from 1200-1400 in
Exhibit Hall A on Tuesday along with the Student Design Competitions. See page 22.
Panel Sessions
Panel Sessions present current opinions on hot topics. Order optional and convenient box
lunches with your registration so you can keep up to date on the latest topics without
skipping lunch!
Interactive Forum
The IMS Interactive Forum will include demonstrations, hardware, and simulations
presented by authors. Authors will be available to discuss their work from 1200-1400
Wednesday and Thursday. All IMS Interactive Forum Sessions will be located in Exhibit
Hall A. Please review the IMS Technical program section for details.
Crab Feast
IMS in Baltimore means it is Crab Feast time. It’s an event not to be missed and will be
held at the USS Constellation & Pier 1 on Thursday night at 1800.
MicroApps
Informative MicroApps seminars from vendors of products and services in the microwave
industry will be presented daily in the MicroApps Theater. Do not miss the keynote talk
by John Ocampo, Chairman of M/A-COM Technology Solutions, entitled “What Makes
Successful Mergers,” on Wednesday at 1700. Please see pages 108-109 for the detailed
schedule of topics and speakers.
continued on page 5
4
2
0
1
1
Exhibition
Free Exhibit Only registration for Wednesday has returned. Come experience the many
vendors and learn about recent advances within the Microwave industry. Be sure to
be on the exhibit floor on Wednesday afternoon from 1715-1800 for the Industry
Hosted Reception. Please come and visit as drinks and refreshments will be provided
throughout the show floor. A complete list of participating companies is available on
pages 105-106.
Hospitality Suite
Families and guests will enjoy the hospitality suite located in the Peale room at
the Hilton. It will be a place to relax and meet. The hospitality suite will also have
suggestions and discount coupons for various activities to enjoy while in Baltimore as
well as fun games & crafts for families. Guest registration is required and fees do apply.
See page 111.
M I C R O W AV E
W E E K
Print on Demand
Print on Demand will be co-located with the Cyber Cafe on the 200 level of the Baltimore
Convention Center. This will allow all registered attendees to print out any paper FREE!
Closing Reception
New for IMS2011 is a Closing Ceremony to be held Thursday afternoon between 1620
and 1720 in the Baltimore Convention Center. While enjoying some refreshments we
will be privileged to hear Dr. Joseph Guerci speak on “Cognitive Radar”. We will also be
introducing the winners of the new Graduate Student Challenge, as well as the IMS2012
Steering Committee who will be enticing you with the great symposium they plan for
Montreal in 2012.
IMS2011 BADGE CASH OUTLETS
IMS2011 is continuing the “Badge Cash” program this year as part of a continued effort to improve your experience as an attendee in Baltimore, MD!
Attendees registered for All IMS Sessions will have a $45.00 credit put onto their badge that can be use at selected locations in the Baltimore Convention Center for breakfast each morning
(approximately $15.00/day). This replaces the continental breakfast that the conference has provided to the IMS attendees in previous years.
Attendees registered for the Superpass will have a value of $60.00 on their badge as this includes both IMS and RFIC.
Attendees registered for IMS Single Day will have a value of $15.00 on their badge that can be used for the day that they are attending the IMS technical program.
IMS Registrant Badge Cash is only valid Tuesday – Thursday, 7 – 9 June.
Attendees registered for RFIC Sessions will have a value of $30.00 on their badge that is valid only Monday - Tuesday, 6 – 7 June.
How does Badge Cash work?
Select your items at a participating station and hand the cashier your badge. The cashier will scan your badge and the amount purchased will be deducted from your badge.
If there is not enough cash value left on your badge you will be responsible for paying the difference. If I lose my badge will I receive a new one with the cash value on it?
No, if you lose your badge you will only receive a new badge that will not have Badge Cash on it.
If I don’t use all the money do I receive the remaining amount in cash? No, Badge Cash is not redeemable for cash, it is only good for
food products sold at the mentioned vendors below.
Badge Cash can be redeemed at the following locations in the Baltimore Convention Center:
Starbucks:
Monday – Thursday: 0900 – 1500
Fresh Market:
Monday – Thursday: 0900 – 1500
Coffee Cart, Pratt Street Lobby by the
Howard Street Entrance:
Monday – Thursday: 0900 – 1500
Additional Food Venues on the Exhibit Floor:
Tuesday: 0900 – 1500
Wednesday: 0900 – 1500
Thursday: 0900 – 1500
Coffee Cart
Fresh Market
= Badge Cash Outlet
Starbucks
5
M I C R O W AV E
2
W E E K
0
1
1
IEEE AND MTT-S MEMBERSHIPS
IEEE
The IEEE is a nonprofit professional association with more
than 375,000 members (including 80,000 students) in over
160 countries. This global organization helps support the
development and application of technology and science
around the world for the benefit of humanity, the individual,
and the profession.
MTT-S
The IEEE Microwave Theory and Techniques Society (MTT-S)
is an international society with more than 11,000 members
and 125 chapters worldwide. Our society promotes the
advancement of microwave theory and its applications,
at frequencies from 200 MHz to 1 THz and beyond. As we
enter into an exciting future, our mission is to continue to
understand and influence microwave technology.
Benefits of Membership
The benefits of IEEE membership include:
• Discounted conference registration rates
• Subscriptions to the award-winning IEEE Spectrum and online access to IEEE Potentials magazines
• Online access to the tables of contents and expanded abstract
from over one million IEEE documents with full text-searching
capability
• Free IEEE e-mail alias with virus scanning and spam filtering
• The IEEE Financial Advantage - negotiated exclusively for IEEE
members
Join the IEEE and MTT-S
Web:http://www.ieee.org/web/membership/join/join.htm
Phone:
US and Canada:
+ 1.800.678.4333
Worldwide:+ 1.732.981.0060
Attendees who join the IEEE for $84.50 and MTT-S for $7.00
before the Symposium will save $195 on their registration fee,
which more than pays for itself! Half-year rates apply to new
members only. New applications received between 1 March
2011 and 15 August 2011 will automatically be processed
for half-year membership. An exception is if the application
specifically requests their application be processed for the
full year.
6
IEEE and MTT-S Membership Dues
IEEE Half-Year Dues
Residence
United States
Canada (Including GST)
Canada (Including HST)
Africa, Europe, Middle East
Latin America
Asia, Pacific
Member
Student
$84.50
$15.00
$77.23
$15.90
$82.39
$17.10
$71.00
$12.50
$66.50
$12.50
$67.00
$12.50
MTT-S Half-Year Dues
Member Student
$3.50
$7.00
$3.50
$7.00
$3.50
$7.00
$3.50
$7.00
$3.50
$7.00
$3.50
$7.00
MTT-S dues include a subscription to IEEE Microwave Magazine. MTT-S members can also purchase subscriptions to the
IEEE Transactions on Microwave Theory and Techniques, IEEE
Microwave and Wireless Components Letter, IEEE/OSA Journal
of Lightwave Technology, and IEEE MTT CD-ROM Collection.
See IEEE Membership website for pricing.
2
0
1
1
M I C R O W AV E
W E E K
ADVANCE REGISTRATION
Registration Categories
Select the conference(s) you wish to attend.
The Registration process is split into three tiers. The 1 tier is the Early Bird Registration period. It
begins Tuesday, 1 February and will last through Friday, 20 May. This period provides an opportunity to
register for the Symposium at the lowest possible cost. Immediately following the Early Bird period is
the 2nd tier or Advance Registration period. It extends from Saturday, 21 May through Friday, 3 June,
just prior to the start of Microwave Week. The 3rd and final tier is the On-Site Registration period
that will remain the same as in past Symposia, starting on Saturday 4 June, the first day of Microwave
Week, and ending on Friday, 10 June.
st
Early Bird Period
1 February
20 May (thru midnight ESDT)
Advance Period
21 May
3 June (thru midnight ESDT)
On-Site Period
4 June
10 June (throughout Microwave
Week)
Symposium SUPERPASS
•
•
•
•
IMS Technical Sessions are held on Tuesday, Wednesday, and Thursday. Registration
includes admission to the exhibition and the electronic proceedings.
RFIC Technical Sessions are held on Monday and Tuesday. Registration includes
admission to the RFIC Reception, the exhibition, and the electronic proceedings.
ARFTG Technical Sessions are held on Friday. Registration includes breakfast, lunch,
electronic proceedings, and admission to the ARFTG Exhibition. ARFTG Conference
member rates are available to both ARFTG and IEEE Members.
Microwave Week hosts the largest exhibition of its kind with over 500 companies.
Exhibit only registration is available.
Extra Electronic Proceedings and Digests
Additional electronic proceedings (IMS, RFIC and ARFTG) and digests (RFIC only) are available for
purchase and pick-up at the conference. After the Symposium, these digests and electronic proceedings
will be available for purchase from IEEE.
For one low price, registrants can attend all technical sessions from any of the three contributing
organizations, IMS, RFIC, and ARFTG, as well as attend one full-day workshop (or two half-day
workshops, if desired). SUPERPASS registration includes the electronic proceedings for IMS, RFIC,
ARFTG, and the All Workshop electronic proceedings. Also included is admission to the exhibits. In
addition, the SUPERPASS will allow you to attend the IMS Welcome Reception on Monday, the Awards
Banquet on Wednesday and the Crab Feast on Thursday.
Awards Banquet
The SUPERPASS is a SUPER DEAL offering a significant discount over the combined a la carte
pricing.
The Crab Feast is a tradition when IMS is in Baltimore. The Crab Feast will be held on Thursday, 9 June
from 1800 – 2200 at the USS Constellation & Pier 1. This is an informal event in the Chesapeake Bay
tradition. An additional fee applies.
Early Bird Registration
Please follow these instructions for completing the Early Bird Registration Form on the facing page.
Early Bird Registration rates provide significant savings from the on-site fees shown on page 10 of
this program book and are available through midnight (EST) 20 May. Registration is required for
all attendees including SESSION CHAIRS and PRESENTERS. Only paid attendees will be admitted to
the breakfasts, workshops, technical sessions, and exhibit hall. This form is not used for guest tour
registration, which is described elsewhere in this program book. Each registrant must submit a
separate form with payment.
Methods of Registration
Individuals can register online, by fax or by mail. All registrations must be accompanied with a payment;
we accept Visa, MasterCard, American Express, and checks drawn from a U.S. bank. Registration forms
received without a form of payment will be discarded. We do NOT accept phone registrations.
Personal Information
If you would like to receive information by email from the IEEE, MTT-S, or microwave companies, simply
select the appropriate boxes.
Membership
Check boxes of all organizations of which you are a member. To receive IEEE member rates, enter
your member number and present your IEEE card upon check-in at the conference. Registrants
who do not have a current IEEE membership card at check-in will be charged non-member rates.
If you are not a member and would like to learn about the advantages of being a member and
receiving the conference member rate, please visit www.ieee.org/services/join or call 1-800678-IEEE. Please note that you must be a member at the time of registration to receive the
member rate.
Students, Retirees, and IEEE Life Members receive a discount on some registration fees. To qualify as
a student, a registrant must be either an IEEE Student Member or a full time student carrying a course
load of at least nine credit hours.
Symposia
Microwave Week includes the IMS technical program, and exhibit, as well as the RFIC Symposium
(www.rfic2011.org), and ARFTG Conference (www.arftg.org).
The MTT Awards Banquet will be held on Wednesday, 8 June from 1820 – 2200 at the Hilton Baltimore.
The evening will include fine dining, an awards presentation, and excellent entertainment. Major
Society Awards will be presented. An additional fee applies.
Crab Feast
Boxed Lunches
Optional boxed lunches are available for purchase by all attendees but are especially convenient for
those attending the panel sessions or exhibit hall during lunchtime. It is encouraged to purchase boxed
lunches before Microwave Week, as orders will not be available on-site. Refunds for lunches will not be
available since these are ordered in advance.
Workshops
The workshop fee includes an electronic proceeding for that workshop. Full-day workshops include
a continental breakfast, a morning refreshment break, a boxed lunch, and an afternoon refreshment
break. Morning workshops include a continental breakfast, and morning refreshment break.
Afternoon workshops include a boxed lunch and an afternoon refreshment break.
The All-Workshop electronic proceedings include material for all RFIC and IMS workshops. In order to be able to
purchase the All-Workshop electronic proceedings you must first be registered for three full-day workshops,
or equivalent (e.g. two full-day workshops and two half-day workshops).
Note that speaker’s printed notes of the workshop are not included with registration and may be
purchased during the Early Bird registration period only.
Guest Registration
Attendees registered for the technical portion of the conference may add a Guest to their registration
for an additional fee. Guest Registration includes access to the hospitality suite, plenary session, and
exhibit hall, but does not allow access to technical sessions and workshops.
Payment
Individual payment must accompany the registration form and is payable in U.S. dollars only, using
a personal check drawn on US bank or credit card (VISA, MasterCard, or American Express). Personal
checks must be encoded at the bottom with the bank account number and check number. Bank drafts,
wire transfers, cash, international money order and purchase orders are UNACCEPTABLE and will be
returned. Please make checks payable to “2011 IEEE IMS”. Written requests for refunds will be honored
if received by 20 May 2011. Refer to the Refund Policy for complete details.
7
Baltimore Convention Center
5-10 June 2011
IMS - RFIC - ARFTG Registration Form
1 All Early Bird and Advance registration must be received by 20 May and 3 June, respectively, for appropriate
costs to apply.
online: http://ims2011.mtt.org/Registration/IMS_registration.html by fax: +1 (303) 530-4334
by mail: IMS 2011 Registration, MP Associates, Inc.
1721 Boxelder St. Suite 107
Louisville, CO 80027 USA
2 Attendee Information
First Name
Last Name
Company
Mail Stop
Address:
Street
State
City
Postal Code
Country
Telephone
Email
Member of:
IEEE Membership #
Guest Information:
First Name
 MTT-S
Last Name
I would you like to receive emails from:  IEEE and MTT-S
Email
 ARFTG
 Industry
3 Attendee Survey
1) What is your principal job function?
















101 Executive/Senior Management
102 Engineering Management
103 Design Engineering
104 Engineering Services
105 Manufacturing/Production Engineering
106 Application Engineer
107 Procurement/Purchasing
108 Professor/Research - Academic
109 Research & Development - Government
110 Research & Development - Industry
111 Student
112 Financial or Industry Analyst
113 Editor/Publisher
114 Marketing/Sales
115 Consultant
116 Other_______________________















201 Communication systems, equipment
202 Wireless (WiFi, WiMAX, UWB)
203 Government - Military
204 Government - Other
205 Defense Electronics
206 Medical Electronics
207 Navigation/telemetry/GPS systems
208 Industrial automation/control systems
209 Transportation (Automotive/Aviation)
210 Consumer Electronics
211 Computers or peripherals
212 Test & Measurement
213 Components/Hardware
214 Data Transmission
215 Semiconductors & Ics
2) What primary end product or service do you
work on?
8




216 Materials
217 Services
218 Software
219 Other____________________
3) Which products and/or services in the
following areas do you recommend, purchase or
(Answer all that apply)














301 Active Components
302 Antennas
303 Control Components
304 Materials
305 Manufacturing Equipment
306 Optoelectronics & Fiber-Optics
307 Passive Components
308 Semiconductors/Integrated Circuits
309 Services
310 Signal Processing Components
311 Software & CAD
312 Subsystems & Systems
313 Test Equipment and Instruments
314 Transmission-Line Components




401 RF
402 Microwave
403 Both
404 Other_______________________




501 10 or fewer
502 11 to 40
503 41 to 100
504 More than 100
4) At what frequency is your primary work?
6) Which hotel will you be using while in
Baltimore?















601 Baltimore Marriott Inner Harbor at Camden Yards
602 Baltimore Marriott Waterfront
603 Courtyard Baltimore Downtown/Inner Harbor
604 Days Inn Baltimore Inner Harbor Hotel
605 Hilton Baltimore
606 Holiday Inn Baltimore Inner Harbor
607 Hyatt Regency Baltimore
608 Peabody Court Hotel
609 Radisson Plaza Lord Baltimore
610 Renaissance Baltimore Harborplace Hotel
611 Sheraton Baltimore City Center Hotel
612 Other Accomadations
613 Tremont Plaza Hotel
614 Other Hotel
615 Other Accomadations
International Microwave Week?
 701 Yes
 702 No
8) Are you an MTT member?
 801 Yes
 802 No
5) Number of engineers in your organization
IMS provides an email list of attendees to exhibitors.
If you do NOT want to receive this correspondence,
check here: 
4 Registration Pricing
Early Bird (1 February - 20 May) 27Advance (21 May - 3 June)
Superpass
Member
IEEE or ARFTG Membership
All IMS, RFIC, & ARFTG Sessions, Awards Banquet,
& All Workshop CD (RFIC/IMS) plus Full Day
(or 2 Half Day) Workshop Attendance
$1,180
IMS
All IMS Sessions
$415
Single Day Registration
$210
Select day:  Tuesday Wednesday Thursday
RFIC Symposium
All RFIC Sessions
RFIC Reception Only
$220
$30
$215
ARFTG Conference
IEEE or ARFTG Membership
Non-Member
Student, Retiree,
Life Member
Member
Non-Member
Student, Retiree,
Life Member
Cost
$735
$1,710
$1,355
$835
$1,960 $
$70
$620
$315
$485
$245
$80
$725
$365
$
$
$320
$50
$320
$250
$30
$245
$370
$50
$370
$
$
$135
$150
$
All ARFTG Sessions
Exhibition
$
$
# x $25
# x FREE
Exhibition Only Pass
Wednesday Exhibition Only Pass
Guest Badge
$60
5 Extra Proceedings & Digests
IMS Electronic Proceedings
RFIC Digest
RFIC Electronic Proceedings
ARFTG Electronic Proceedings
ARFTG Conf. Compendium CD-ROM 1982-2006
6 Events
Awards Banquet (Wed. Night)
Crab Feast (Thur. Night)
7 Lunch Mon Tues Wed Thur
Boxed Lunches 



#
#
#
#
#
$60
$90
#
#
#
#
#
x $60
x $60
x $60
x $60
x $65
$70
#
#
#
#
#
x $115
x $115
x $115
x $115
x $95
$70
$105
#
#
#
#
#
x $75
x $75
x $75
x $75
x $70
x $145
x $145
x $145
x $145
x $100
$
$
$
$
$
$
# x $55
# x $45
# x $55
# x $45
# x $65
# x $55
# x $65
# x $55
$
$
# x $25
# x $25
# x $25
# x $25
$
8 Workshops and Short Courses Please select from the following:
Full Day Workshops: WSA
WMF
WSB WSC WSD
WMG WMH WMI
Half Day Workshops: WSK WMB WMJ
Full Day Short Course: SC1 SC2 SC4A
Half Day Short Course:SC3 SC4
Full Day Workshops
Half Day Workshops
Full Day Short Course
Full Day Short Course SC4A (class and lab)
Half Day Short Couses
All Workshop Electronic Proceedings (RFIC/IMS)*
Workshop Notes
WFB
SC5
#
#
#
#
#
#
#
x $150
x $75
x $285
x $335
x $200
x $50
x $20
WSE
WMI
WSF
WFA
WFH
SC6
WFI
#
#
#
#
#
#
#
x $110
x $55
x $200
x $235
x $140
x $50
x $20
WSG
WFC
#
#
#
#
#
#
#
x $225
x $115
x $425
x $500
x $300
x $75
x $30
WSH
WFD
#
#
#
#
#
#
WSI
WFE
WSJ
WFF
x $175
x $90
x $335
x $395
x $235
x $60
N/A
#
#
#
#
#
#
WMA WMC
WFG WFJ
x $130
x $65
x $235
x $275
x $165
x $60
N/A
#
#
#
#
#
#
x $260
x $135
x $500
x $590
x $350
x $90
N/A
WMD WME
WFK
$
$
$
$
$
$
*Must be registered for three Full Day Workshops (or the equivalent)
9
Card Number
MasterCard
Expiration Date
Visa
American Express
Security Code
Total Remittance: $
Signature:______________________________
10 Submit via Fax or Mail to:
Refund Policy: Written requests for cancellations received on or before 20 May 2011, will be honored.
Cancellations received after 20 May 2011 will NOT be honored and all registration fees will be
forfeited.After 27 May 2011, faxed registrations will not be accepted - You MUST register on-site.
IMS2011
Fax registrations accepted with
Attn: Registration Desk
credit card payment only!
TELEPHONE REGISTRATIONS WILL NOT BE ACCEPTED! ANY REGISTRATION WITHOUT PAYMENT
1721 Boxelder St., Ste 107 Phone Number: (303) 530-4562 WILL BE DISCARDED! If payment is received from a non-US bank, attendees will be charged a
Louisville, CO 80027 USA Fax Number: (303) 530-4334
collection fee of $45.00.
Make checks payable to: IMS2011
9
M I C R O W AV E
On-Site Registration
Time
Saturday, 4 June
1400 – 1800
Sunday, 5 June
0700 – 1800
Monday, 6 June
0700 – 1800
Tuesday, 7 June
0700 – 1800
Wednesday, 8 June
0700 – 1800
Thursday, 9 June
0700 – 1600
Friday, 10 June
0700 – 0900
0
1
1
ON SITE REGISTRATION
On-Site registration for all Microwave Week events will be available in the Baltimore Convention
Center, Pratt Street Lobby. Registration hours are:
Day
2
W E E K
Registration Fees
On-site registration fees are as follows:
Press Registration
Credentialed press representatives are welcome to register without cost, receiving access to
technical sessions and exhibits. Digests are not included. The Press Room will available from
Sunday thru Thursday of Microwave Week.
$2295
Student, Retiree, Life Member
SuperPass
$945
All IMS Sessions
$565
$835
Single Day Registration
$285
$425
Student, Retiree, Life Member All IMS
Sessions
$95
All RFIC Sessions
$270
$400
RFIC Reception Only
$30
$50
All ARFTG Sessions
$265
$400
Student, Retiree, Life Member All ARFTG
Sessions
$165
Exhibition Only Pass
$30
$30
Guest Badge (requires technical conference registration)
$80
$120
IMS Electronic Proceedings
$85
$160
RFIC Digest
$85
$160
RFIC Electronic Proceedings
$85
$160
ARFTG Electronic Proceedings
$85
$160
ARFTG Conference Compendium CDROM 1982 – 2006
$75
$105
Awards Banquet (Wed. Night)
$75
$75
Crab Feast (Thurs. Night)
$65
$65
Full Day
$220
$330
Full Day Student/Retiree
$150
Half Day
$100
Half Day Student/Retiree
$75
Full Day Short Courses
$390
Full Day Short Courses Student/Retiree
$270
Full Day Short Course w/Lab
$455
Full Day Short Course w/Lab Student/
Retiree
$320
Half Day Short Course
$270
Half Day Short Course Student/Retiree
$190
All Workshop Electronic Proceedings
(Requires workshop registration)
$75
Exhibition Only
Guest Registration
Extra Proceedings and Digests
Refund Policy
Written requests received by 20 May 2011 will be honored. Refund requests postmarked after this
date and on-site refunds will be generated only if an event is cancelled. This policy applies to the
registration for the symposium sessions, workshops, digests, extra electronic proceedings, awards
banquet and boxed lunches. Please state the pre-registrant’s name and provide an email address
for the refund check. If registration was paid for by credit card, the refund will be made through
an account credit. An account number must be provided if the initial registration was completed
on-line. Address your requests to:
$1585
ARFTG Conference
ARFTG Registration
Late on-site registration will be available at the Baltimore Convention Center, Pratt Street Lobby
on Friday from 0700 to 0900. If at all possible, please pre-register earlier in the week to reduce the
on-site workload.
All IMS, RFIC, and ARFTG Sessions
and Electronic Proceedings, Awards
Banquet, Thursday Crab Feast, Full Day
(or 2 Half Day) Workshop Attendance
and All Workshop CD-ROM
RFIC Symposium
Guest Tour Registration
Registration for guest tours will be available in the Baltimore Convention Center, Pratt Street
Lobby. Information on advanced registration for Guest Tours is available at http://ims2011.mtt.
org.
Non-Member
IMS
Exhibit Only Registration
Exhibit only registration is available.
Member
SuperPass
Evening Events
Workshops
MTT-S Registration
Nannette Jordan
MP Associates
1721 Boxelder St., Ste. 107
Louisville, CO 80027
nannette@mpassociates.com
10
$150
$585
$680
$405
$115
2
0
1
1
M I C R O W AV E
W E E K
UNITED STATES VISA ADVISORY
The United States has updated its visa policy for increased security. As a result, it now takes longer to obtain a visa. Advance planning by travelers is essential to avoid
frustration and disappointment.
•
•
•
•
•
Review your visa status to find out if you need a U.S. visa or a visa renewal.
Plan to submit your visa application well in advance of your intended departure date.
Contact your nearest U.S. embassy or consulate for current time estimates and recommendations.
Visit the embassy or consular section website to find important information on how to schedule an interview appointment and pay fees. An interview is required as
a standard part of processing for most visa applicants.
Plan on having finger scans as part of the visa application process. Two index-finger scans are normally collected by the consular officer at the visa interview window.
However; in some countries, they may be collected prior to the actual visa interview.
Visa Waiver Program (VWP)
Citizens of the following countries can travel to the U.S. without a visa for tourism or business for 90 days or less under the Visa Waiver Program (VWP) if they meet other travel
requirements. As of 26 June 2005, all VWP travelers must have a machine-readable passport to enter the United States without a visa.
Andorra
France
Lithuania
Singapore
Australia
Germany
Luxembourg
Slovakia
Austria
Hungary
Malta
Slovenia
Belgium
Iceland
Monaco
South Korea
Brunei
Ireland
the Netherlands
Spain
Czech Republic
Italy
New Zealand
Sweden
Denmark
Japan
Norway
Switzerland
Estonia
Latvia
Portugal
United Kingdom
Finland
Liechtenstein
San Marino
Some citizens of Canada and Bermuda do not need a visa to visit the United States. Contact your nearest U.S. embassy or consulate for guidance. Travelers should be aware that
by requesting admission under the Visa Waiver Program, they are generally waiving their right to review or appeal a CBP (Customs and Border Protection) officer’s decision as
to their application for admission at the port of entry.
Effective 20 January 2010, the Department of Homeland Security is transitioning to enforced compliance of the Electronic System for Travel Authorization (ESTA) requirement
for VWP travelers. Therefore, VWP travelers who have not obtained approval through ESTA should expect to be denied boarding on any air carrier bound for the United States.
ESTA applications may be completed FREE online at the official DHS website, which is: https://esta.cbp.dhs.gov.
Passports
A passport with a validity date of at least six months beyond the applicant’s intended period of stay in the U.S. is required. If more than one person is included in the passport,
each person desiring a visa must make a separate application. Please check with the website, https:/www.cbp.gov, to confirm that your passport is compliant. Temporary
Passports will likely merit special scrutiny. To avoid complications, check with your local US consular offices, well ahead of your intended departure dates.
Visa Letters
A visa support letter can be provided for authors and registered attendees upon request. Please submit your requests for letters of support well in advance of your interview
dates to allow sufficient time for processing. Spouses requiring visa assistance must be registered for an IMS Guest Program Event. Check the IMS2011 website (www.ims2011.
mtt.org) for Guest Program details. For additional assistance, please contact Mishoun Mah at international@ims2011.org.
Disclaimer
This information is provided in good faith but travel regulations do change. The only authoritative sources of information are the U.S. Government websites at
www.unitedstatesvisas.gov and http://travel.state.gov/visa/visa_1750.html.
11
M I C R O W AV E
2
W E E K
0
1
1
ACCOMMODATIONS:
The IMS2011 has secured special rates for
Attendees at the official IMS2011 hotels in
Baltimore. The map below shows the location
and rates of these hotels.
For advanced hotel reservations, visit
http://ims2011.mtt.org for online reservations,
or submit the Attendee Housing Form by
fax or postal mail before 29 April 2011.
Number on Map
12
Hotel Name
Rate
6
Hilton Baltimore Convention Center Hotel
Co-headquarter
$230 single/double
$260 executive level
$155 gov’t
8
Hyatt Regency Baltimore
Co-headquarter
$255 single/double
$155 gov’t
9
Radisson Plaza Lord Baltimore Hotel
$176 single/double
$155 gov’t
12
Sheraton Inner Harbor Hotel
$225 single/double
$155 gov’t
1
Baltimore Marriott Inner Harbor Hotel at Camden Yards
$225 single/double
$155 gov’t
10
Renaissance Harborplace Hotel
$230 single/double
$155 gov’t
11
Sheraton Baltimore City Center Hotel
$185 single/double
$155 gov’t
7
Holiday Inn Inner Harbor
$159 single/double
$155 gov’t
2
Baltimore’s Tremont Plaza Hotel
$189 single/double
4
Courtyard by Marriott Inner Harbor East
$179 single/double
$155 gov’t
5
Days Inn Inner Harbor Hotel
$139 single/double
3
Peabody Court Hotel
$219 single/double
$155 gov’t
2
0
1
1
M I C R O W AV E
W E E K
All reservation requests must be received by 29 April 2011.
Changes to existing reservations may be made through the housing bureau until 29 April 2011. Listed convention rates are
available until 29 April 2011 based on availability.
Online:
http://www.ims2011.mtt.org
Fax:
1-732-465-6447
Mail:
IMS 2011 Housing Bureau
IEEE Meetings & Conference Management
445 Hoes Lane, Piscataway, NJ 08854
Instructions and Housing Bureau Policies:
1. Acknowledgements will be sent after each reservation booking, modification and/or cancellation. If you do not receive a confirmation via e-mail
within 24 hours after any transaction, contact the Housing Bureau by phone or e-mail. You will not receive a confirmation from the hotel.
2. All rates are per room, per night and are subject to 15% tax (subject to change).
3. Request room and bedding and please indicate special requests in the section provided on the form. Specific room types will be assigned at check-in.
Please be advised that requests are not guaranteed.
4. A credit card is needed to guarantee a room reservation. Credit cards must be valid through June 2011 to be used for deposits.
5. Changes, modifications and cancellations prior to 29 April 2011 must be made in writing through the Housing Bureau. Reservations guaranteed by a
credit card may be cancelled without penalty until 29 April 2011 after which a $15.00 fee will be charged for each cancellation.
6. Any hotel reservation changes or cancellations after 29 April 2011 must be made with the hotel directly.
7. You will receive your hotel confirmation number three weeks before the start of the 2011 IMS Conference.
Housing Reservation Information:
Full Name:___________________________________________________________________________________________________________________
E-mail Address:_______________________________________________________________________________________________________________
Company:___________________________________________________________________________________________________________________
Address:_____________________________________________________________________________________________________________________
City:__________________________________________________________State:_____________________________ Zip:__________________________
Country:_______________________________________________________Daytime Phone:_____________________ Fax:__________________________
Frequent Hotel Stay Number:���������������������������������������������������������������������������������������������������
Credit Card Type (Circle):
MasterCard
Visa
Amex
Discover
Cardholder Name (As it appears on card)___________________________________________________________________________________________
Cardholder Signature (REQUIRED)_________________________________________________________________________________________________
Card Number:_________________________________________________________ Exp. Date________________________________________________
Hotel names, locations and rates are on the facing page. Please list a minimum of three choices.
First Choice:__________________________________ Second Choice:_________________________________ Third Choice:________________________
First Choice Rate:______________________________ Second Choice Rate:_____________________________ Third Choice Rate:____________________
Arrival Date___________________________________________________________Departure Date___________________________________________
If hotel choice is not available, which is most important:
Rate:_____________ or Location____________ (Please select one)
Special Requests
Government Rate
King Bed
Two Beds
Wheelchair Accessible
Other Requests_______________________________________________________________________________________________________________
If more than one room is required, attach a list of occupants names and the above information for each additional room.
13
M I C R O W AV E
W E E K
2
0
1
1
WELCOME TO BALTIMORE, MD!
The International Microwave Symposium (IMS) is the largest of the many conferences sponsored by the IEEE Microwave Theory and Techniques Society. Microwave Week will
be held in Baltimore, Maryland in June 2011. The Microwave Week consists of three conferences in the field of microwave engineering: the IMS, the Radio Frequency Integrated
Circuits (RFIC) Symposium, and the Automatic RF Techniques Group (ARFTG) Conference. A three-day commercial exhibition is also part of the IMS.
A BRIEF HISTORY OF THE CITY:
Baltimore (colloquially ‘BALMER’) is the largest independent city in the United States and the largest city and cultural center of the U.S. state of Maryland. The city is located in central
Maryland along the tidal portion of the Patapsco River, an arm of the Chesapeake Bay. Baltimore is sometimes referred to as Baltimore City in order to distinguish it from surrounding
Baltimore County. Founded in 1729, Baltimore is the largest U.S. seaport in the Mid-Atlantic and is situated closer to major Midwestern markets than any other major seaport on the
East Coast. Baltimore’s Inner Harbor was once the second leading port of entry for immigrants to the United States and a major manufacturing center. The harbor is now home to
Harborplace, a shopping, entertainment, and tourist center, and the National Aquarium in Baltimore. According to Forbes, the city of Baltimore was rated 3rd best place to shop in
2010. After a decline in manufacturing, Baltimore shifted to a service-oriented economy. Johns Hopkins University and Johns Hopkins Hospital are now the city’s largest employers.
Baltimore’s current population is 620,961 and the Baltimore Metropolitan Area has approximately 2.7 million residents.
LOCATION & TRANSPORTATION:
Baltimore is located in central Maryland, approximately 56 km (35 miles) north of the nation’s capital Washington, DC and approximately 48 km (30 miles) north of the state capital
in Annapolis. In June, normal day time temperatures are in the low twenties (C) (high seventies (F)) and normal night temperatures are in the high-teens (C) (high sixties (F)).
Baltimore is easily reached from the local and nearby airports.
Thurgood Marshall Airport (BWI) is Baltimore’s international gateway. It is approximately 18 km (11 miles) from the Baltimore Convention Center. All major United States carriers,
Air Canada and British Airways fly into BWI.
Shuttle bus service is available at the airport. Advance reservations are not required. The shuttle bus offers door to door service for $13 US. The Baltimore Light Rail offers service
from BWI to Camden Yards Baseball Park for $1.60 US. Camden Yards is less than two blocks from the Baltimore Convention Center. Rental cars are available at the airport. Don’t
forget that parking will cost about $20.00 a day.
Reagan National Airport (DCA) in Washington, DC can also service Baltimore. It is approximately 56 km (35 miles) from the Baltimore Convention Center. All major United States
carriers and Air Canada fly into DCA. Transportation to Baltimore can be by AMTRAK and MARC trains from Union Station in the District of Columbia (Washington, DC). The DC METRO
subway system links DCA to Union Station. The MARC or AMTRAK train goes to Penn Station in Baltimore. It is a short taxi ride from Penn Station to the Baltimore Convention Center.
Additionally, Dulles Airport (IAD) in Virginia services the area. It is over 81 km (50 miles) from the Baltimore Convention Center. All major United States and international carriers
fly into IAD. Shuttle bus transportation is available.
Once you are in town, you will want an easy way to get around. The Water Taxi is a great way to explore Baltimore’s Inner Harbor. See www.thewatertaxi.com for route maps and
schedules. The Water Taxi charges $10 US ($5 US for children under 10) for unlimited transport for one day. The Maryland Transit Administration (MTA) will connect you by city bus to
everything Baltimore has to offer. See http://mta.maryland.gov for route maps, schedules, and fares.
14
2
0
1
1
I M S
T E C H N I C A L
P R O G R A M
WELCOME FROM TECHNICAL PROGRAM COMMITTEE CHAIR
I extend you a personal and warm welcome to the 2011 IEEE MTT-S International
Microwave Symposium (IMS2011), the largest and most prestigious IEEE
microwave conference in the world, bringing together international experts
to showcase the most advanced research, development and innovations in RF,
microwave, millimeter-wave and terahertz technologies. The core technical
program, which forms the heart and soul of the symposium, has expanded
more than ten-fold in more than half a century in terms of the number of
papers presented. In addition to technical sessions, both podium and poster,
several distinct components supplement the week’s program, which include:
Special Sessions, Focus Sessions, the Student Paper Competition, Panel/Rump
Sessions, Workshops, Short Courses, Applications Seminars (Micro Apps), and
several Student Design Competitions sponsored by various MTT-S Technical
Committees. We will showcase original RF, microwave and millimeter-wave
research, developments and applications that continue to benefit and enrich
the lives of people around the globe, as declared in our symposium theme:
Microwaves for the World, which celebrates the diversity within global MTT-S
Community.
The IMS2011 Technical Paper Review Committee (TPRC) has worked hard to create an innovative and exciting technical
program that will uphold the tradition of excellence set forth by the previous IMS symposia hosted in Baltimore in 1986
and 1998. We have enhanced the IMS2011 topic areas from 31 to 35, with division into four focus tracks: i) Microwave
Fields and Circuit Techniques; ii) Passive RF and Microwave Components; iii) Active RF components and Systems; and iv) RF
Microwave Systems and Applications. New topics include the technical areas of RFID Technologies, Industrial Applications
of High Power Microwaves, RF Nanotechnologies, and Emerging Technologies and Applications. The Technical Paper
Review Committee (TPRC) met in Glendale, Arizona and selected 444 papers out of 841 submitted, with 348 podium
presented papers and 96 Interactive Forum papers. In addition the program will be supplemented by 33 Workshops,
6 short courses, 4 panel sessions and 1 rump session and 7 student design competitions including a graduate student
challenge.
We have improved the scope of the IMS2011 to make it more inclusive and interactive and also to reflect a global technology
perspective. The technical program should appeal to novices, undergraduate and graduate students, practitioners as well as
researchers in the microwave and millimeter wave fields. IMS2011 will be the centerpiece of the Microwave Week which is comprised
of many other technical activities including the 2011 RFIC symposium and the ARFTG conference collocated with IMS2011 during
the week of 5 – 10 June 2011. We sincerely hope that your experience in Baltimore is a memorable one and is both personally
and professionally rewarding.
Welcome to IMS2011!
Ramesh K. Gupta
Chair IMS2011 Technical Program Committee
15
I M S
T E C H N I C A L
P R O G R A M
2
0
1
1
PLENARY PRESENTATION
Migration of WCDMA and 4G LTE Into Existing Cellular Bands
Monday, 6 June 2011
1740 – 1900
Ballroom III - IV, Baltimore Convention Center
Plenary Speaker: Professor J. David Rhodes CBE, FRS, FR Eng.
To optimize the introduction of either WCDMA or LTE into existing cellular
bands it is desirable to produce combiners for the new and existing base
stations to utilize the same antenna structure. If it is assumed that the output
of the base stations consist of diplexers separating their own transmit and
receive bands then the critical additional requirements for the combiner are:
1. To minimize the transmission loss over the transmit bands
2. To have sufficient isolation between the transmitters to minimize the IM
products generated in the receive bands by the transmitters
3. To minimize the loss in the receive bands or capture the noise figure and
intercept points with sufficient gain for the given base station
4. In the case of WCDMA to have an acceptable level of group delay
distortion of the transmit signal
1. For a given Q of resonators it is necessary to only have 15dB of attenuation for each transmit band over the other transmit
bands to minimize loss. To provide the full isolation requires higher degree filters with higher Q’s to achieve the same loss.
2. An isolation level of 30dB between the base stations can be readily achieved with a balanced filter and is sufficient to prevent
unacceptable levels of IM products
3. Over the receive bands either a balanced filter solution can be used in the same way as the transmit bands or a receive LNA
can be incorporated to feed both base stations
4. If the guard band between the base station frequencies is small then group delay equalization will be required in the transmit
filter for WCDMA signals
All of these issues will be addressed and design techniques will be presented which optimize the solution for both the WCDMA and
LTE problems.
The performance of several different combiners will be given which successfully achieve all of the objectives.
Biography of the speaker:
He has received the OBE and CBE from the Queen and also several Technology and Export Awards. From Leeds University he
received the BSc. Ph.D., D.Sc. and Honorary D.Eng Degrees and further Honorary Degrees from Bradford and Napier Universities.
He is a fellow of the IEEE, IET, RAE, The Royal Society and a Foreign Associate of the Academy of Engineering (USA).
He has been awarded numerous prizes including the Microwave Prize, the Browder J. Thompson Award, The Guillimin – Cauer Award
and the Microwave Career Award all from the IEEE together with the CASS Golden Jubilee Medal and the Third Millenium Medal; The
JJ Thompson Medal and the Faraday Medal from the IET; The Mountbatten Medal from the National Electronics Council; The Mullard
Award from the Royal Society and the premier distinction from the Royal Academy of Engineering of The Prince Philip Gold Medal. He
has also received the European Microwave Career Award and many business awards.
He founded Filtronic plc more than 30 years ago and grew it into an international microwave company with 10 operations on 4 continents
with peak sales of $0.5 B and a market capitalization of $2.5 B. He retired 4 years ago and has grown a new business within the private
company Isotek Electronics Ltd., where he has been the majority shareholder, in the area of microwave sub-systems and which has
recently been acquired by Filtronic plc. The remaining Isotek business, which develops and manufactures hyperbaric welding systems for
sea depths down to 4000m, continues to grow under his ownership. He is also an Emeritus Professor at Leeds University.
16
2
0
1
1
I M S
T E C H N I C A L
P R O G R A M
TUESDAY FOCUS, PANEL, AND SPECIAL SESSIONS
Tuesday
1200 – 1320
Room: 307-308
Panel Session: What Is the Limit of Multi-Radio Integration ... Or Rather, Is It
‘Disintegration’?
Panel Organizer:
R. Bogdan Staszewski, Delft University of Technology
Sponsor:RFIC/IMS
Panelists:
1. R. Bogdan Staszewski, Associate Professor, Delft University of Technology
2. Andre Hanke, Senior Principal RF System Engineer, Intel
3. Walid Ali-Ahmad, Technical Director, Mediatek
4. Keith Carter, WLAN Senior Manager, Broadcom
5. Fred Schindler, Director, RFMD
6. Thomas Kazior, Principal Engineering Fellow, Raytheon
Abstract: Only a decade ago, single-chip RF-SoC integration was universally thought to be
impossible or at least uneconomical. Nowadays, the pioneering days of single-chip radios are
largely over and the innovation efforts are applied to integrating multiple radio cores on the
same silicon die. This effort has already resulted in commercial offerings of multi-core wireless
connectivity and cellular radios from a few companies, but has revealed some interesting RF
co-existence issues: Integrating additional radio cores appears to exponentially increase the
overall design and productization complexity, more so than in the case of isolated radios.
Why is that and what can be done to address that? What is the ultimate limit of multi-core
radio integration?
As new wireless standards continue to emerge, it becomes necessary to support additional
frequency bands and wider modulation bandwidths, while maintaining backwards
compatibility with the existing standards. This puts enormous pressure on the complexity
and quality of RF front-end components (PA’s, T/R switches, band-pass filters and duplexers)
to the point that they predominate in both cost and occupied space, which might suggest the
reversal of the integration trend. Can the ever multiplying antenna-interfacing components
still be integrated? Do they follow a different integration path from that of RF-SoCs? Does the
optimal system partitioning suggest the RF-SoC ‘disintegration’?
The panel of distinguished experts, representing three camps (RF-SoC, RF module and
compound semiconductor integration), will deliberate this interesting topic with the
audience’s participation.
1420 – 1540
Room: 316-317
TU3C: Special Session- Historical Perspectives on Microwave Development in the
Baltimore-Washington Area
Chair:
1600 – 1700
Room: 314-315
TU4B: Focus Session - High Field Magnetic Resonance Imaging Systems
Chair:
Anand Gopinath, University of Minnesota
Co-Chair:
Abbas Omar, University of Magdeburg
Moderator:
Oren Eliezer, Xtendwave
Tuesday
Tuesday
Steven Stitzer, Northrop Grumman ES
Co-Chair:
Roger Kaul, Retired
Abstract: The Baltimore-Washington area is home to a large number of government
agencies, private companies, and universities that are heavily involved in developing
microwave systems and components. This Special Session will present the stories and
accomplishments of individuals and the evolution of several of these entities, presented by
speakers with first-hand knowledge. It will provide a venue for capturing some of these stories
from those who actually worked through the times. It will also give conference attendees a
sense of the extent to which the microwave industry has become significant in the local area.
Abstract: Magnetic Resonance Imaging (MRI) is a high resolution non-ionizing (safe)
tomographic technique that is extensively used for medical diagnostics and other related
applications. It is based on creating two distinct energy states for the quantum spin of
hydrogen nuclei in water molecules using a very strong static magnetic field. Better image
resolution and higher tissue contrast can be achieved by increasing the strength of the static
magnetic field. This Focus Session will provide a forum for discussions of some aspects of
high field MRI systems including switching instrumentation, use of antennas to create the
RF magnetic fields in the human body, the signal processing of MRI signals for images, and
surgical techniques with MRI monitoring.
Tuesday 2000 – 2200 Room: Key Ballroom 5, Hilton Hotel
Rump Session - Microwave R&D Funding Policy & Trends
Chair:
Dr. Robert Trew, National Science Foundation
Sponsor: IMS
Panelists:
1. Christopher Snowden, Chief Executive, University of Surrey, UK
2. Kesh Narayanan, Division Director, Division of Industrial Innovation and Partnerships (IIP), NSF
3. Robert Trew, Division Director, Electrical, Communications, and Cyber-Systems Division, NSF
4. Jim Chang, Chief Scientist, Army Research Laboratory
5. Gerald Borsuk, Associate Director of Research, Systems Directorate, Naval
Research Laboratory.
6. Sanjay Raman, Program Manager, DARPA/MTO
Abstract: Government funding for microwave and wireless research and development
(R&D) is currently provided by a variety of government organizations that span missions
such as defense, communications infrastructure, metrology and space. Some government
agencies provide research funding in support for basic science and engineering in all fields,
and a subset of that funding supports technical areas of interest to the microwave community.
In the United States, the National Science Foundation (NSF) and the Department of Defense
(DoD) have provided most of the research support for microwave and wireless R&D activities.
The NSF explores basic scientific topics while the DoD explores potential military applications.
Over the past decade, there has been a significant increase in microwave R&D proposal
submissions, yet research budgets have not experienced commensurate increases. The
inevitable result is that success rates for obtaining research funding have noticeably
deteriorated. This can be attributed to the fact that each agency has prioritized and focused
their available research resources in areas and on topics consistent with agency’s internal
strategic planning. As a result, new initiatives have been defined and funding opportunities
been determined with limited input from the research community. For example, there is
currently much interest and evolving opportunities in “innovation” and “translational” types
of research because these funding opportunities are directed towards economic development
and job creation. In addition, energy and related research topics are experiencing rapid
expansion, and there is also significant interest in millimeter-wave and terahertz technology.
In this panel, representatives from diverse government agencies and institutes will present
a global perspective on emerging research thrusts and new initiatives, as well as related
funding opportunities and challenges in the future. Emphasis will be on programs of interest to
the IMS community.
17
I M S
T E C H N I C A L
TUESDAY
P R O G R A M
2
TECHNICAL SESSIONS
0
1
1
0800 – 0940
TU1A: Integrated and Tunable Filter Technologies
Tuesday 7 June 2011
Time: 0800 – 0940 Room: 310
Chair: H. Clark Bell, HF PLUS
Co-Chair: Sanghoon Shin, Naval Research Laboratory
This session reports the latest advances on the design of miniaturized and reconfigurable filters. In particular, new
developments on tunable bandstop filters and integrated filtering devices developed in different technologies
(LTCC, MMIC and multi-layer processes) will be presented. Advanced techniques for practical automatic tuning of
microwave resonators will also be described..
TU1B: RF and Microwaves in Medicine: Monitoring and Imaging
Tuesday 7 June 2011
Time: 0800 – 0940
Room: 314 -315
Chair: J.-C. Chiao, University of Texas at Arlington
Co-Chair: Arye Rosen, Drexel University
This session focuses on present developments of Doppler radar to monitor vital signs, and novel designs and tuning
of high-field magnetic resonance imaging (MRI) coils for noninvasive diagnosis.
0800 – 0820
TU1A-1
Miniaturized UWB Bandpass Filters Integrated with Notch Filters Using a Si-based Integrated Passive Device Technology
Z. Wu, Y. Shim, M. Rais-Zadeh, University of Michigan, Ann Arbor, United States
Miniaturized UWB filters with low loss, steep rejection, and spurious-free response on a silicon substrate are reported. A UWB filter is demonstrated with less than 1 dB insertion loss, more than 30 dB stop-band rejection,
occupying 2.9 mm × 2.4 mm of die area. A UWB filter with a notch filter is presented having a footprint of 4.6 mm
× 2.9 mm. The notch filter centered at 5.285 GHz provides a maximum attenuation of 23 dB with 10 dB bandwidth
of 7% and shows excellent temperature stability.
TU1B-1
0800 – 0820
Two-Dimensional Noncontact Vital Sign Detection using Doppler Radar Array Approach
X. Yu1, C. Li2, J. Lin1, 1University of Florida, Gainesville, United States, 2Texas Tech University, Lubbock, United States
A Doppler radar array is developed for two-dimensional noncontact vital sign detection. Using the radar array,
cancellation of the noise from planar random walk of human body is achieved. The radar array also strengthens
the detecting sensitivity on the respiration and heartbeat and its principle is discussed. A DC offset compensation
algorithm is introduced to free the body movement cancellation from disturbance of unwanted DC offset. Experiments were performed with a human subject in the lab.
TU1A-2
0820 – 0840
Compact Monolithic Low-Pass Filters Using a Bridged-Tee Architecture
R. C. Frye1, Y. T. Lee2, H. T. Kim2, K. Liu3, 1RF Design Consulting, LLC, Piscataway, United States, 2STATS ChipPAC, Ltd.,
Ichon-Si, Republic of Korea, 3STATS ChipPAC, Inc., Tempe, United States
Pass-band insertion loss in monolithic low-pass filters is mainly limited by inductor Q. Most circuits used in filters
of this type are of low order with a minimum number of coils. This paper describes using a bridged-tee architecture
to implement a 5th order low pass filter with two attenuation poles at finite frequencies. It is especially well-suited
for use in harmonic suppression filters with low pass-band insertion loss. The filter is compared experimentally
with a conventional ladder network. The bridged-tee circuit shows equivalent performance but only requires about
60% of the area.
TU1B-2
0820 – 0840
Modeling of Human Torso Time-Space Characteristics for Respiratory Effective RCS Measurements
with Doppler Radar
J. E. Kiriazi, O. Boric-Lubecke, V. M. Lubecke, University of Hawaii at Manoa, Honolulu, United States
This paper demonstrates a theoretical model for the torso time-space characteristics by formulating the baseband
signal of a CW Doppler radar system as a superposition of two components, one from the thorax and the other from
the abdomen. Measurements of a female subject in a supine position are used for verification. By reproducing the
measured baseband signal, the respiration parameters could be identified for the subject under test.
0840 – 0850
TU1A-3
Multilayer Interdigital Ultra-Wideband Filter
Z. Hao, J. Hong, Heriot-Watt University, Edinburgh, United Kingdom
A miniature ultra wideband (UWB) bandpass filter that uses a multilayer interdigital structure is presented. The
quarter-wavelength resonators including microstrip line resonator and coplanar waveguide (CPW) resonator are
vertically stacked to achieve a strong coupling for the designed UWB filter. By adopting multilayer configurations, a
miniature footprint is achieved. A seven-pole UWB bandpass filter is designed and fabricated using the multilayer
liquid crystal polymer (LCP) technology.
TU1B-3
0840 – 0900
Combination of Travelling Wave Approach and Microstrip Transceiver Coil Arrays for MRI at 7T
I. A. Elabyad, T. Herrmann, J. Bernarding, A. Omar, University of Magdeburg, Magdeburg, Germany
To homogenize the B1+ field and decrease SAR for MRI at 7T, more degrees of freedom are needed and different
excitation approaches are required. Microstrip array is a promising approach for excitation and reception at 7T.
Travelling wave is another approach for excitation based on propagating modes excited from an antenna. A combination of the two excitation approaches is presented. Results indicate that such a combination can increase the
B1+ field homogeneity and decrease SAR at 7T.
TU1A-4
0850 – 0900
High-Q Tunable Bandstop Filters with Adaptable Bandwidth and Pole Allocation
E. J. Naglich, J. Lee, D. Peroulis, W. J. Chappell, Purdue University, West Lafayette, United States
Tunable bandstop filters are demonstrated with wide tuning ranges, tunable bandwidth, and a variable number of
dynamically allocated poles. The number of poles can be dynamically applied at different frequencies to maximize
isolation for a given interference scenario. These filters are made with evanescent-mode cavities which use a new
loading post geometry that results in greater external coupling for a given physical coupling aperture size.
TU1B-4
0900 – 0920
An Investigation of Alternating Impedance Microstrip Transceiver Coil Arrays for MRI at 7T
I. A. Elabyad, A. Omar, University of Magdeburg, Magdeburg, Germany
The magnetic field generated by a conventional MSR is inhomogeneous along the resonator axis. It is strong at
the center and weak at the terminating ends. To homogenize the B1+ field, it is required to modify the traditional
MSR. Recently, an approach based on alternating impedance MSR has been presented. Another configuration of
alternating impedance MSR is proposed. Results indicate that, the alternating impedance MSR with circular elements is the best coil in terms of B1+ field homogeneity.
TU1A-5
0900 – 0920
Microwave Resonator and Filter Tuning by an Ultrasonic Piezo Motor in a Phased Locked Loop
D. Robben, S. F. Peik, Bremen University of Applied Sciences, Bremen, Germany
This paper presents a technique for tuning resonators and filters using an ultrasonic piezo linear motor, a feedback
oscillator, and a PLL-circuit. The concept allows an exact reconfiguration of filters even when installed and operating inside the communication system. The potential applications for tunable RF-filters and oscillators are discussed.
An exemplary system is developed and tested for a resonator center frequency of 1.1 GHz with a tuning range of
approximately 100 MHz.
TU1B-5
0920 – 0940
Auto-tuning of the RF Transmission Line Coil for High-Field Magnetic Resonance Imaging (MRI)
Systems
S. Sohn, J. Vaughan, A. Gopinath, University of Minnesota, Minneapolis, United States
RF coil with microstip transmission line have been used for high-fields magnetic resonance imaging (MRI). These
coil elements have narrow bandwidth due to its high quality factors (Qs). Although high signal-to-noise ratio (SNR)
of RF coils is obtained from this property it has a critical drawback, loading (body) effect. In this study, an adaptive
impedance matching technique for 7 Tesla MRI systems is proposed to avoid mismatch of the RF loaded coils at the
Larmor frequency (297MHz).
TU1A-6
0920 – 0940
A Resistor-Loaded Microstrip Line Resonator with a Punched Hole Structure for Ultra-Wide-Stopband
Bandpass Filters
H. Uchida, R. Komaru, M. Hangai, M. Nakayama, Y. Hirano, Mitsubishi Electric Corporation, Kamakura, Japan
A novel microstrip line resonator is proposed for ultra-wide-stopband microwave bandpass filters. It consists of a
stepped-impedance resonator (SIR) with thin-film resistors for absorbing higher-order resonance power. A fabricated 2-stage microstrip bandpass filter at fo = 2.0 GHz has realized a spurious response level -29 dB up to 25
fo, which seems to be one of the best wide-stopband performance among ever-reported ones in the category of
microwave microstrip line filters.
Technical Track Key:
18
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
TUESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
TU1C: RFID Technologies and Applications
Tuesday 7 June 2011
Time: 0800 – 0940
Room: 316-317
Chair: Manos M. Tentzeris, Georgia Tech
Co-Chair: George Duh, BAE Systems
This session deals with State of the Art developments of RFID technologies and related application. Specifically, it
will introduce a new RFID chipless tag based on multiple phase shifters and a CNT-based RFID gas sensor. In addition, it will discuss a wearable battery-free RFID tag with human energy scavenger and a flexible EM-solar power
scavenger. Last, but not least, the session will include papers on the application of nonlinear transmission lines to
RFIDs and experimental verification of piggyback modulation for RFID collision alleviation.
P R O G R A M
0800 – 0940
TU1G: Novel Semiconductor Devices and ICs
Tuesday 7 June 2011
Time: 0800 – 0940
Room: 327-329
Chair: Ho. C. Huang, AMCOM Communications, Inc.
Co-Chair: Amin Ezzeddine, AMCOM Communications, Inc.
This session presents innovative device concepts and state-of-the-art MMIC developments. The papers include
techniques to improve linearity; to achieve high output power at high frequency, and to improve reliability. And
the papers also include descriptions of high OIP3 Op-amp and a state-of –the-art MMIC chip set.
TU1C-1
0800 – 0820
RFID Chipless Tag Based on Multiple Phase Shifters
A. Vena, E. Perret, S. Tedjini, Grenoble-Inp Laboratoire de Conception et d’Intégration des Systèmes (LCIS), Valence,
France
The RFID chipless tag presented in this article uses a new technique for data encoding. It is based on changing the
phase of multiple resonators that behave as phase shifter. This technique brings a much higher reliability than
for the actual absence/presence of resonance encoding way. The design proposed is based on 5 reflective strip
resonators having resonant frequency starting from 2.5 GHz to 6.5 GHz. A capacity of 10 bits is reached within a
reduced size of 20 x 40 mm².
TU1G-1
0800 – 0820
Improvements in the Instantaneous-Bandwidth Capability of RF Power Transistors Using In-Package
High-k Capacitors.
H. H. Ladhani1, J. K. Jones1, G. Bouisse2, 1Freescale Semiconductor Inc., Tempe, United States, 2Freescale Semiconductor Inc., Toulouse, France
RF power transistors, by design, have an upper limit to the input-signal bandwidth that can be amplified without
incurring excessive distortion.In this paper, we demonstrate a technique to improve the ‘video-bandwidth’ (VBW)
capability of RF Power transistors using high-k capacitors. The improvement is more than 2 times a standard device.
Also, digital predistortion performance is demonstrated with excellent results for narrow band as well as wideband
signals (50MHz).
TU1C-2
0820 – 0830
Reflective Nonlinear Transmission Lines for Single-Antenna Non-Self-Jamming RFID
F. Yu, Y. Ma, E. C. Kan, Cornell University, Ithaca, United States
We present a harmonic radio frequency identification (RFID) transponder based on reflective nonlinear transmission lines (NLTLs), where the tag size is significantly reduced with single antenna configuration. To show the feasibility and design procedure, two open-ended NLTLs are fabricated in IBM 0.13 μm CMOS process. Both of the 0.35
mm by 0.95 mm open-ended NLTLs show around -11 dBm reflected second harmonic by 0 dBm small signal input.
Distance of wireless transmission is characterized by single dualband/broadband antenna connection.
TU1G-2
0820 – 0840
UHiFET – A New High-Frequency High-Voltage Device
A. K. Ezzeddine, H. C. Huang, J. L. Singer, AMCOM Communications, Gaithersburg, United States
The HiFET (High-Impedance, High-Voltage FET) configuration is used to connect several semiconductor FETs both
DC and RF in series. The HiFET power and efficiency degrade at high microwave frequencies (i.e. 3GHz) due to
gate leakage currents. In this article, we propose a new configuration, the Universal HiFET (UHiFET), which uses
an additional compensation to improve the power, efficiency and linearity of the original HiFET configuration at
microwave and millimeter wave frequencies.
TU1C-3
0830 – 0850
Wearable Battery-Free Active Paper-Printed RFID Tag with Human-Energy Scavenger
G. Orecchini1, L. Yang2, M. Tentzeris3, L. Roselli1, 1University of Perugia, Perugia, Italy, 2Texas Instruments, Dallas,
United States, 3Georgia Institute of Technology, Atlanta, United States
The embodiment of wearable battery-free, active, paper-printed RFID tags provided with energy scavenging capabilities is presented. In this research, extraction of electrical energy from human body movement is obtained by a
piezoelectric energy scavenger powering up an active RFID tag module implemented on flexible organic substrate.
The application dealt with hereafter testifies to a promising approach that is useful for a vast variety of applications
where wearable electronics is required.
TU1G-3
0840 – 0900
Rugged HBT Class-C Power Amplifiers with Base-Emitter Clamping
X. Luo, S. Halder, J. C. Hwang, Lehigh University, Bethlehem, United States
The ruggedness of HBT Class-C power amplifiers was improved by adding an anti-parallel diode to the amplifier
input to limit the negative swing of the base-emitter voltage. The improved amplifier could withstand 3:1 instead
of 2:1 mismatch in CW operation, and 2.5:1 instead of 1.5:1 mismatch in pulse operation. The present approach is
simple to implement and has negligible impact on overall amplifier output power, gain or efficiency.
TU1C-4
0850 – 0910
Flexible Hybrid Solar/EM Energy Harvester for Autonomous Sensors
A. Georgiadis, A. Collado, S. Via, C. Meneses, CTTC, Castelldefels, Spain
A flexible electromagnetic (EM) / solar energy harvester is proposed that finds application in low power energy
autonomous wireless sensor networks and RFID-enabled sensors. A low cost, compact and conformal harvester is
implemented on a flexible polyester (PET) substrate combining a rectenna for EM harvesting and a flexible amorphous silicon solar cell for solar energy harvesting.
TU1G-4
0900 – 0920
A 3-Stage Shunt-Feedback Op-Amp having 19.2 dB Gain, 54.1 dBm OIP3 (2GHz), and 252 OIP3/Pdc
Ratio
Z. Griffith1, M. Urteaga1, R. Pierson1, P. Rowell1, M. Rodwell2, B. Brar1, 1Teledyne Scientific Company, Thousand Oaks,
United States, 2University of California, Santa Barbara, Santa Barbara, United States
We report here a 3-stage shunt-feedback configured operational amplifier using simple-Miller compensation
demonstrating 19.2 dB mid-band S21 gain, Pdc = 1020 mW. At 2GHz operation the amplifier shows 54.1 dBm
OIP3 and a record high OIP3/Pdc ratio equal to 252. The loop bandwidth is 30 GHz, 5.5 dB NF, and is unconditionally
stable from DC-8.5 GHz. The slope-3 breakpoint is at Pout=16.6 mW/tone. The OIP3/Pdc ratio increases represent
a 1.7x improvement in the state of the art.
TU1C-5
0910 – 0930
CNT-based RFID Passive Gas Sensor
C. Occhiuzzi1, A. Rida2, G. Marrocco1, M. M. Tentzeris2, 1University of Roma Tor Vergata, Rome, Italy, 2Georgia
Institute of Technology, Atlanta, United States
Single-wall carbon nanotube (CNT) is examined for the design of a passive and maintenance-free wireless RFID
sensor. CNT buckypaper is characterized from a dielectric and a sensitivity point of view, using an indirect way,
by using antenna measurement and simulations in a controlled medium. A CNT-based prototype RFID tag is then
described as the featured maintenance free sensor and experimentally verified for its applicability and sensitivity
towards NH3.
TU1G-5
0920 – 0940
MMIC Chipset for Wideband Multifunction T/R Module
A. Bettidi, D. Carosi, F. Corsaro, L. Marescialli, A. Nanni, P. Romanini, SELEX Sistemi Integrati S.p.A., Rome, Italy
This paper reports on the design, fabrication, and test of key MMIC components developed for wideband Transmit/
Receive Module applications in the framework of a bilateral research program at system level between Italy and
Sweden MoDs (M-AESA) with technological studies performed in order to guarantee the feasibility of main hardware critical aspects. The chip set comprises a multi-function phase-amplitude control chip (“core-chip”) and two
wideband HPA MMICs.
TU1C-6
0930 – 0940
Vector Backscattered Signal Analysis of Piggyback Modulation for Passive UHF RFID Tags
H. Chen, A. Bhadkamkar, T. Chou, D. van der Weide, University of Wisconsin – Madison, Madison, United States
We describe a “piggyback modulation” technique to integrate sensing functions with existing standard passive
UHF RFID tags through electromagnetic coupling. The vector analysis and measurement for backscattered signals
with piggyback modulation is presented. Measuring both amplitude and phase of the backscattered wave makes
advanced demodulations possible. Quasi-4-QAM demodulation is proposed to reduce the interferences between
two independent data streams and improve the bit error rate.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
19
I M S
T E C H N I C A L
TUESDAY
P R O G R A M
TECHNICAL SESSIONS
TU2A: Compact Reconfigurable and Tunable Filters
Tuesday 7 June 2011
Time: 1000 – 1140
Room: 310
Chair: Roberto Gomez-Garcia, University of Alcala
Co-Chair: Doug Jachowski, Naval Research Laboratory
A variety of tunable and reconfigurable filters will be discussed. PIN-diode, Varactor-diode, magnetostatic and
piezoelectric actuation methods are applied to realize tunable/reconfigurable lowpass, bandpass, and bandstop
filters using compact technologies including suspended substrate, evanescent mode cavity and LTCC.
2
0
1
1
1000 – 1140
TU2B: RF and Microwaves in Medicine: Medical Sensors and Devices
Tuesday 7 June 2011
Time: 1000 – 1140
Room: 314-315
Chair: Natalia Nikolova, McMaster University
Co-Chair: Anand Gopinath, University of Minnesota
This session focuses on recent advances in wireless technology utilized for medical implants and wearable devices
in clinical applications for diagnosis and prognosis.
TU2A-1
1000 – 1020
Frequency-Agile Field-Programmable Filter Array (FPFA) with Multiple Functionalities
J. Lee, E. J. Naglich, H. H. Sigmarsson, D. Peroulis, W. J. Chappell, Purdue University, West Lafayette, United States
This paper demonstrates the concept of a field-programmable filter array which can be configured in the field after
fabrication. The proposed array is composed of tunable resonators and can have multiple functionalities by rerouting signals from input ports to output ports. Signal rerouting can be achieved by controlling the inter-resonator
coupling with a wide tuning ratio of coupling coefficients.
TU2B-1
1000 – 1020
Digital Microwave System for Monitoring Intracranial Pressure in Hydrocephalic and Traumatic Brain
Injury Patients
X. Meng1, M. R. Tofighi2, A. Rosen1, 1Drexel University, Philadelphia, United States, 2Pennsylvania State University,
Middletown, United States
The monitoring of acute and later stages of changes of intracranial pressure (ICP) is important in studies of the
effects of hydrocephalus and traumatic brain injury. A digital prototype for wireless ICP measurement based on
Texas Instrument’s CC2500 2.4 GHz transceiver and MSP430 ultra-low-power microcontroller has been designed,
investigated, and tested. In-vitro evaluations under different circumstances are described to demonstrate the repeatability, stability, and reliability of the device.
TU2A-2
1020 – 1040
Tunable Suspended Substrate Stripline Filters with Constant Bandwidth
1
N. Zahirovic , S. Fouladi1, R. R. Mansour1, M. Yu2, 1University of Waterloo, Waterloo, Canada, 2COM DEV, Cambridge,
Canada
A suspended substrate tunable filter is presented. Meandered input and inter-resonator coupling structures are
introduced to reduce bandwidth variation across the tuning range. Simulated and experimental results are presented to verify the validity of the proposed concept. A design and analysis technique for tunable filters based on
the coupling matrix is presented to allow extension of the presented method to higher order filters.
TU2B-2
1020 – 1040
A Miniature Power-Efficient Bidirectional Telemetric Platform for in-vivo Acquisition of Electrophysiological Signals
A. Farajidavar1, P. G. McCorkle1, T. W. Wiggins1, S. M. Rao1, C. E. Hagains1, Y. B. Peng1, J. L. Seifert1, M. I. Romero1, G.
O’Grady2, L. K. Cheng2, S. Sparagana3,M. R. Delgado3, S. Tang5, T. Abell5, J. Chiao1, 1University of Texas at Arlington,
Arlington, United States, 2The University of Auckland, Auckland, New Zealand, 3Texas Scottish Rite Hospital for
Children in Dallas, Dallas, United States, 4University of Texas Southwestern Medical Center, Dallas, United States,
5
University of Mississippi Medical Center, Jackson, United States
The need for in vivo wireless acquisition of biological signals is emerging in various medical fields. Electrophysiological applications including recording gastric electrical activity (GEA), electrocorticography (ECoG), and transcranical motor evoked potentials (TcMEP) require physically miniaturized devices with low power consumption
and capability of implantation. We have developed three telemetric systems for GEA, ECoG and TcMEP applications
based on a common transceiver platform.
TU2A-3
1040 – 1100
A High-Q Magnetostatically-Tunable All-silicon Evanescent Cavity Resonator
M. S. Arif, W. Irshad, X. Liu, W. J. Chappell, D. Peroulis, Purdue University, West Lafayette, United States
We present, for the first time, an all-silicon magnetostatically-tunable evanescent-mode cavity resonator that
is capable of analog tuning from 9.5 to 17 GHz (1:1.8) while maintaining a high unloaded quality factor (Q) of
650−950 (70−80% of the simulated results). The resonator is fabricated using silicon micromachining and is tuned
by moving a gold-covered single-crystal silicon diaphragm over the capacitive post using magnetostatic actuation.
TU2B-3
1040 – 1100
Ultra-thin Tag Fabrication and Sensing Technique using Third Harmonic for Implantable Wireless
Sensors
T. Lin1, D. Ha1, W. N. de Vries2, B. Kim1, A. L. Chlebowski1, S. W. John2, P. P. Irazoqui3, W. J. Chappell1, 1Purdue
University, West Lafayette, United States, 2Jackson Laboratory, Bar Harbor, United States
This paper presents the fabrication of an ultra-thin tag for identification and sensing applications in extremely
small implantable regions. Particularly, we are demonstrating the capability to create a telemetry system which is
implantable in a mouse eye. This system will eventually be able to monitor intraocular pressure (IOP). Telemetry
with a small tag implanted inside of the eye is established for the first time using the 3rd order harmonic response
from the implanted device.
TU2A-4
1100 – 1120
A Novel Integrated Dual-mode RF Front-End Module for Wi-Fi and Bluetooth Applications
D. H. Kim2, D. Kim1, J. C. Kim1, J. C. Park1, C. D. Park2, 1System Packaging Research Center, Seongnam-si, Republic of
Korea, 2Electronics Engineering, Yongin-si, Republic of Korea
In this paper, a novel integrated dual-mode rf front-end module (FEM) for Wi-Fi and Bluetooth (BT) applications is
realized by LTCC technology. The proposed dual-mode rf FEM consists of a novel selectable filter that has 4 ports,
which are fully embedded in an LTCC substrate, with PIN diodes at three of the ports (and not the antenna port) for
connecting or disconnecting to the ground. This selectable filter structure can be applied to either Tx or Rx paths or
Wi-Fi or BT paths by simply shorting to ground, two of the appropriate ports.
TU2B-4
1100 – 1120
A Wireless Strain Sensor System for Bladder Volume Monitoring
1
H. Cao , S. K. Thakar1, T. Fu2, M. Sheth1, M. L. Oseng1, V. Landge1, Y. Seo1, J. Chiao1, 1The University of Texas at
Arlington, Arlington, United States, 2Centennial High School, Frisco, United States
A wireless IDC strain sensor system has been designed to monitor the bladder volume in patients who suffer from
urinary incontinence. A proof-of-concept passive telemetry platform was developed to employ the sensor in vivo
and a commercial wireless module was utilized for networking and data recording. The sensor was calibrated with
a cantilever beam and the entire system was tested with a bladder phantom model.
TU2A-5
1120 – 1130
Bandwidth Reconfigurable Microwave Bandpass Filter
J. Chen1, H. Tsai1, N. Chen2, 1National Central University, Jhong-li, Taiwan, 2Yuan Ze University, Jhong-li, Taiwan
A design approach for a microwave bandpass filter (BPF) with bandwidth reconfigurability is presented. The proposed design employs varactor-tuned microwave resonators for realization of the bandwidth-reconfigurable BPF.
Compared to previously reported design methodology, the presented approach aims for the design of the reconfigurable BPF with relatively wide tuning range as well as low in-band insertion loss.
TU2B-5
1120 – 1140
Untethered Helmet Mounted functional Near Infrared (fNIR) Biomedical Imaging?
K. Manseta1, A. M. Khwaja1, E. Sultan1, P. Daruwalla1, K. Pourrezaei1, L. Najafizadeh2, A. Gandjbakhche2, A. Daryoush1, 1Drexel University, Philadelphia, United States, 2National Institutes of Health, Bethesda, United States
Broadband (30-1000 MHz) frequency-modulated spectroscopic measurements of brain tissue using near IR wavelengths are used for accurate extraction of absorption and scattering coefficients of cortex, CSF, and skull. Design
of a helmet mounted untethered fNIR system is presented which provides wireless communication between the
monitoring and helmet mounted fNIR imaging sensors using a high speed wireless ISM band transmitter and UWB
communication standards.
TU2A-6
1130 – 1140
Reconfigurable Lowpass Filter Based on Signal Interference Techniques
M. Sánchez-Soriano1, J. Hong2, 1Miguel Hernández University, Elche, Spain, 2Heriot-Watt University, Edinburgh,
United Kingdom
This paper presents a novel reconfigurable filtering block based on signal interference techniques. The topology
consists of the connection in parallel of three transmission lines of different lengths. The lines can be switched
on/off through the use of pin diodes. In this way, the signal paths and their interferences are controlled providing
different states (different cutoff frequencies). As a demonstration, a reconfigurable microstrip lowpass filter with
three states is designed and fabricated.
Technical Track Key:
20
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
TUESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1000 – 1140
TU2F: New Concepts in Microwave Radiation Structures
Tuesday 7 June 2011
Time: 1000 – 1140
Room: 324-326
Chair: Ingo Wolff, IMST GmbH
Co-Chair: Tapan Sarkar, Syracuse University
In this session new concepts and new technologies for microwave radiating structures are presented. An X-band
Luneburg lens which is fabricated by a rapid prototyping technology shows a radiation with promising gain and
nearly no side lobes. In a second paper a polarization-controllable zero-order resonator with reactance loads at
both ends is demonstrated that allows to continuously rotate the co-polarization direction of the linearly polarized
radiation. Multiple dielectric superstrates are used to enhance the bandwidth of cavity resonance antennas and
finally it is shown how equivalent circuits of different dipole arrays can be derived and used to design the antennas.
TU2G: Silicon CMOS RF and Microwave Circuits
Tuesday 7 June 2011
Time: 1000 – 1140
Room: 327-329
Chair: J. Buckwalter, UC San Diego
Co-Chair: D. Kissinger, University of Erlangen-Nuremberg
The cost and yield of silicon CMOS has transformed microwave integrated circuits. This session highlights advancements in the design and performance of key transceiver blocks. The first paper describes a stacked SOI FET structure
to a voltage-controlled attenuator with high linearity. The second paper presents a wide tuning range phase shifter
for 24 GHz. The third paper proposes a compact, distributed amplifier approach that offers high-gain and highbandwidth in a 180 nm process. The fourth paper realizes a broadband amplifier technique for high-dynamic range
RF power detectors. The final paper in this session demonstrates an FM scheme for low-power data transceivers.
TU2F-1
1000 – 1020
An X-Band Luneburg Lens Antenna Fabricated by Rapid Prototyping Technology
M. Liang, W. Ng, K. Chang, M. Gehm, H. Xin, University of Arizona, Tucson, United States
A 3D Luneburg Lens was designed, fabricated and characterized. Refractive index control is based on the mixing
ratio of air voids and polymer. The lens is designed to work at X-band. Effective permittivity is calculated by Ansoft
HFSS. Fabrication is implemented by a polymer jetting rapid prototyping method. In the measurement, the lens
antenna is fed by an X-band waveguide. Half-power beamwidth of the antenna is 14 degree, no obvious sidelobe
is found in the measurement above the noise floor.
TU2G-1
1000 – 1020
A Broadband High-Dynamic-Range Voltage-Controlled Attenuator MMIC with an IIP3 > +47 dBm
Over Entire 30-dB Analog Control Range
M. Granger-Jones, B. Nelson, E. Franzwa, RFMD, San Jose, United States
In this paper we introduce a novel design technique for broadband high dynamic range absorptive voltage controlled attenuators (VCA) on SOI CMOS. The VCA design is based on the classical passive FET ‘Pi’ and ‘Tee’ attenuator
structures but uses stacked FET techniques to dramatically improve the signal handling capability. The VCA has 30
dB attenuation range over a frequency range from DC to 5GHz and achieves an IIP3 of greater than +47 dBm over
the entire analog control range.
TU2F-2
1020 – 1040
Polarization-Controllable Zeroth-Order-Resonator Antennas with Reactance Loads at Both Ends
T. Ueda1, G. Haida1, Y. Kado1, T. Itoh2, 1Kyoto Institute of Technology, Kyoto, Japan, 2University of California at Los
Angeles, Los Angeles, United States
Polarization-controllable zeroth-order-resonator antenna with reactance loads at both ends is proposed and
demonstrated. The impedance of one load is the complex conjugate of another. When the center microstrip line is
perpendicular to the stubs, the polarization of radiation fields from the center microstrip line is orthogonal to that
from the stubs. By changing the terminating condition, we can continuously rotate the co-polarization direction
of the linearly-polarized radiation.
TU2G-2
1020 – 1040
An 87 pico-Second CMOS Variable Delay Line Incorporating the Parallel-Resonator Loads in K-Band
P. Ko, C. Wang, H. Wu, C. C. Tzuang, National Taiwan University, Taipei, Taiwan
This paper reports a variable delay line (VDL) with a tuning range of the group delay (GD) higher than 87 ps in
K-band. Our theoretical derivations show that the reflection load in the parallel form can make the reflection-type
VDL achieve wider tuning range of the GD than those of the reflection load in the series form. A practical prototype
of the VDL is fabricated by using CMOS 0.13 um 1P8M processes. The measured tuning range of the GD confirms the
predictions given by the design equations.
TU2F-3
1040 – 1100
Bandwidth Enhancement of the Cavity Resonance Antenna Using Multiple Dielectric Superstrate
Layers
M. A. Al-Tarifi, D. E. Anagnostou, A. K. Amert, K. W. Whites, South Dakota School of Mines and Technology, Rapid
City, United States
The ray-tracing method is applied to study the radiation of a cavity resonance antenna (CRA) with both single and
multiple dielectric superstrate layers. To enhance the bandwidth of the CRA and maintain its large broadside directivity, a multilayered superstrate of an increasing reflection phase with frequency is presented. When compared
to the single-layered CRA, a bandwidth enhancement of more than 100% is attained using a multilayered CRA of
three superstrate slabs.
TU2G-3
1040 – 1100
A Novel Distributed Amplifier with High Gain, Low Noise and High Output Power in 0.18-μm CMOS
Technology
P. Chen, J. Kao, P. Huang, H. Wang, National Taiwan University, Taipei, Taiwan
A new distributed amplifier (DA) topology is proposed, and it makes wideband amplifier design give considerations
to the gain, noise figure and output power simultaneously. From measurements, the DA has a small signal gain of
20.5 dB and 3-dB bandwidth of 35 GHz. The maximum OP1dB is 8.6 dBm and the noise figure is between 6.8 and
8 dB at frequency lower than 18 GHz. The circuit has the highest ratio of gain-bandwidth product to chip area and
the highest figure of merit (FOM) in 0.18-μm CMOS.
TU2F-4
1100 – 1120
Equivalent Circuits for Conventional and Extraordinary Reflection in Dipole Arrays
M. Garcia-Vigueras1, F. Mesa2, R. Rodriguez-Berral2, F. Medina2, J. Gómez-Tornero1, 1Technical University of Cartagena, Cartagena, Spain, 2University of Seville, Sevilla, Spain
This paper presents an equivalent transmission-line circuit that accounts for the scattering of a TE polarized plane
wave that impinges obliquely on a periodic array of metallic patches sandwiched in a dielectric slab. The proposed
approach gives a very efficient reduced-order model of the electromagnetic phenomenon and able to reproduce all
the fine quantitative details of the reflection/transmission spectrum. Also, our model provides a simple theoretical
framework to predict and/or understand all the qualitative behavior of the scattering when both oblique incidence
and dielectric slabs are considered.
TU2G-4
1100 – 1120
A Linear-in-dB Radio-Frequency Power Detector
J. Wu1, K. Hsu1, W. Lai1, C. Tu2, S. Chen1, C. Tang1, Y. Juang2, 1National Chung Cheng University, ChiaYi, Taiwan,
2
National Applied Research Laboratories, Hsinchu, Taiwan
An integrated RF power detector by 0.18 um CMOS process with the output voltage linearly proportional to the
input power in dB is presented. The dynamic range of this design is 40 dB with the log-error being within +/- 1 dB.
Whatever the point of view is on dynamic range or logarithmic error, the working range is from DC to 8 GHz. The
power consumption is less than 70 mW. It behaves with wider applicable frequency range and takes lower power
consumption as compared to others.
TU2F-5
1120 – 1140
Equivalent Circuits of Dipole and Periodic Metal Rod Array
B. Li, Q. Zhu, University of Science and Technology of China, Hefei, China
First, a method to obtain the equivalent circuit of a dipole consisting of frequency independent lumped elements
is presented. The input impedance of the equivalent circuit is in accordance with that of simulation of the dipole.
Then, based on the equivalent circuit of a single dipole, an equivalent circuit of one row periodic metal rod array
is developed. The passbands of periodic metal rod arrays calculated by the present method agree well with the
simulation results and measurements.
TU2G-5
1120 – 1140
A 2.5mW 2Mb/s Fully Integrated Impulse-FM-UWB Transceiver in 0.18um CMOS
M. Anis1, M. Ortmanns1, N. Wehn2, 1University of Ulm, Ulm, Germany, 2TU Kaiserslautern, Kaiserslautern, Germany
This paper presents a fully integrated self-quenched super-regenerative impulse-FM-UWB transceiver architecture. The design architecture consists of two different LC self-quenched oscillators tuned at 3.5GHz and 4.5GHz,
implemented in 0.18um CMOS technology. The self quenched filter consumes 1mW and 1.5mW from a 1.5V supply
in transmit and receive modes, respectively. UWB signal to in-band interferer ratio of -36 dB is achieved for a data
rate of 2Mbit/s and a sensitivity of -95dBm.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
21
I M S
T E C H N I C A L
P R O G R A M
2
0
1
1
STUDENT PAPER COMPETITION
Tuesday, 7 June 2011
1200 – 1400
Exhibit Hall A, Baltimore Convention Center
The student paper competition had become one of the largest technical events at IMS. The purpose of the competition is to determine and
acknowledge the best student work of the year in the MTT-S. This year we received 205 student papers approximately 24% of all submitted
papers. Each student paper went through the regular review process by the Technical Program Committee. Based on the review scores, only
25 student papers were selected as finalists. The finalists are given complimentary registration for IMS2011, complimentary tickets to the MTT-S
awards banquet and travel subsidies. The student finalists will present their papers at their appropriate regular sessions and make special
presentations at the Interactive Forum on Tuesday from 1200-1400. Six top papers and four honorable mentions will be selected to receive cash
awards, certificates, and gifts. These will be announced and presented during the Students Awards Luncheon on Thursday between 1200 and
1400 in the Hilton Holiday 6 Ballroom. We are very pleased to announce the finalists for the IMS2011 Student Paper Competition:
Miniaturized UWB Bandpass Filters Integrated with Notch Filters Using a Si-based
Integrated Passive Device Technology
Z. Wu, Y. Shim, M. Rais-Zadeh, University of Michigan, Ann Arbor, United States
Modeling a SCR-Based Protection Structure for RF-ESD Co-Design Simulations
A. Romanescu2, P. Ferrari2, J. Arnould2, P. Fonteneau1, C. Legrand1, 1ST Microelectronics, Crolles,
France, 2Institute of Microelectronics Electromagnetism and Photonics, Grenoble, France
Wearable Battery-Free Active Paper Printed RFID Tag with Human-Energy
Scavenger
G. Orecchini1, L. Yang2, M. Tentzeris3, L. Roselli1, 1University of Perugia, Perugia, Italy, 2Texas
Instruments, Dallas, United States, 3Georgia Institute of Technology, Atlanta, United States
Maximizing DC Power in Energy Harvesting Circuits Using Multi-Sine Excitation
A. S. Boaventura, N. B. Carvalho, Instituto de Telecomunicações - Universidade de Aveiro,
Aveiro, Portugal
Rugged HBT Class-C Power Amplifiers with Base-Emitter Clamping
X. Luo, S. Halder, J. C. Hwang, Lehigh University, Bethlehem, United States
Modeling of Microwave Ovens with Perforated Metal Walls
E. M. Kiley, V. V. Yakovlev, Worcester Polytechnic Institute, Worcester, United States
Digital Microwave System for Monitoring Intracranial Pressure in Hydrocephalic
and Traumatic Brain Injury Patients
X. Meng1, M. R. Tofighi2, A. Rosen1, 1Drexel University, Philadelphia, United States, 2Pennsylvania State University, Middletown, United States
Polynomial Chaos-Based Tolerance Analysis of Microwave Planar Guiding Structures
P. Manfredi, F. G. Canavero, Politecnico di Torino, Torino, Italy
A Novel Highly Efficient Broadband Continuous Class-F RFPA Delivering 74% Average Efficiency for an Octave Bandwidth
V. Carrubba, J. Lees, J. Benedikt, P. J. Tasker, S. C. Cripps, Cardiff University, Cardiff, United
Kingdom
A Compact Very Low Phase-Noise Voltage-Controlled-Oscillator at X-Band
M. Nick, A. Mortazawi, University of Michigan, Ann Arbor, United States
A 7.45 GHz BAW Filter on a 3D Low Cost Organic Package
C. Patterson1, S. Bhattacharya1, J. Zepess3, S. Leiphart3, W. Trueheart2, J. Ajoian2, Z. Coffman2,
J. Papapolymerou1, 1Georgia Tech, Atlanta, United States, 2Lockheed Martin , Moorestown,
United States, 3TriQuint Semiconductor, Bend, United States
A Spatial Filter-Enabled High-Resolution Subgridding Scheme for Stable FDTD
Modeling of Multiscale Geometries
C. Chang, C. D. Sarris, University of Toronto, Toronto, Canada
A Novel MEMS-Based Tunable Dielectric Resonator Filter
F. Huang, S. Fouladi, R. Mansour, University of Waterloo, Waterloo, Canada
Linearity Improvement in RF Power Amplifier System using Integrated Auxiliary
Envelope Tracking System
Z. Yusoff, J. Lees, J. Benedikt, P. J. Tasker, S. C. Cripps, Cardiff University, Cardiff, United
Kingdom
Novel Slow-Wave Coupled Lines with Coupling Enhancement
H. Ma, H. Yang, University of Illinois at Chicago, Chicago, United States
Prototype Network Synthesis for Wideband Microwave Filters
W. Meng1, K. A. Zaki1, A. E. Atia2, 1University of Maryland, College Park, United States, 2Orbital
Sciences Corp., Dulles, United States
A Novel Compact Dual-Band Half-Mode Substrate Integrated Waveguide Bandpass Filter
V. Sekar, K. Entesari, Texas A&M University, College Station, United States
RF Characterization of Epitaxial Graphene Nano-ribbon Field Effect Transistors
N. Meng, J. Ferrer Fernandez, O. Lancry, E. Pichonat, D. Vignaud, G. Dambrine, H. Happy,
Institute of Electronics, Microelectronics and Nanotechnology, Villeneuve d’ASCQ cedex, France
Thin-Film Multilayer Parylene Interposer for High-Density 3D Packaging with
Embedded Capacitors
J. Maeng, B. Kim, D. Ha, W. J. Chappell, Purdue University, West Lafayette, United States
A Novel Approach for Dielectric Constant Measurement Using Microwave Oscillators
V. Sekar, W. J. Torke, S. Palermo, K. Entesari, Texas A&M University, College Station, United
States
Multi-antenna Near Field Cancellation Duplexing for Concurrent Transmit and
Receive
T. Snow1, C. Fulton2, W. J. Chappell2, 1NSWC Crane, Crane, United States, 2Purdue University,
West Lafayette, United States
A System-on-Package Analog Synchronous QPSK Demodulator for Ultra-High Rate
60 GHz Wireless Communications
C. A. Ulusoy, H. Schumacher, Ulm University, Ulm, Germany
Broadband Delay Compensation Phase Shifter Using Slotted Substrate Integrated
Waveguide Structure
Z. Zhang, K. Wu, Y. Wei, Ecole Polytechnique Montreal, Montreal, Canada
Bandwidth-Optimal Single-Tunable-Element Matching Network for Antenna Tuning in Mobile Handsets
W. N. Allen, D. Peroulis, Purdue University, West Lafayette, United States
Digital Predistortion Using Quadrature Delta-Sigma Modulation with Fast Adaptation for WLAN Power Amplifiers
S. Chung, J. L. Dawson, Massachusetts Institute of Technology, Cambridge, United States
22
2
0
1
1
I M S
T E C H N I C A L
P R O G R A M
GRADUATE STUDENT CHALLENGE
The MTT society will be hosting a new student competition called the Graduate Student Challenge during IMS2011. All PhD and MS students who are registered at IMS are eligible. All of
the efforts related to this competition will take place only during the IMS2011. Our aim is encourage graduate students to form teams, conduct brainstorming meetings, develop new ideas
and propose applications based on the papers presented at IMS2011. On Thursday afternoon, 9 June, student teams will present their ideas in front of judges. The winning team will be
announced on Thursday afternoon during the IMS closing ceremonies. The winning team will be presented a $2000.00 cash award. Please see ims2011.mtt.org for more details.
A summary of the completion schedule is given below:
7 June 2011, 0700: A mandatory introductory meeting will be held in the Calloway Room of the Hilton. At this meeting rules will be reviewed, teaming will take place, and themes
for the competition will be disclosed.
9 June 2011, 1200: Each team must turn in the final version of their presentation at the Poe Room of the Hilton.
9 June 2011, 1420: Each team will give their presentation in front of the judges in the Blake Room of the Hilton.
Tuesday 7 June 2011
STUDENT DESIGN COMPETITIONS
1200 – 1400
Hall A, Baltimore Convention Center
IMS2011 in Baltimore will see a significant expansion in opportunities for students to actively participate in the conference through the design competitions. These competitions
provide students with a chance to exercise their design skills and see how they measure up against other students from around the world. This year the competitions will take the form
of seven hardware design competitions. One or more of the Microwave Theory and Techniques Society’s technical committees sponsors and judges each competition. In addition,
multiple companies get involved with supporting the competitions by providing test equipment as well as components and software for the student teams. The specific rules and
associated deadlines for each competition can be found at the IMS2011 website.
SDC1: Power Amplifier
SDC4: Packaged Triplexer
The competitors are required to design, construct, and
measure a high efficiency power amplifier. The contest
rules require the PA to operate at a frequency greater than
1 GHz but less than 20 GHz, and produce an output power
of greater than 5 watts, but less than 100 watts into a 50
Ohm load with a input drive requirement less than +25
dBm. The winner will be judged on the design, which
demonstrates the highest power added efficiency (PAE)
weighted for frequency of operation.
The objective of the competition is to demonstrate
effective filter design techniques where the constraints of
a package impact the design. In this case the design is a
triplexer (or channelizing filter). The triplexer will divide the
common port input spectrum into three channels: 3.3-3.8
GHz, 3.8-4.35 GHz and 4.35-5.0 GHz that will be output to
ports 1, 2, and 3 respectively. The crossover points between
channels (3.80 and 4.35 GHz) occur at the -3dB points of
each channel. The designs will be judged using pre-defined
criteria that include filter performance, and robustness.
Sponsor: MTT-5
SDC2: ASH Receiver
Sponsor: MTT-2/16
The competitors are required to design, construct, and
measure an Amplifier-Sequenced Hybrid Receiver (ASH
receiver) at a frequency of 433.92 MHz. The output stage
has to drive a 1-kOhm load and the capacitance of an
attached cable. Only two amplifier stages and two SAW
filters are permitted. The winner will be judged on the
design that demonstrates the lowest power consumption
while providing the highest sensitivity.
SDC3: Low-Noise Amplifier
Sponsor: MTT-6/14/16/20
Competitors are required to design, construct, measure, and
demonstrate a high linearity (as measured by the output
third-order intercept point value), low-noise amplifier at 2.45
GHz. This project will introduce students to linear, low-noise
wireless technology. The winner will be judged considering a
LNA figure of merit determined from the noise figure, and the
output third order intercept point compared to the dc power.
Sponsor: MTT-12/8
SDC5: Wideband Balun
Sponsor: MTT-17
The competitors are required to design, construct and test a
passive wideband balun at a frequency band starting from
a minimum of 1 MHz to as high as possible. The minimum
impedance ratio is 2:1 with a maximum VSWR of 2:1 and
a maximum insertion loss of 1 dB. The common mode
rejection ratio must be greater than 25 dB and the phase
imbalance less than 2.5 degrees. The winner will be the
design that can meet all the specifications from at least 1
MHz or below to the highest possible frequency.
SDC6: Software Defined Radio
Sponsor: MTT-9
The competitors are required to submit a softwaredefined radio implementation on a breadboard or
a development board that contains one or more
programmable devices, front-end analog RF circuitry,
an analog to digital converter, and some form of analog
output which can be either on the board or within an
accompanying computer that includes SDR software
producing an analog output from that computer. The
main criteria for judging will be innovation and also
success of reaching the goals set by the student team.
SDC7: Optical-to-Microwave
Converter
Sponsor: MTT-3
The objective of the contest is to demonstrate new
and effective photodiode power combining and power
extraction techniques for stringent microwave photonics
applications. Following submission of a proposal describing
the potential solution, up to five contestants will be
selected and provided six pre-defined photodiodes. The
ensuing designs will be judged using pre-defined criteria
that include converter efficiency, maximum power output,
and linearity.
MTT-S Student
Awards Luncheon
Thursday 9 June 2011 1200 – 1400
Holiday 6, Hilton
All students are invited to attend this luncheon that
recognizes recipients of the MTT-S Undergraduate
Scholarships, MTT-S Graduate Fellowships, IMS2011
Student Volunteers, IMS2011 Student Paper Awards, and
the winners of the IMS2011 Student Design Competitions.
23
I M S
T E C H N I C A L
TUESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1420 – 1540
TU3A: Microwave Ferrite Devices and Materials
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 310
Chair: Thomas Lingel, Anaren, Inc.
Co-Chair: Steven N. Stitzer, Northrop Grumman ES
This session covers new developments in the implementation of circulators, isolators and filters. The designs make
use of conventional ferrites as well as composite, hexaferrite, multiferroic, and micron- and nano-scale materials.
Material fabrication, modeling and measurement techniques are considered along with the device designs.
TU3B: Measurement Techniques for Biological Tissues and Subjects
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 314-315
Chair: Mohammad-Reza Tofighi, Pennsylvania State University
Co-Chair: J-.C. Chiao, University of Texas at Arlington
This session covers a range of novel measurement techniques for characterization of biological subjects, and interfacing tissues with high frequency or short pulse signals.
TU3A-1
1420 – 1440
Low-Profile Broadband Core-Isolator for Multi-band Module
T. Wada, T. Hasegawa, M. Koshino, T. Okada, Murata Manufacturing Co., Ltd, Yasu-shi, Japan
A novel broadband Core-Isolator for modules of multi-standard and multi-band mobile handsets has been developed successfully. A new circuit configuration for extending the isolation frequency band is proposed. A 1.5 x 0.9 x
0.4 mm3 Core-Isolator with two electrodes of asymmetrical structure has been fabricated, which is mounted on a
module substrate with resonant elements. It has an insertion loss of 0.5dB and an isolation of 10dB at 824-915MHz
which is twice wider than conventional one.
TU3B-1
1420 – 1440
Image Quality Enhancement in the Microwave Raster Scanning Method
A. Khalatpour, R. K. Amineh, H. Xu, Y. Baskharoun, N. K. Nikolova, McMaster University, Hamilton, Canada
We propose signal processing algorithms to improve the quality of the images obtained with microwave raster
scanning measurements. These algorithms include: (1) blind deconvolution and (2) microwave holography. The
first algorithm removes the integration effect of the antenna aperture using complex-valued blind deconvolution. The second algorithm implements near-field microwave holographic imaging applied to the data already
processed by the first algorithm.
TU3A-2
1440 – 1450
Coplanar Circulator Made from Composite Magnetic Material
T. Boyajian1, D. Vincent1, S. Neveu2, M. Le Berre3, J. Rousseau1, 1LT2C Laboratory, Saint Etienne, France, 2PECSA
Laboratory, Paris, France, 3INL Laboratory, Villeurbanne, France
This paper deals with the operation of a Y-junction coplanar circulator. It operates at high frequencies ( 40 GHz).
Barium hexaferrite particles within a host matrix form the integrated magnetic material in this device. The structure
of this 3-port microwave device and its different characteristics are presented. A three dimensional finite element
method was used to calculate the S-parameters and to evaluate numerically the performance of the circulator.
TU3B-2
1440 – 1500
Sensor Array Based on Split Ring Resonators for Analysis of Organic Tissues
M. Puentes, C. Weiß, M. Schüßler, R. Jakoby, Technische Universität Darmstadt, Darmstadt, Germany
A sensor array concept has been developed using microstrip-line-excited split-ring resonators (SRRs). With this
structure it is possible to spatially resolve the dielectric properties of a Material Under Test (MUT). The SRRs are
designed to have different resonant frequencies and are decoupled from each other to indicate the dielectric properties of the MUT and its location within the array. Several prototype sensors have been realized and tested to
prove the concept.
TU3A-3
1450 – 1510
Dual H- and E-field Tunable Multiferroic Bandpass Filters with Yttrium Iron Garnet Film
G. Yang1, J. Lou2, J. Wu2, M. Liu2, G. Wen1, Y. Jin1, N. X. Sun2, 1Fudan University, Shanghai, China, 2Northeastern
University, Boston, United States
A new type of dual magnetically and electrically tunable bandpass filter with a YIG film and with a YIG/PZN-PT
multiferroic heterostructure have been designed, fabricated and characterized. The resonant frequency of the BPF
can be tuned via a bias magnetic field, and a large tunability of the operation frequency of 190 ~ 840 MHz with
relatively small bias fields of 50 ~ 250 Oe. A clear E-field induced frequency shift of 200 MHz or ~10% was demonstrated on the multiferroics based BPF.
TU3B-3
1500 – 1520
Coplanar Waveguide with Defected Ground Structure for Nanosecond Subcellular Electroporation
C. Palego1, S. Halder1, J. C. Hwang1, C. Merla2, M. Liberti2, F. Apollonio2, A. Paffi2, 1Lehigh University, Bethlehem,
United States, 2La Italian Inter-University Centre for the Study of Electromagnetic Fields and BioSystems , Rome, Italy
A compact test structure and setup for ns-electroporation of biological cells is described. A CPW with defected
ground assures impedance match with low dispersion or parasitic. The defected ground forms a microchamber
for quick cell manipulation. The measured results along with EM analysis show that a 1-ns 0.1-V transmembrane
potential can be induced. Although this is below the threshold for electroporation, it can be increased by using
pulses with 1-ns and 10-, or 10-ns and 3-times higher amplitude.
TU3A-4
1510 – 1530
Ferromagnetic Resonance in Millimeter Waves: Micro- and Nanoferrites
K. A. Korolev, M. N. Afsar, Tufts University, Medford, United States
Complex magnetic permeability and dielectric permittivity of micro- and nano-sized powdered barium and strontium ferrites have been studied in a broadband millimeter wave frequency range for the first time. Transmittance
measurements have been performed using a free space quasi-optical millimeter wave spectrometer, equipped
with a set of high power backward wave oscillators. Tunable millimeter wave absorber, based on micro- and nanosized powdered ferrite materials is presented.
TU3B-4
1520 – 1540
W-band Millimeter Wave Exposure System for Studying Non-thermal Effects on Skeletal Muscle
Contraction
J. Yoon1, S. Luongo3, R. Wiese2, P. Mastin3, L. Sadovnik3, G. L. Craviso2, I. Chatterjee1, 1University of Nevada, Reno,
Reno, United States, 2University of Nevada, Reno, Reno, United States, 3Sierra Nevada Corporation, Sparks, United
States
A novel W-band (75-110 GHz) exposure system was designed, characterized and tested to be used for identifying
millimeter wave (mmW) exposure parameters (frequency, modulation schemes and electric field magnitude) that
could accelerate recovery from fatigue in skeletal muscle. The system allows electrical stimulation of muscle and
measurement of contractile force during mmW exposure. Design and characterization of the exposure system were
performed using the Finite-difference Time-Domain method and experimental measurements.
TU3A-5
1530 – 1540
Ultra Wideband Permeability in Micron and Nanometer Scale Two and Three Phase Ferrite, Fe and
Magnetite Composites
R. L. Moore, Georgia Tech Research Institute, Atlanta, United States
Magnetic susceptibility data, applicable to filters, isolators, EMI materials and antenna substrates, are presented
for dielectric composites containing a wide range of volume fractions of different magnetic particulates, as well as
fully dense ferrites. Properties were measured from 0.001 to 18 GHz and were fit to Lorentzian forms. The data fits
form the basis for comparison of magnetic Maxwell Garnett and Bruggeman effective media theory predictions of
susceptibility and volumetric scaling of resonant and relaxation frequencies.
Technical Track Key:
24
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
TUESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
TU3C: Historical Perspectives on Microwave Development in the Baltimore-Washington Area
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 316-317
Chair: Steven Stitzer, Northrop Grumman ES
Co-Chair: Roger Kaul, Retired
The Baltimore-Washington area is home to a large number of government agencies, private companies, and universities that are heavily involved in developing microwave systems and components. This Special Session will
present the stories and accomplishments of individuals and the evolution of several of these entities, presented
by speakers with first-hand knowledge. It will provide a venue for capturing some of these stories from those
who actually worked through the times. It will also give conference attendees a sense of the extent to which the
microwave industry has become significant in the local area.
P R O G R A M
1420 – 1540
TU3D: Advances in RF MEMS Switches
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 318-320
Chair: Jack Ebel, US Air Force Research Laboratory
Co-Chair: Christiano Palego, Lehigh University
This session presents the latest advances in RF MEMS switch miniaturization, power handling capability, and our
understanding of dielectric charging behavior.
TU3C-1
1420 – 1430
The Flying Bedspring: Restoring the US Navy’s XAF Radar
M. A. Simons, National Electronics Museum, Linthicum, United States
The XAF was developed by NRL. Operating at 200 mc (1.5 m) at a power of 15 kW, it featured a 17 ft square ‘bedspring’ antenna. Installed on the USS New York in 1938, the XAF’s performance and reliability exceeded expectations detecting aircraft to 100 nautical miles (nm) and ships to 15 nm. It was placed in production by the RCA. In
2008 it was loaned to the National Electronics Museum. The paper outlines the history of the XAF and the ongoing
efforts to restore it.
TU3D-1
1420 – 1440
A High Power (>5 W) Temperature Stable RF MEMS Metal-Contact Switch with Orthogonal Anchors
and Force-Enhancing Stoppers
C. D. Patel, G. M. Rebeiz, University of California, San Diego, La Jolla, United States
This paper presents a temperature stable metal-contact RF MEMS switch capable of handling 5 W of RF power. The
device achieves 0.7-1.5 mN of contact force for actuation voltages of 80-90V and a restoring force of 0.63 mN. Furthermore, the device is insensitive to stress effects and temperature. Temperature measurements show excellent
thermal stability and pull-in voltage shift of only 4 V from 25-125 Celsius. The switch was tested under prolonged
(~24 hrs) high-power conditions and showed excellent reliability.
TU3C-2
1430 – 1440
The Radiation Lab of Johns Hopkins University and Memories of Don King
M. Cohn, Retired, Boca Raton, United States
The Johns Hopkins University Radiation Laboratory was located in Baltimore, Maryland. Much of the early research
at the Rad. Lab. was on proximity fuzes for surface based anti-aircraft artillery, evolving from World War II R&D. The
Director was Dr. Donald D. King. Dr. King made many significant contributions to microwave technology. He held
many important positions in both the MTT-S and the IEEE culminating in being the President-Elect of the IEEE.
TU3D-2
1440 – 1500
Miniature RF MEMS Metal-Contact Switches for DC-20 GHz Applications
R. Stefanini2, M. Chatras2, P. Blondy2, G. M. Rebeiz1, 1University of California, San Diego, La Jolla, United States,
2
XLIM, Limoges, France
This paper presents the design and measurement of a miniature RF MEMS metal-contact switch. Measured Sparameters on a single switch show an up-state capacitance of 5 fF and a 13-14 Ω contact resistance for a Au-Ru
contact under an actuation voltage of 55 V. Then, 10 miniature RF MEMS switches have been placed in parallel and
result in an up-state capacitance of 30 fF and a switch resistance of 1.4 Ω. The switch is robust to stress effects which
increases its yield on large wafers.
TU3C-3
1440 – 1500
Radar Proximity Fuzing and the Cold War Paradigm
M. A. Kolodny, Army Research Laboratory, Adelphi, United States
This paper is an overview of the history of radar proximity fuze design with emphasis on fuzing designs for nuclear
missiles & how the Cold War paradigm drove the requirements. Also covered is a discussion on the Cold War “chess
game” driving the escalation of electronic counter measures, electronic counter-counter measures, etc. The focus
is on design approaches used in the technically challenging era of the 1950s and early 1960s before the invention
of integrated circuits & processors.
TU3D-3
1500 – 1520
RF MEMS Miniature-Switched Capacitors with Pull-Down and Pull-Up Electrodes for High Power
Applications
H. Sedaghat-Pisheh, R. Mahameed, G. Rebeiz, University of California, San Diego, La Jolla, United States
This paper presents miniature RF MEMS switched capacitors with pull-down and pull-up electrodes for increased
capacitance ratio and power handling. A 2x2 switch array has three operation states: up-state, down-state and
up-up state with capacitances of 115, 370, and 64 fF, respectively. The pull-up electrode increases the capacitance
ratio from 3.2 to 5.8 and also solves the problem of RF self actuation and the 2x2 switch was tested up to 4 W at 5
GHz with no change in the measured S-parameters.
TU3C-4
1500 – 1520
Environmental Effects on Airborne Radar Performance
W. A. Skillman, Westinghouse, Baltimore, United States
Several environmental effects were revealed in the flight testing of Westinghouse airborne radars for the BOMARC
IM99-B missile and the AWACS E-3 Sentry. The radar antenna is covered by a radome which may introduce distortions and reflections of the radar energy. The aircraft structure may cause distortions and reflections of the radar
beam. Atmospheric diffraction may cause fluctuation of the target signal. Forward scatter from the Earth may
cause a mirror image of the desired target.
TU3D-4
1520 – 1540
Acceleration of Dielectric Charging/Discharging by RF Power in Microelectromechanical Capacitive
Switches
D. Molinero1, C. Palego1, S. Halder1, X. Luo1, A. Hallden-Abberton1, J. C. Hwang1, C. L. Goldsmith2, 1Lehigh University, Bethlehem, United States, 2MEMtronics Corporation, Plano, United States
RF power, when approaching the handling capacity of microelectromechanical capacitive switches, was found to
accelerate both the charging and discharging of the dielectric in the switches. The amount of acceleration appeared
to be greater than what could be explained by self biasing and self heating. Since the long-term reliability of the
switches is limited by dielectric charging, the RF power-handling capacity of the switches may have to be derated
to ensure long-term reliability.
TU3C-5
1520 – 1540
From Minitrack to NAVSTAR: The Early Development of the Global Positioning System, 1955-1975.
L. B. Slater, Naval Research Laboratory, Washington, United States
The paper focuses on the work of the Naval Research Laboratory on systems that contributed to the later Global
Positioning System. NRL’s contributions include the Minitrack system for space surveillance, the development of
high-precision timing systems for use in space, the Timation navigation satellite program, and the first two Navigation Technology Satellites. When NAVSTAR-GPS emerged in 1973, much of the GPS concept had been developed
and a number of GPS technologies had been tested.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
25
I M S
T E C H N I C A L
TUESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1420 – 1540
TU3F: Analysis and Design of Novel Structures
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 324-326
Chair: Jan Machac, Czech Technical University in Prague
Co-Chair: Tapan Sarkar, Syracuse University
The session is composed of papers devoted to introducing and analyzing novel structures for microwave
and millimeter-wave technology. These include a six-port waveguide junction with improved performance,
a waveguide bolometric detector for high-precision measurements, and a novel element for use in a volumetric meta-material. A new high-frequency analysis of superconductor structures is also presented using
impedance boundary conditions.
TU3E: Nonlinear Circuit and System Simulation
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 321-323
Chair: Christopher P. Silva, The Aerospace Corporation
Co-Chair: Kevin Gard, Analog Devices, Inc.
This session presents the most recent advances in circuit and system simulation, from algorithms to models.
TU3E-1
1420 – 1440
Accelerated Simulation of Complex Waveforms in Nonlinear Amplifiers with Memory
G. Stantchev1, D. Chernin1, T. Antonsen, Jr.2, B. Levush3, 1Science Applications International (SAIC), Washington ,
United States, 2University of Maryland, College Park, United States, 3US Naval Research Laboratory, Washington,
United States
We present a framework for efficient, physics-based computer simulation of complex time-dependent waveforms
(i.e. wide-band, with large number of frequency components) in nonlinear amplifiers with memory. It is built
upon CHRISTINE, a well established pseudo-spectral, multi-frequency, large-signal code, and relies on an adaptive
algorithm for signal splitting and splicing in the time domain.
TU3F-1
1420 – 1440
Designing a Symmetrical Six-Port Waveguide Junction
M. Sabahialshoara, S. P. Yeo, National University of Singapore, Singapore, Singapore
Other researchers have found it difficult to design a symmetrical six-port waveguide junction for operation over the
entire WR90 bandwidth. A novel design has been proposed in the present paper by incorporating a combination
of features (viz. over-sized cavity, metallic diaphragms, dielectric sleeve and central post with ridges). Simulations
and measurements have confirmed that the resulting prototype is able to meet the design specifications over 50%
of the WR90 bandwidth (9.7 GHz to 11.8 GHz)
TU3E-2
1440 – 1500
Multi-Core Accelerated Harmonic Balance Method for Multi-Tone Full Chip RFIC Simulation
J. Meng, Q. Zhu, Y. Pang, X. Lai, X. Zhang, Y. Zhu, Cadence Design Systems, San Jose, United States
In this paper, we present a harmonic-balance technique, with great multi-tone (MT) scalability, for multiple-core
computers. We implemented the proposed method, tested it on real rf circuits, and show that the method gives
great MT performance on 4-core and 8-core computers.
TU3F-2
1440 – 1500
Analytical Solutions for the Design and Evaluation of Absorber-Coupled Waveguide Bolometer
Detectors
A. M. Datesman1, J. McMahon2, L. Bleem3, R. M. Weikle4, V. Yefremenko1, G. Wang1, V. Novosad1, C. L. Chang3,
A. Crites3, J. Mehl3, S. Meyer3, J. Carlstrom3, 1Argonne National Laboratory, Argonne, United States, 2University of
Michigan, Ann Arbor, United States, 3University of Chicago, Chicago, United States, 4University of Virginia, Charlottesville, United States
Bolometer detectors utilizing superconducting transition-edge sensor thermometers represent the current stateof-the-art for millimeter-wave astrophysical applications. This paper describes a fast, simple, and insightful analytical technique which applies to the design of absorber-coupled waveguide bolometer detectors. The technique
robustly generates the dimensions and sheet resistance of the bolometer absorbing element. The analysis is based
upon the EMF Method.
TU3E-3
1500 – 1520
PM-AM/PM-PM Distortions in Wireless Transmitter Behavioral Modeling
E. G. Lima1, T. R. Cunha2, J. C. Pedro2, 1Universidade Federal do Paraná, Curitiba, Brazil, 2University of Aveiro, Aveiro,
Portugal
This paper shows how behavioral models can assess the impact of PM-AM and PM-PM distortions observed in
wireless transmitters that are excited by wide-band signals . The origins of PM-AM and PM-PM distortions in these
are first identified and then the necessary conditions for their accurate representation are theoretically discussed
and experimentally assessed based on experimental data measured on a complete transmitter chain.
TU3F-3
1500 – 1520
Building Elements of a Volumetric Metamaterial
V. Becvar, M. Blaha, J. Machac, Czech Technical University in Prague, Prague, Czech Republic
This paper presents the results of an investigation into a combination of electric and magnetic planar resonators in
order to design the element of a volumetric metamaterial showing simultaneously negative electric and magnetic
polarizability. The magnetic element is a split ring resonator, and the electric element is an electric dipole terminated by an inductor. The response of the single resonant particle is strongly anisotropic. Proper spatial arrangement of these particles can make the response isotropic. The possibility of obtaining a non-bianisotropic response
is taken into account.
TU3E-4
1520 – 1530
A New Multi-Harmonic Volterra Model Dedicated to GaN Packaged Transistor or SSPA for Pulse
Application
W. Demenitroux1, C. Mazière1, E. Gatard1, S. Dellier1, C. Saboureau2, M. Campovecchio3, R. Quere3, 1Amcad
Engineering, Limoges, France, 2Thales communication, Cholet, France, 3XLIM, Limoges, France
This paper presents a new macro modeling methodology for solid-state amplifiers (SSAs) and packaged transistors
used in communication systems. The model topology is based on the principle of the harmonic superposition recently introduced by the Agilent X-parameters(TM) combined with dynamic Volterra theory. In this work, we focus
on a pulsed identification method which has been made from time domain load pull measurement performed on
one packaged transistor.
TU3F-4
1520 – 1540
Surface Impedances for Arbitrary Thick Planar Superconductors in Volume Discretization Methods
M. Kunze, CST - Computer Simulation Technology AG, Darmstadt, Germany
Surface impedance boundary conditions (SIBC) for superconductor layers are provided. These can be used for arbitrary thick conductors from very thin to very thick. A superconducting layer is considered as a TEM transmission
line so that the SIBCs can be obtained as the input impedances of an open ended and a short circuited transmission
line, respectively. The SIBCs are verified for a microstrip line and a coplanar waveguide made of Niobium on a
LaAlO3 substrate.
TU3E-5
1530 – 1540
Fine Tuning of Switching Frequency for Minimal Distortion High Frequency PWM Systems
F. Arfaei Malekzadeh, R. Mahmoudi, M. Sarkeshi, A. Roermund, Eindhoven University of Technology, Eindhoven,
Netherlands
A new method for evaluating and minimizing in-band and out-of-band spurious intermodulation, in a naturally
sampled PWM, is introduced and theorized. The proposed fine tuning method is based on solution of a set of linear
inequalities, which are a function of RF and switching frequencies. The equations can be solved through linear
programming techniques. A prototype class-D amplifier has been designed, realized and tested in 65 nm CMOS,
which verifies the theoretical findings.
Technical Track Key:
26
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
TUESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1420 – 1540
TU3G: Efficient Broadband Power Amplifiers
Tuesday 7 June 2011
Time: 1420 – 1540
Room: 327-329
Chair: Ruediger Quay, Fraunhofer Institute
Co-Chair: Yi-Jan Emery Chen, National Taiwan University
Efficiency and bandwidth are typically traded off for fundamental reasons. This session provides advanced techniques to design broadband PA’s with maximum efficiency for a given bandwidth.
TU3G-1
1420 – 1440
A Novel Highly Efficient Broadband Continuous Class-F RFPA Delivering 74% Average Efficiency for an
Octave Bandwidth
V. Carrubba, J. Lees, J. Benedikt, P. J. Tasker, S. C. Cripps, Cardiff University, Cardiff, United Kingdom
A novel, highly efficient and broadband power amplifier operating in continuous class-F mode has been realized.
The introduction and experimental verification of this new PA mode demonstrates that it is possible to maintain
expected output performance, both in terms of efficiency and power, over a very wide bandwidth. Using recently
established continuous class-F theory, a PA delivering an average efficiency of 74% and average power of 10.5W
for an octave bandwidth has been realized.
TU3G-2
1440 – 1500
GaAs X-Band High Efficiency (65%) Broadband (30%) Amplifier MMIC Based on the Class B to Class J
Continuum
J. R. Powell1, M. J. Uren1, T. Martin1, A. McLachlan2, P. Tasker3, J. Bell3, R. Saini3, S. Woodington3, J. Benedikt3, S.
Cripps3, 1QinetiQ, Gt. Malvern, United Kingdom, 2SELEX Galileo Ltd., Edinburgh, United Kingdom, 3Cardiff University,
Cardiff, United Kingdom
The Class B to Class J continuum of modes, exploited here, offers Class B levels of efficiency over a continuum of
impedance matching conditions, making wider bandwidth designs more feasible compared to resonant harmonically tuned approaches. Circuits were designed to systematically use the continuum of modes for the first time
at X-band. A 0.5 W MMIC fabricated using a GaAs pHEMT process yields above 65% drain efficiency over 30%
bandwidth.
TU3G-3
1500 – 1520
Wideband 3 and 10 Watt Class E X-Band GaN Power MMICs with Up to 75% Power-Added Efficiency
R. G. Freitag, E. J. Stewart, K. M. Renaldo, H. G. Henry, J. S. Mason, M. J. Walker, Northrop Grumman Corporation,
Baltimore, United States
Wideband class E power MMICs with up to 75% power-added efficiency at X-band are reported. The 3 and 10 watt
MMICs employ a state-of-the-art 0.1µm GaN FET along with enhanced circuit design and fabrication techniques to
achieve the high efficiency levels. The class E output networks employ a “finite inductance” topology with reactance
compensation to achieve broadband operation. Comparisons are made with conventional power amplifier designs
to demonstrate the improved performance.
TU3G-4
1520 – 1540
Concurrent Planar Multiharmonic Dual-Band Load-Coupling Network for Switching-mode Power
Amplifiers
D. Kalim, R. Negra, RWTH Aachen University, Aachen, Germany
This work presents a concept to design a compact planar multiharmonic load transformation network (MHLTN)
for the realisation of highly efficient dual-band PAs. The proposed MHLTN consisting of only transmission lines
can precisely achieve impedance terminations at two distinct frequencies including up to three harmonics. Highimpedance stubs are deliberately inserted at particular sections of the network for harmonic termination control.
PA measurements show 78.4 % and 61.3 % peak PAE.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
27
I M S
T E C H N I C A L
TUESDAY
P R O G R A M
TECHNICAL SESSIONS
TU4A: Tunable Passives and Acoustic Filters
Tuesday 7 June 2011
Time: 1600– 1720
Room: 310
Chair: Clemens Ruppel, TDK-EPC
Co-Chair: Guoan Wang, IBM
This session discusses a compact continuously tunable phase shifter, a capacitively coupled DC voltage switchable
BST solidly mounted resonator filter, a DC voltage dependent switchable acoustically coupled filter, and a bulk
acoustic wave filter packaged utilizing LCP material. Design, fabrication, theoretical analysis and measured results
are provided.
2
0
1
1
1600 – 1720
TU4B: High Field Magnetic Resonance Imaging Systems
Tuesday 7 June 2011
Time: 1600– 1720
Room: 314-315
Chair: Anand Gopinath, University of Minnesota
Co-Chair: Abbas Omar, University of Magdeburg
Magnetic Resonance Imaging (MRI) is a high resolution non-ionizing (safe) tomographic technique that is extensively used for medical diagnostics and other related applications. It is based on creating two distinct energy states
for the quantum spin of hydrogen nuclei in water molecules using a very strong static magnetic field. Better image
resolution and higher tissue contrast can be achieved by increasing the strength of the static magnetic field. This
Focus Session will provide a forum for discussions of some aspects of high field MRI systems including switching
instrumentation, use of antennas to create the RF magnetic fields in the human body, the signal processing of MRI
signals for images, and surgical techniques with MRI monitoring.
TU4A-1
1600 – 1620
Compact Left Handed Coplanar Strip Line Phase Shifter on Screen-Printed Barium Strontium
Titanate Substrate
M. Sazegar, Y. Zheng, H. Maune, X. Zhou, C. Damm, R. Jakoby, TU Darmstadt , Darmstadt, Germany
A compact continuously tunable phase shifter is presented employing integrated ferroelectric varactors based on
screen printed Barium Strontium Titanate (BST)thick film. The circuit is based on a left-handed transmission line
topology that allows considerable reduction in module size. The proposed design has a total length of 1.3 mm
and provides a differential phase shift up to 330°. Furthermore, the phase shifter is in coplanar strip configuration
which makes it suitable for systems consisting of balanced components.
TU4B-1
1600 – 1620
Modeling High Speed MRI Coil Switching using PIN Diodes
R. H. Caverly1, W. E. Doherty2, R. D. Watkins3, 1Villanova University, Villanova, United States, 2Microsemi-Lowell,
Lowell, United States, 3Stanford University School of Medicine, Stanford, United States
A dynamic time-domain model with improved reverse bias modeling performance suitable of modeling high
speed switching in MRI coils with PIN diodes is presented. The model is verified with experimental data and good
agreement was obtained. The model is then used in determining the coil dynamic switching characteristics.
TU4A-2
1620 – 1640
Capacitively Coupled DC Voltage Switchable Barium Strontium Titanate Solidly Mounted Resonator
Filter
G. N. Saddik, R. A. York, University of California, Santa Barbara, Santa Barbara, United States
A DC voltage switchable Barium Strontium Titanate (BST) solidly mounted Bulk Acoustic Wave (BAW) capacitively
coupled resonator filter with -3.66 dB insertion loss and -13.74 dB return loss has been demonstrated for the first
time. The filter consists of two switchable BAW solidly mounted resonators coupled with a high-Q BST capacitor.
The electromechanical coupling coefficient of the resonator is 6.34%, and the measured loaded series and parallel
quality factors are 135 and 87, respectively.
TU4B-2
1620 – 1640
MR in the Far Field: From Mode Transformation and Holography to Quasi-Optics
A. Tonyushkin1, A. J. Kiruluta1, 1Martinos Center for Biomedical Imaging, MGH and Harvard Medical School, Charlestown, United States, 2Harvard University, Cambridge, United States
MR in the Far Field: From Mode Transformation and Holography to Quasi-Optics
TU4A-3
1640 – 1700
Intrinsically Switchable, BST-on-Silicon Composite FBARs
S. A. Sis, V. C. Lee, A. Mortazawi, University of Michigan, Ann Arbor, United States
This paper presents a DC voltage dependent switchable, composite thin film bulk acoustic wave (FBAR) resonator
at 1.82 GHz. The resonator consists of barium stronium titanate-on-silicon in which barium stronium titanate (BST)
is primarily used for transduction. The electrostrictive property of BST is exploited to turn the resonator on and off
by applying a DC voltage. The device exhibits a quality factor of 157 and 301 at its series and parallel resonance
frequencies, respectively.
TU4B-3
1640 – 1700
Alternative RF Antenna Designs for High-Field Magnetic Resonance Imaging
A. J. Raaijmakers, O. Ipek, D. W. Klomp, J. J. Lagendijk, C. A. van den Berg, UMC Utrecht, Utrecht, Netherlands
RF probes in MRI are traditionally designed as ‘coils’ i.e. near-field antennas. However, at 7 Tesla (300 MHz) the
frequency is high enough that for deeply located structures the antennas should be designed as radiative antennas.
One innovative example of such an antenna design is the single-side adapted dipole antenna. We will show that
an array of these antennas is able to deliver a higher signal while depositing a lower level of SAR than conventional
antenna arrays.
TU4A-4
1700 – 1720
A 7.45 GHz BAW Filter on a Low Cost 3D Organic Package
C. E. Patterson1, S. K. Bhattacharya1, J. Zepess3, S. Leiphart3, W. G. Trueheart2, J. Ajoian2, Z. Coffman2, J. Papapolymerou1, 1Georgia Institute of Technology, Atlanta, United States, 2Lockheed Martin , Moorestown, United States,
3
TriQuint Semiconductor, Bend, United States
This paper presents for the first time a 7.45 GHz BAW filter successfully packaged on LCP multilayer organic material. The inherent advantages of LCP allows for a near-hermetic package with minimal loss in performance. This
work utilizes state-of-the-art BAW technologies and 3D packaging techniques to create significant benefits in
terms of cost, size and performance. As BAW devices extend to higher microwave frequencies, this packaging approach exhibits potential for a viable low cost solution.
TU4B-4
1700 – 1720
Interventional MRI: Minimal-Invasive Surgery under MR Guidance
M. Kaiser, J. Krug, G. H. Rose, University of Magdeburg, Magdeburg, Germany
Magnetic resonance imaging (MRI) is a well established method in medical diagnostics. By providing real-time
fluoroscopic images of arbitrarily cross sections and high soft tissue contrast resolution, MRI, in principle, is the modality of choice for image-guided intervention. This paper gives an overview about the advantages and drawbacks
of utilizing MRI for image-guided intervention and about the most promising medical applications.
Technical Track Key:
28
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
TUESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
TU4C: Advances in Low Noise IC Design and Measurements
Tuesday 7 June 2011
Time: 1600– 1720
Room: 316-317
Chair: Phillip Smith, BAE Systems
Co-Chair: Alfred Riddle, M/A-Com Technology Solutions
This session reports recent progress in the development of low noise integrated circuits -- a direct conversion receiver, two low noise amplifiers, and a broadband noise source IC -- based on Si, InP, and GaAs semiconductor technologies. The first paper describes a 2.4 GHz direct conversion receiver, based on 0.18 micron CMOS and employing
a passive mixer and vertical NPN transistors in the IF amplification, to achieve 3.7dB noise figure with 8.5mW of
DC power consumption. Next, an LNA based on 65nm CMOS with 2.8dB noise figure at 24 GHz is presented, where
junction varactors have been employed to provide over 2kV human body model (HBM) ESD protection. The third
paper changes technologies to enhancement mode pHEMT and demonstrates a state-of-the-art wideband amplifier with an average noise figure of 1.1 dB from 4-24 GHz. Our session ends with a novel single chip noise source
for cryogenic measurements.
P R O G R A M
1600 – 1720
TU4D: Advances in RF MEMS Tunable Filters
Tuesday 7 June 2011
Time: 1600– 1720
Room: 318-320
Chair: Kamran Entesari, Texas A&M University
Co-Chair: Brandon Pillans, Raytheon
RF MEMS technology allows the design and fabrication of low-loss, compact, linear, tunable filters. This session will
present the latest advances in this area, with presentations on tunable dielectric-resonator filters, integrated LTCC
and ceramic filters, tunable microwave cavities and acoustic resonators.
TU4C-1
1600 – 1620
2.4-GHz 8.5-mW 3.7-dB NF 100-kHz 1/f Corner Direct-Conversion Receiver Using Double-Balanced
Passive Mixer
J. Syu1, C. Meng1, C. Lin1, S. Wong2, G. Huang3, W. Liu3, 1National Chiao Tung University , Hsinchu, Taiwan, 2Richwave
Technology Corporation, Taipei, Taiwan, 3National Nano Device Laboratories, Hsinchu, Taiwan
A 2.4-GHz direct-conversion receiver is demonstrated using 0.18-μm CMOS technology. With both the passive
mixer realization and the use of vertical-NPN BJTs at the transconductance stage of the IF amplifiers, the 1/f noise
problem is directly avoided. A single-to-differential transformer is also used between the LNA and the passive
mixer to perform better isolation. The receiver achieves a conversion gain of 49 dB and DSB NF of 3.7 dB when the
dc power consumption is 8.5 mW at a 1.8 V supply.
TU4D-1
1600 – 1620
A Novel MEMS-Based Tunable Dielectric Resonator Filter
F. Huang, S. Fouladi, R. Mansour, University of Waterloo, Waterloo, Canada
This paper presents a new class of high-Q tunable dielectric resonator filters based on MEMS switches. The filter
consists of two disk shape dielectric resonators with circular holes created in the center of each resonator. An initial
prototype of the filter is assembled. The filter operates in TME mode at 4.8 GHz with a bandwidth of 21 MHz.
Measurement results of this prototype demonstrate a tuning range of 160 MHz while the quality factor ranges
from 1200-510 over the tuning range.
TU4C-2
1620 – 1640
A 24-GHz Low-Noise Amplifier Co-Designed With ESD Protection Using Junction Varactors in 65-nm
RF CMOS
M. H. Tsai1, S. H. Hsu1, F. L. Hsueh2, C. P. Jou2, T. J. Yeh2, J. D. Jin2, H. H. Hsieh2, 1National Tsing Hua University, HsinChu, Taiwan, 2Taiwan Semiconductor Manufacturing Company, Hsin-Chu, Taiwan
A 24-GHz LNA, utilizing junction varactors as ESD protection, is first demonstrated by a 65-nm CMOS technology.
The ESD protection capability of the junction varactors with multi-finger topology is characterized by TLP measurements. Under a power consumption of 7 mW, the proposed LNA achieves a 1.4-A TLP failure level, corresponding
to an over 2-kV ESD protection. The LNA presents a lowest noise figure of 2.8 dB at 23.5 GHz and a peak power gain
of 14.3 dB at 24 GHz, respectively.
TU4D-2
1620 – 1640
Miniaturized Microwave Tunable Bandpass Filters on High-k LTCC with Integrated Resistive Vias as
Bias-T
X. Mi, O. Toyoda, S. Ueda, F. Nakazawa, Association of Super-Advanced Electronics Technologies , Akashi, Japan
This paper describes a MEMS tunable bandpass filter operating in the S band region and featuring a low insertion
loss of -2.3 dB and small size of 3.7 mm by 4.1 mm. The tunable filter is based on MEMS-varactors-loaded microstrip resonators and has been directly constructed on a high-k low-temperature-co-fired-ceramics (LTCC) wiring
wafer whose dielectric constant is 50. The RF signal paths are isolated from the MEMS driving paths by built-in high
resistivity-vias, leading to low insertion loss.
TU4C-3
1640 – 1700
Wideband Low-Noise-Amplifier (LNA) with Lg = 50 nm InGaAs pHEMT and Wideband RF Chokes
P. S. Chen, D. Kim, J. Bergman, J. Hacker, B. Brar, Teledyne Scientific Company, Thousand Oaks, United States
This paper presents a 2-stage Low-Noise-Amplifier (LNA) MMIC which provides ultra-low-noise, broad bandwidth,
and high associated gain while consuming a fairly low DC power dissipation of 20 mW. The amplifier has been
fabricated using Lg = 50 nm enhancement-mode (E-mode) In0.7Ga0.3As pHEMTs on a 4-mil InP substrate. By
using broad bandwidth RF chokes, the LNA exhibits an average noise figure (NF) of 1.1 dB from 4 to 24 GHz with a
minimum associated gain (Ga) of 17 dB.
TU4D-3
1640 – 1700
A Family of MEMS Tunable Filters for Advanced RF Applications
B. W. Pillans, A. Malczewski, F. J. Morris, R. A. Newstrom, Raytheon, Dallas, United States
This work reports progress towards development of adaptively tunable microstrip band-pass filters for use in ultrawide-band (UWB) systems using capacitive RF MEMS switch technology. Six filters were designed, fabricated and
tested to achieve higher level sub-system specifications covering 2 to 18 GHz in small steps. While low-loss was
desired, it was not the primary objective and filters achieved loss of 4-10 dB depending on the frequency and state.
TU4C-4
1700 – 1720
A Single Chip Broadband Noise Source for Noise Measurements at Cryogenic Temperatures
D. Bruch1, F. Schäfer2, B. Aja1, A. Leuther1, M. Seelmann-Eggebert1, I. Kallfass1, M. Schlechtweg1, O. Ambacher1,
1
Fraunhofer Institute for Applied Solid State Physics IAF, Freiburg, Germany, 2Max Planck Institute for Radio
Astronomy, Bonn, Germany
This paper presents the design and performance of a single-chip broadband noise source for on-chip measurements in a cryogenic environment. The noise source generates input noise powers, Pc and Ph, which are required
by the Y-factor method. High accuracy in temperature control and impedance presented to the DUT is achieved over
a wide temperature range from 7 K to 100 K. Noise temperature measurements of a cryogenic LNA were performed
on-chip and show a typical accuracy of ±1 K.
TU4D-4
1700 – 1710
A 12-18 GHz Electrostatically Tunable Liquid Metal RF MEMS Resonator with Quality Factor of 14001840
W. Irshad, D. Peroulis, Purdue University, West Lafayette, United States
In this paper we present a novel tunable RF MEMS resonator that is based upon electrostatic control over the morphology of a liquid metal droplet (LMD). We demonstrate a LMD evanescent-mode cavity resonator that simultaneously achieves wide analog tuning from 12 to 18 GHz with a measured quality factor of 1400-1840. A droplet
of 250-um diameter is utilized and the applied bias is limited to 100V. This device operates on a principle called
Electro-Wetting On Dielectric (EWOD).
TU4D-5
1710 – 1720
Power Handling and Intermodulation Distortion of Contour-Mode AlN MEMS Resonators and Filters.
C. D. Nordquist, R. H. Olsson, Sandia National Laboratories, Albuquerque, United States
We report the power handling and intermodulation distortion of piezoelectric contour mode resonators and filters.
The output power handling of the resonator and filter scales with the inverse of the impedance squared and the
number of resonators, while the third-order intercept depends on the tone spacing. 50 Ω AlN resonators demonstrate output power capability of +10 dBm and OIP3 +20 dBm, while an eight resonator filter demonstrates
output P1dB of +14 dBm and a OIP3 +32 dBm.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
29
I M S
T E C H N I C A L
TUESDAY
P R O G R A M
TECHNICAL SESSIONS
TU4E: Efficient Wireless Transfer of RF Power
Tuesday 7 June 2011
Time: 1600– 1720
Room: 321-323
Chair: Madhu S. Gupta, San Diego State University
Co-Chair: Cheng P. Wen, Peking University
The efficiency with which electromagnetic energy in the RF region can be transferred or transformed is a critical
parameter in many situations. The first paper in this session concerns the near-field transfer of energy via magnetic
fields. The second paper is devoted to the transformation of energy from RF to DC using rectifiers, and examines
the enhancement of its efficiency when the RF signal is multi-frequency. The third paper employs a solar cell to not
only collect solar energy, but also concurrently serve as a patch antenna in an RF receiver. The final paper concerns
the use of RF energy for heating greenhouse crops.
2
0
1
1
1600 – 1720
TU4F: Waveguiding and Periodic Structures
Tuesday 7 June 2011
Time: 1600– 1720
Room: 324-326
Chair: David R. Jackson, University of Houston
Co-Chair: Linda P. B. Katehi, University of California
This session focuses on the analysis and design of novel waveguiding structures, both periodic and nonperiodic.
New structures for microwave, millimeter-wave, and terahertz (THz) propagation are proposed that enable efficient propagation and dramatic slow-wave effects. A novel effect that has not been observed before in the microwave community is also presented, namely the phenomenon of field localization on periodic structures that
arises from random perturbations on the periodic structure. This is a phenomenon that may potentially impact the
analysis of any periodic structure that is simulated numerically. Finally, the multiphysics modeling of THz propagation in active devices is also presented.
TU4E-1
1600 – 1620
An Autonomous Wireless Sensor Node using a Solar Cell Antenna for Solar Energy Harvesting
M. Danesh, J. R. Long, Delft University of Technology, Delft, Netherlands
An autonomous 3-5 GHz UWB sensor transmitter node uses a single solar cell of 2 x 2 cm2, sufficient to generate
energy up to 20 mW of peak power outdoors, and acting as a broadband monopole antenna in the 3-10 GHz
range, thus miniaturizing the overall system package. The sensor node consumes 10 µA average current when
transmitting data bursts every 8.5 s at 1 kbits/s using OOK modulation. The harvested solar energy is stored in a
super-capacitor to ensure continuity of transmission.
TU4F-1
1600 – 1620
Parallel-Plate Ladder Waveguide for Millimeter-Wave and Terahertz Waveguiding
M. Ahmadi-Boroujeni, M. Shahabadi, University of Tehran, Tehran, Iran
In this paper, parallel-plate ladder waveguide (PPLWG) is proposed for mm-wave and terahertz guided-wave
application. By using a rigorous full-wave method based on the generalized multipole technique (GMT), modal
analysis of the proposed waveguide is carried out. It is shown that the dominant mode of PPLWG has the same
polarization as the TE1 mode of a standard parallel-plate waveguide and can be efficiently coupled to it. By presenting the results of simulation, realization of field confiner, waveguide bend, filter, and delay line using PPLWG
is also demonstrated.
TU4E-2
1620 – 1640
Maximizing DC Power in Energy Harvesting Circuits Using Multi-Sine Excitation
A. S. Boaventura, N. B. Carvalho, Instituto de Telecomunicações - Universidade de Aveiro, Aveiro, Portugal
This paper presents an approach to signal excitation specially designed to improve the DC power obtained in a RF
to DC converter and consequently its RF-DC efficiency conversion. In this sense, a multi-sine signal is used as the
excitation, and it is proved either theoretically, by simulations and by measurements, that a multi-sine signal with
0º phase relationship between the tones present better DC values in energy harvesters, when compared with a
single tone excitation with the same input power
TU4F-2
1620 – 1640
Novel Slow-Wave Coupled Lines with Coupling Enhancement
H. Ma, H. Yang, University of Illinois at Chicago, Chicago, United States
A novel coupled line structure based on two layers of crossing strips with high density of interconnecting vias
is investigated. The two arrays of vias resembling fence walls in substrate integrated waveguides help enhance
significantly the inductive coupling coefficient, which is essential in the phase equalization of even and odd modes.
Dispersion characteristics of both odd and even modes are investigated with emphasis on phase equalization and
impedance design as well as coupling level. A specific slow-wave coupled-line structure with enhanced coupling
is designed, fabricated and tested as an example. The measured results of the slow-wave factors, line impedances,
and coupling coefficient are in good agreement with those of simulated results. Potential applications of the proposed coupled-line structure are also discussed.
TU4E-3
1640 – 1700
CAD of Efficient Wireless Power Transmission Systems
M. Dionigi, M. Mongiardo, University of Perugia, Perugia, Italy
Electromagnetic Wireless Power Transmission (WREL) can be achieved by using resonant coils coupled via their
magnetic fields; these resonant coils must be properly coupled to the source and load. By using an equivalent
network, we introduce a computer-aided design procedure to reach the maximum efficiency for a given value of
the resonators quality factor and for a specified value of the coupling. The proposed design procedure provides
matching network values for the input and output sections.
TU4F-3
1640 – 1700
Non-Periodic Perturbations in Periodic RF Structures
V. J. Jabotinski1, D. P. Chernin2, T. M. Antonsen Jr.3, B. Levush4, 1Beam-Wave Research, Bethesda, United States,
2
Science Applications International Corporation, McLean, United States, 3University of Maryland , College Park, United
States, 4Naval Research Laboratory, Washington, United States
This paper presents analysis of the effects of non-periodic perturbations in periodic RF structures with the use of
3D finite element computer simulations. Small departures from periodicity change the phase shift experienced by
a wave as it traverses an imperfect cell. Cell imperfections may be introduced intentionally or due to fabrication
errors or material properties variations. The accumulated effects produce distortions of the fields. If the errors are
large enough the structure modes become localized. We believe that this is the first demonstration of localization
in a periodic RF structure in which the transverse and period dimensions are on the order of a wavelength. The phenomenon is similar to Anderson localization but has its own unique mechanisms. These results are demonstrated in
a periodic structure being designed for a 670 GHz extended interaction klystron. In an ancillary result we document
a limitation of finite element codes when applied to long periodic structures.
TU4E-4
1700 – 1720
Improving Energy Efficiency by Heating Greenhouse Crops with Microwaves
M. J. Guess, I. C. Hunter, A. I. Abunjaileh , University of Leeds, Leeds, United Kingdom
Heating greenhouse crops with microwaves offers potential improvements in energy efficiency of at least 50%.
Presented here are results confirming plants can be grown successfully, and to similar standards as conventionally
heated plants, using microwave heating. The performance of the plants is a function of the resultant temperature
and the uniformity of the heating pattern within the plant. Heating uniformity can be improved by using circularly
polarized incident electric fields.
TU4F-4
1700 – 1720
Analytical modeling of THz wave propagation inside ungated two dimensional electron gas layers
M. Khorrami, S. El-Ghazaly, S. Yu, H. Naseem, University of Arkansas, Fayetteville, United States
Plasma wave propagation along an ungated two Dimensional Electron Gas (2DEG) layer of a hetrostructure is studied. It is shown that the wave can be useful in amplification of THz signals. An analytical solution of Maxwell and
Hydrodynamic equations is presented. This method provides an insight into electromagnetic modes allowed to
propagate along the 2DEG as electrons are in motion with constant average drift velocity. Besides, wave impedances of the modes are illustrated. Afterwards, a simple matching network design for input and output ports of
the 2DEGs is developed.
Technical Track Key:
30
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
TUESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1600 – 1720
TU4G: GaN and LDMOS Linear Power Amplifiers
Tuesday 7 June 2011
Time: 1600– 1720
Room: 327-329
Chair: Aryeh Platzker, Raytheon Corporation
Co-Chair: Ali Darwish, Army Research Laboratory
The excitement with GaN’s (and LDMOS) record power densities and efficiencies would be incomplete without
exploring linearity. This session presents evidence of the excellent GaN (and LDMOS) linearity through Ka-band
frequencies. Conventional, switching, and pre-distorted designs are presented.
TU4G-1
1600 – 1620
Linearity Characteristics Study of Millimeter-Wave GaN Power Amplifier
J. X. Qiu, A. M. Darwish, E. A. Viveiros, H. A. Hung, K. Kingkeo, Army Research Laboratory, Adelphi, United States
In this paper, a digital waveform system for evaluating the linearity characteristics of millimeter-wave GaN HEMT
devices and MMICs is described. It is capable of generating and analyzing a wide range of waveforms. The linearity
performance of a sample Ka-band one-stage GaN/SiC MMIC power amplifier is characterized to demonstrate the
capabilities of the system. Performance metrics associated with different waveforms including single-tone, twotone and digitally modulated waveforms are measured.
TU4G-2
1620 – 1640
Digital Predistorted Inverse Class-F GaN PA with Novel PAPR Reduction Technique
J. Wang, Y. Xu, X. Zhu, State Key Laboratory of Millimeter Waves, Nanjing, China
In this paper, a digital predistorted inverse class-F GaN power amplifier with novel PAPR reduction technique is
presented, in which not only peaks but also valleys of signal are clipped to reduce the PAPR as much as possible.
The inverse class-F PA is implemented with Cree’s CGH40010 GaN HEMT which delivers output power of 10 W. For a
20 MHz wideband OFDM signal, adjacent channel power ratio (ACPR) of the proposed PA decreases from -35.4 dBc
to -51.9 dBc, and drain efficiency of the PA is 31.3% at an average output power of 33.6 dBm.
TU4G-3
1640 – 1700
Comparison of a Single and a Dual-Gate GaN Switching-Amplifier for Future Communication Systems
S. Heck1, S. Maroldt2, A. Bräckle1, R. Quay2, M. Berroth1, 1University of Stuttgart, Stuttgart, Germany, 2Fraunhofer
Institute for Applied Solid-State Physics, Freiburg, Germany
A high efficiency switch-mode amplifier with a dual-gate configuration in the output stage is designed in a 250 nm
GaN HEMT technology. Measurements are performed up to 8 Gbps using periodic square wave signals and bandpass delta sigma (BPDS) signals. The results are compared to a single-gate amplifier which uses the same driver
stage and gate width. The dual-gate amplifier achieves a higher output power and shows a better RF-performance
at bit rates above 2 Gbps.
TU4G-4
1700 – 1720
Efficient LDMOS Device Operation for Envelope Tracking Amplifiers through Second Harmonic
Manipulation
M. S. Alavi1, F. van Rijs2, M. Marchetti1, M. Squillante1, T. Zhang1, S. J. Theeuwen2, Y. Volokhine2, R. H. Jos2, M. P. van
der Heijden3, M. Acar3, L. C. de Vreede1, 1TUDelft , Delft, Netherlands, 2NXP Semiconductors, Nijmegen, Netherlands,
3
NXP Semiconductors, Eindhoven, Netherlands
In this work efficient LDMOS device operation for envelope tracking amplifier systems is discussed. We introduce
a “hybrid” combination of class-J* and class-B device operation, which yields improved efficiency at low supply
voltages in power back-off, while avoiding device breakdown when operating at high supply voltages. Using these
techniques with a Gen7 NXP 2W LDMOS device in a load-pull test bench, more than 63% drain efficiency over a
10dB power back-off range is achieved at 2.14GHz.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
31
I M S
T E C H N I C A L
P R O G R A M
2
0
1
1
WEDNESDAY FOCUS, PANEL, AND SPECIAL SESSIONS
Wednesday
0800 – 0940
WE1H: Special Session - Memorial Session for Theodore Saad
Chair:
Room: 309
Richard Sparks, ANRO Engineering (retired)
Abstract: Theodore S. (‘Ted’) Saad graduated from the Massachusetts Institute of Technology
and joined the MIT Radiation Laboratory, developing radar systems used during World War II.
As the microwave electronics field flourished in the years following 1945, Ted applied his talents
at the Submarine Signal Company, then as VP and Chief Engineer at Microwave Development
Laboratories, and Sylvania. During the years at MDL and Sylvania, Ted was aware of a lack of
professional communication among practitioner experts in the field of microwave theory and
techniques. So in 1952 he applied his organizational skills to work within what was the Institute
of Radio Engineers, to form a new technical professional group that is today the Microwave
Theory and Techniques Society of IEEE. At the same time, he co-founded and was first Editor
of The Microwave Journal. Ted and his colleagues within the fledgling Society started a new
technical journal, later to become the IEEE Transactions on MTT, and Ted became the second
editor of the Transactions in 1954. In 1955, he became co-founder, President, and Chairman of
the Board of Sage Laboratories, which grew and flourished under his leadership. Ted played
a key role in starting the Society’s annual and highly successful Microwave Symposium. He
chaired the National Administrative Committee of MTT in 1958, and served for many years as
Historian of IEEE’s Microwave Theory and Techniques Society. Ted was active in IEEE committees
as well, including the IEEE Foundation, the IEEE History Center, and IEEE Technical Activities,
and loved to say that the MTT was the ‘crown jewel’ of IEEE Societies. It was, and its present
reputation and success can be traced to his careful and active stewardship.
Wednesday
0800 – 0940
Room: 324-326
WE1F: Special Session - 100 Years of Superconductivity (1911 – 2011) - Existing
and Emerging RF Applications of Superconductivity
Chair:
Martin Nisenoff, Nisenoff Associates
Co-Chair: Raafat R. Mansour, University of Waterloo
Sponsor: MTT-8, MTT-14, IEEE Council on Superconductivity
Abstract: Superconductivity has many unique properties that can be used to produce RF,
microwave and terahertz devices, circuits and systems with impressive characteristics. The
year 2011 marks the 100th anniversary of the discovery of superconductivity. The discovery
of superconductivity has been designated an “IEEE Milestone in Electrical Engineering
and Computing”. The year 2011 also marks the 25th anniversary of the discovery of High
Temperature Superconductors (HTS), which can be operated at cryogenic temperatures
that are relatively easily achieved. To commemorate these two anniversaries, this Special
Session has been organized to provide an overview of the history and unique properties of
the superconducting state and to provide details on some promising applications of potential
interest to the microwave community. In the initial talk, the history of superconductivity will be
reviewed very briefly and the properties of superconductivity that can be exploited in various
applications, especially at microwave and terahertz frequencies will be described. The other
talks in this session will address specific applications including: circuits which permit digital
signal processing at frequencies up to and exceeding 40 GHz, extremely low noise figure
detection of microwave and terahertz signals, and superconductor microwave circuits for readout in emerging quantum computing applications.
32
Wednesday
1000 – 1140
Room: 316-317
WE2C: Focus Session - Recent Developments in Photonics for RF Front-Ends
Thomas Clark, JHU Applied Physics Laboratory
Chair:
Co-Chair: Rod Waterhouse, Pharad, LLC
Sponsor: MTT-3
Abstract: Photonic devices and subsystems have been envisioned as enabling technologies
for the generation, transmission, detection, processing, and control of microwave signals in
future advanced systems for many years. Inefficiencies in the conversion from the microwave
to optical domain and back, as well as added noise and distortion at these interfaces, have
been key impediments to the full realization of the benefits of photonics. Recent developments
have demonstrated great promise for the near term application of photonic subsystems in RF
front-ends. This Focus Session will present some of these key developments and illustrate why
the field of microwave photonics will continue to grow and impact a diverse set of microwave
applications.
Wednesday
1000 – 1140
Room: 324-326
WE2F: Focus Session - Retrospective and Outlook of Computational
Microwave Engineering
Chair:
Poman So, University of Victoria
Co-Chair: Zhizhang (David) Chen, Dalhousie University
Sponsor: MTT-15 with co-sponsoring support form MTT-1, MTT-24 and MTT-25
Abstract: This Focus Session describes the evolution of time domain models of electromagnetic
fields in response to the requirements of new and emerging technologies in the RF to optical
range of the electromagnetic spectrum. The goal is to assess the state-of-the-art in time
domain modeling and to re-trace its evolution over the past thirty years in response to new
technological developments, both in terms of novel applications and steadily growing
computational resources. During their presentations, the session speakers will also highlight
the numerous contributions of Professor Wolfgang J. R. Hoefer to the field of computational
microwave engineering on the occasion of his 70th birthday.
Wednesday
1000 – 1140
WE2H: Special Session - Memorial Session for Roger Sudbury
Room: 309
Chair: Greg Lyons, MIT Lincoln Laboratory
Co-Chair: Mark Gouker, MIT Lincoln Laboratory
Abstract: Roger Sudbury was a selfless and effective leader in both the MTT-Society and IEEE.
In addition to serving as MTT-S President and IEEE Division Director, he was instrumental in
bringing innovation to the IMS, most notably in his behind the scenes organizational skills and
accomplishments. This Special Session will address Roger’s contributions to the society, to IEEE,
and to the technical community. Speakers include colleagues from the efforts that Roger led
to establish microwave and millimeter wave integrated circuits as a viable system integration
technology in the 1980’s, colleagues from the radar systems community who worked with Roger
both professionally and as volunteers to forward this technology, colleagues from Society and
IEEE leadership who worked closely with Roger on administrative issues in these volunteer
organizations, and family members.
2
0
1
1
I M S
T E C H N I C A L
P R O G R A M
WEDNESDAY FOCUS, PANEL, AND SPECIAL SESSIONS
Wednesday
1200 – 1320
Room: 307-308
Panel Session: Commercial Viability of RF-MEMS: A Reality or a Dream?
Chair/Moderator: Prof. Gabriel M. Rebeiz, University of California, San Diego
Co-Chair:
Prof. N. Scott Barker, University of Virginia
Panelists:
1.
2.
3.
4.
5.
6.
Art Morris, CTO, wiSpry
Dennis Yost, CEO, Cavendish Kinetics
Tomonori Seki, Manager, Principal Engineer, Omron
Robert Shimon, Manager, Principal Engineer, Agilent
Julio Costa, Sr. Manager, Principal Engineer, RFMD
Dylan Kelly, Manager, Principal Engineer, Peregrine Semiconductor
Abstract: Recent results from wiSpry and Cavendish Kinetics on RF MEMS switched capacitors
on CMOS substrates indicate excellent performance for tunable front-ends at 0.5-2.5 GHz. These
devices can be used in multi-band antennas, matching networks between the antenna and the
power amplifiers, and for high-Q designs (Q > 100), in tunable bandpass and notch filters. On
the other side, Omron is selling DC-20 GHz SPDT switches for relays and instrumentation systems
with more than 100 million cycle reliability (compare with 1 to 5 million cycles for standard
relays), and Agilent is working on RF MEMS switches up to 67 GHz. There is also a lot of interest
from Europe (EPCOS/TDK), and from several Taiwanese, Japanese and Korea companies, mostly
for cell phone applications.
Wednesday
1600 – 1720
Room: 309
WE4H: Special Session - A Tribute to Professor Nathan Marcuvitz
Chair: Aly Fathy, University of Tennessee
Co-Chair: Erich Kunhardt, Polytechnic University of NYU
Sponsor: ADCOM
Abstract: Nathan Marcuvitz was an internationally recognized electro-physicist. During WWII
he did ground-breaking work at MIT Radiation Laboratory when a national priority was the
rapid development of microwave radar. During that time, he authored the Waveguide Handbook
which is still considered a classic work characterizing microwave behavior and is well known to
all of us working today in the fields of microwaves or optics. This Special Session will include
friends and colleagues describing their experiences with Nathan Marcuvitz and his impact on
their lives and careers.
Therefore, the question to ask is: will RF MEMS become commercially viable, both at the
cell phone level and at the relay level? And, what are the next steps to be taken in order to
achieve this goal? As is well known, for cell phone applications, there is competition from
other technologies, such as silicon-on-sapphire and silicon-on-insulator (SOS/SOI)-based CMOS
switches and tuners, and barium strontium titanate (BST)-based tuners. The competition is not
only on price and performance, but also on delivery schedules and numbers of units which can
be delivered.
On the relay side, there is concern about cost and extended operation/reliability in the downstate position, all which have been addressed by Omron. This panel, composed of distinguished
RF MEMS and SOS/SOI developers and users, will discuss the status of cell phone front-end
tuners and relays, and what is needed to make RF MEMS or SOS/SOI a commercial success for
tunable front-ends.
Wednesday
1600 – 1720
WE4B: Focus Session - Handset Impedance Tuners
Room: 314-315
Chair: Arthur Morris, wiSpry, Inc.
Co-Chair: Gernot Hueber, DICE GesmbH & Co, KG
Sponsor: MTT-21
Abstract: Impedance tuners are on the verge of widespread use within mobile handsets to
improve performance, compensate for environmental influences and shorten design cycles. As
a new component in the RF designer’s toolkit, the tuner specifications and value propositions
are subjects of heated debate. Fundamental questions remain regarding a coherent approach
to specifying tuner RF performance. This Focus Session will feature speakers from the leading
tuner manufacturers who will present their perspective of the tuner market and requirements
as well as their product capabilities.
33
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
0800 – 0940
WE1A: Advanced Synthesis and Design Techniques of Microwave Filters and Multiplexers
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 310
Chair: Andrew Guyette, Naval Research Laboratory
Co-Chair: Ming Yu, COM DEV
The major advances in the synthesis and design areas of microwave filters and multiplexers will be presented.
Some practical realizations in waveguide technology will be also shown.
WE1B: Novel Transmission-line Metamaterial Structures and Devices
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 314-315
Chair: Tatsuo Itoh, UCLA
Co-Chair: Francisco Mesa, University of Seville
This session reports advances in the theory and practice of transmission-line metamaterials. Two papers present
wide-band and dual-band coupled-line couplers, respectively. A tunable CRLH transmission line is presented for
filter and phase-shifter applications. Another paper demonstrates differential lines capable of suppressing the unwanted common mode. In addition, a 2D transmission-line anisotropic metamaterial is reported which is suitable
for emerging transformation-electromagnetics applications.
WE1A-1
0800 – 0820
Prototype Network Synthesis for Wideband Microwave Filters
W. Meng1, K. A. Zaki1, A. E. Atia2, 1University of Maryland, College Park, United States, 2Orbital Sciences Corp., Dulles,
United States
A direct synthesis method is presented for a folded bandpass filter prototype network. The prototype network
consists of LC resonators coupled by frequency-dependent couplings, which model the filter responses over a
wide frequency band. Commencing from transfer and reflection polynomials, a two-port [ABCD] transfer matrix is
formed, enabling the extraction of variant circuit elements from input and output ports of the prototype network.
WE1B-1
0800 – 0820
A Wide-Band Forward Coupler with Balanced Composite Right-/Left-Handed Transmission Lines
A. Hirota, Y. Tahara, N. Yoneda, Mitsubishi Electric Corp., Kamakura, Japan
This paper presents a novel wide-band forward coupler with balanced composite right/left-handed (CRLH) transmission lines. In the proposed couplers, the proposed forward couplers are a balanced CRLH transmission lines
simultaneously in even/odd modes. Thus, the proposed forward coupler has a wide-band characteristic. The proposed forward coupler is simulated and experimentally demonstrated in S-band. We have designed a 0 dB forward
coupler and the bandwidth is 36.5% in the experiments.
WE1A-2
0820 – 0840
Deembedding of Filters’ Responses from Diplexer Measurements
M. Oldoni1, F. Seyfert2, G. Macchiarella1, D. Pacaud3, 1Politecnico di Milano, Milan, Italy, 2Institut National de Recherche en Informatique et en Automatique (INRIA), Sophia Antipolis, France, 3Thales Alenia Space, Toulouse, France
In this paper we present a method to compute the characteristic polynomials of two filters embedded in a diplexer
for which a set of measured scattering parameters is given. This method works without particular assumptions
on the junction or the lossless nature of the filters and is based on a rational fitting derived from the analytical
expression of the diplexer response. This procedure is typically meant as a deembeding step to yield the coupling
matrices of the filters to be tuned.
WE1B-2
0820 – 0840
A Printed Dual-Band Coupled-Line Coupler Using Modified Generalized Negative-Refractive-Index
Transmission-Lines
C. G. Ryan, G. V. Eleftheriades, University of Toronto, Toronto, Canada
A dual-band printed metamaterial coupler made of a microstrip line and a generalized negative-refractive-index
line is presented. Due to the presence of two left-handed bands in the unit cell, backward coupling occurs over
two frequency ranges centered on 2.7 GHz and 4.7 GHz. Measured results for an edge-coupled device are reported.
WE1A-3
0840 – 0900
Polynomial Design of Manifold Multiplexers
G. Macchiarella1, S. Tamiazzo2, 1Politecnico di Milano, Milano, Italy, 2Andrew Telecommunication Products, Agrate
Brianza, Italy
In this work a novel approach to the design of multiplexers with distributed manifold is presented. The filters composing the multiplexer are described through their characteristic polynomials while the manifold network is circuit
defined. The design procedure consists in the evaluation of the filters polynomials and manifold parameters. Some
design examples are reported showing the performance of the proposed procedure;a fabricated test duplexer has
validated experimentally the method.
WE1B-3
0840 – 0900
Split Rings-Based Differential Transmission Lines with Common-Mode Suppression
J. Naqui1, A. Fernandez-Prieto2, M. Duran-Sindreu1, J. Selga1, F. Medina2, F. Mesa2, F. Martin1, 1Universitat
Autonoma de Barcelona, Bellaterra, Spain, 2Universidad de Sevilla, Sevilla, Spain
A novel microstrip differential transmission line with common-mode suppression is presented, implemented by
etching complementary split ring resonators (CSRRs). For differential signals, the symmetry of the structure cancels
the electric field axial to the CSRRs, and these particles have no effect on signal. However, the CSRRs are activated
under common mode excitation, with the result of a stop-band. For the prototype, over 20 dB suppression of
common-mode is achieved over a range 1.18-1.74 GHz.
WE1A-4
0900 – 0920
Performance Analysis of the Enhanced Microwave Multiplexing Networks for Applications in Communications Satellites
Y. Wang1, M. Yu2, 1University of Ontario Institute of Technology, Oshawa, Canada, 2COM DEV Ltd., Cambridge, Canada
The performances of the enhanced microwave multiplexer are studied. The overall performance of the enhanced
type of multiplexer with Mth order channel filters is similar to conventional design with (M+1)th order filters. It
is shown that the difference in the coefficients of thermal expansion of the materials for the channel filters and
the manifold affects the temperature stability. Impact of filter resonator Q factor and fractional bandwidth on the
passband insertion loss is also demonstrated.
WE1B-4
0900 – 0920
Electrically Tunable Composite Right/Left Handed Transmission-Line Based on Open Resonators and
Barium-Stronium-Titanate Thick Films
M. Durán-Sindreu1, C. Damm2, M. Sazegar2, Y. Zheng2, J. Bonache1, R. Jakoby2, F. Martín1, 1Universitat Autònoma de
Barcelona, Bellaterra, Spain, 2Technische Universität Darmstadt, Darmstadt, Germany
This paper is focused on the study of the tunability of planar composite right/left handed (CRLH) transmission
lines based on the combination of open split-ring resonators (OSRRs) and open complementary split-ring resonators (OCSRRs), and its application to microwave devices. The tunability is achieved by means of Barium-StroniumTitanate (BST) thick films, which change the electrical properties of the structures through the application of a
static electric field.
WE1A-5
0920 – 0930
New Resonator Arrangement for Reduced Size E-Plane Filters
P. Kozakowski, A. Deleniv, Ericsson AB, Molndal, Sweden
A new all-metal insert E-plane filter consisting of single, upper and lower ridge resonators placed alternatively
along the waveguide housing is introduced. The proposed topology allows one, in large extent, to adjust the filter
length, which can be particularly useful when designing manifold type diplexers of extracted pole variety. The
concept has been validated by design and fabrication of a 6-pole filter with transmission zero placed on the upper
side of the pass-band.
WE1B-5
0920 – 0940
A Rotated Transmission-Line Metamaterial Unit Cell for Transformation-Optics Applications
M. Selvanayagam, G. V. Eleftheriades, University of Toronto, Toronto, Canada
We introduce a transmission-line metamaterial unit cell to implement a full, symmetric, material tensor, a key
criterion in transformation-optics devices. This is achieved by taking an anisotropic metamaterial unit cell and
rotating it. We then show how this unit cell can be used to implement a beam expander. To implement the transmission-line grid for the beam expander, we make some approximations for spatial variation in the rotation angle.
Full-wave simulation confirms these results.
WE1A-6
0930 – 0940
Realization of Practical Lumped Element All-pass Networks for Delay Equalization
R. Levy, R. Levy Associates, La Jolla, United States
All-pass networks of the second order used for delay equalization are described in various textbooks, but are frequently quite unrealizable due to impractical circuit element values. This problem has now been solved using network transformations, leading to simple design equations to derive the resulting practical networks. An example
is given of an all-pass network used in the design of a delay-equalized filter having a passband from 1250 to 1500
MHz. The technique has been used to design many such equalized filters from VHF to microwave. They have the
advantages of much smaller size and lower cost compared to internally equalized coaxial filters.
Technical Track Key:
34
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
0800 – 0940
WE1D: Advances in Ultra-Low-Power Transceiver Architectures
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 318-320
Chair: Shoichi Narahashi, NTT DOCOMO, INC.
Co-Chair: Telesphor Kamgaing, Intel Corporation
This session covers recent advances in transceiver architectures for various wireless communication systems. The
first paper proposes a novel radio architecture that allows interference-free full duplex operation. The second and
third papers address low power polar transmitter for UMTS applications. Ultra-low power radios and wireless sensors are also presented.
WE1E: Array and Power Combining Techniques
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 321-323
Chair: Julio Navarro, Boeing Research & Technology
Co-Chair: Constantine Balanis, Arizona State University
This session presents novel methods for combining power at the array level to enable new features and improve
system level performance.
WE1D-1
0800 – 0820
A Compensation Scheme to Allow Full Duplex Operation in the Presence of Highly Nonlinear Microwave Components for 4 G Systems
M. Omer1, R. Rimini2, P. Heidmann2, J. S. Kenney1, 1Georgia Institute of Technology, Atlanta, United States, 2Qualcomm, San Diego, United States
This work proposes a novel radio architecture that can take care of out of band (OOB) emissions and allow interference free full duplex radio operation using appropriate nonlinear interference cancellation schemes. We propose
that nonlinear operation and the resulting spectral re-growth can be handled without incurring any extra analog
hardware in the RF front-end. This is accomplished at the cost of extra base band DSP workload.
WE1E-1
0800 – 0820
Multi-antenna Near Field Cancellation Duplexing for Concurrent Transmit and Receive
T. Snow1, C. Fulton2, W. J. Chappell2, 1NSWC Crane, Crane, United States, 2Purdue University, West Lafayette, United
States
Concurrent transmit and receive with multiple antennas is demonstrated through near field cancellation. Fullduplex operation is possible through cancellation of the transmit signal at the receiver as much as 50dB. By exploiting symmetry in an array of antennas, a centrally located receiver is able to operate without saturation in
the presence of high power transmit signals. The transmitters provide spatial diversity and 360-degree azimuthal
coverage while maintaining the requisite cancellation.
WE1D-2
0820 – 0840
Accurate UMTS Power Transition with Power alignment Loop Between Two Different Modulation
Modes for Polar Transmitters
M. Nakamura1, T. Urushihara2, G. L. Do2, M. Igarashi3, K. Ishida1, 1Panasonic Corporation, Yokohama, Japan, 2Panasonic R&D Company of America, Cupertino, United States, 3Panasonic Corporation, Nagaokakyo, Japan
In UMTS cellular handsets, low-power-consumption RF blocks are strongly demanded. A fast-feedback power control technique named power alignment loop (PAL) is proposed for polar transmitters. The PAL can realize accurate
UMTS power transition over the two different modulation modes. Measurement results shows that the power accuracy between the two modes can satisfy the 3GPP standard within 25 microseconds from the slot boundary. The
average current consumption of the transmitter is 128.2 mA at the battery.
WE1E-2
0820 – 0840
A High Performance Analogue Retrodirective Phase Conjugation Circuit with RX Array Factor
Combination Ability
N. B. Buchanan1, V. Fusco1, M. Van der Vorst2, 1Queens University Belfast, Belfast, United Kingdom, 2European Space
Agency, Noordwijk, Netherlands
This paper presents a new architecture together with practical results for a high performance analogue retrodirective array architecture with the following significant advantages: (1) It is able to constructively combine signals on
receive, as well as on transmit, a feature not seen before on this type of array, (2) It is capable of operating with real
life communication received signal levels as low as -120 dBm. This work guarantees maximum S/N simultaneously
on receive and on retransmit.
WE1D-3
0840 – 0900
Wireless Polar Receiver Using Two Injection-Locked Oscillator Stages for Green Radios
C. Chen1, C. Hsiao1, T. Horng1, K. Peng2, 1National Sun Yat-Sen University, Kaohsiung, Taiwan, 2National Kaohsiung
First University of Science and Technology, Kaohsiung, Taiwan
This paper presents a novel polar receiver that utilizes two injection-locked oscillators (ILO) stages to extract the
modulation envelope and phase components from a received non-constant envelope modulation signal without
using carrier recovery circuitry. Owing to its simplicity, the proposed receiver has great potential as an energyefficient architecture with low cost for green radio applications.
WE1E-3
0840 – 0900
A Mode-Based Technique for Compact Linear MIMO Arrays
L. K. Yeung, The Chinese University of Hong Kong, Hong Kong, Hong Kong
A practical technique for building compact linear antenna arrays based on orthogonal radiation is presented. This
approach utilizes passive couplers to separate out different orthogonal eigenmodes of linear arrays with closelyspaced elements as individual radiation mechanisms. In this way, undesired effects due to mutual coupling and
spatial correlations can be avoided. Consequently, MIMO radio front-ends can be made very compact in size by
using arrays with closely-spaced elements.
WE1D-4
0900 – 0920
Direct-Conversion Transmitter with Resistance to Local Oscillator Pulling in Non-Constant Envelope
Modulation Systems
C. Hsiao1, C. Chen1, T. Horng1, K. Peng2, 1National Sun Yat-Sen University, Kaohsiung, Taiwan, 2National Kaohsiung
First University of Science and Technology , Kaohsiung, Taiwan
This work studies the LO pulling effect associated with a direct-conversion transmitter (DCT) in non-constant envelope modulation systems. The relevant theory provides an analytical model to predict DCT signal quality degradation due to pulling effect. To mitigate it, a novel approach for reducing FM distortions by a combination of secondpoint VCO modulation and inner self-injection is presented. The improved performance is verified by realizing a
QPSK transmitter for cdma20001x applications.
WE1E-4
0900 – 0920
Gain-Enhanced Distributed Amplifier-Based CRLH-Leaky Wave Antenna for Quasi-Resonant Power
Recycling Scheme
C. M. Wu, T. Itoh, University of California, Los Angeles, Los Angeles, United States
A distributed amplifier combined with CRLH-leaky wave antennas (LWAs) for a new resonant type power recycling
scheme is proposed. By connecting a closed loop to the LWA on the drain side of the distributed amplifier, we can
obtain gain enhancement compared to the one directly terminated without the loop. Such enhancement fluctuates with respect to the frequency. The phenomenon will be explained theoretically and validated through the
measurement and simulation in this paper.
WE1D-5
0920 – 0940
An Integrated Low Power Transceiver System
B. Li1, T. Salter2, Y. Zhai1, Y. Bo1, X. Shao1, G. Metze2, N. Goldsman1, 1University of Maryland, College Park, United
States, 2Laboratory for Physical Science, College Park, United States
In this paper, an integrated transceiver system has been designed and fabricated. The system integrates an OOK
receiver, a transmitter, RF/DC switches and a voltage regulator in a 2x2 mm2 chip. A low noise amplifier (LNA)
works at sub-threshold range to achieve maximum power efficiency. A Villard voltage doubler circuit have been
used to improve the system sensitivity with near zero power consumption. The system obtains a receiver sensitivity
of -60 dBm with 4mW @ 1.4 V.
WE1E-5
0920 – 0940
Integrative Design of Power Divider and Phase Shifter for Phased Array
X. Lu, Q. Zhu, Y. Luo, University of Science and Technology of China, Hefei, China
A novel feed network for phased arrays is proposed, which integrates functions of power divider and phase shifter
into one structure. The approach can greatly reduce the number of the phase shifters and lower the cost. To simplify
the present approach in the design of more than 3 output ports, an improved design is also proposed. Finally, a
5-way network with central frequency at 12 GHz has been fabricated and measured. The experimental results are
in good agreement with the simulated results.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
35
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
WE1F: 100 Years of Superconductivity (1911-2011) - Existing and Emerging RF Applications of
Superconductivity
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 324-326
Chair: Martin Nisenoff, Nisenoff Associates
Co-Chair: Raafat R. Mansour, University of Waterloo
Superconductivity has many unique properties that can be used to produce RF, microwave and terahertz devices,
circuits and systems with impressive characteristics. The year 2011 marks the 100th anniversary of the discovery
of superconductivity. The discovery of superconductivity has been designated an “IEEE Milestone in Electrical Engineering and Computing”. The year 2011 also marks the 25th anniversary of the discovery of High Temperature
Superconductors (HTS), which can be operated at cryogenic temperatures that are relatively easily achieved. To
commemorate these two anniversaries, this Special Session has been organized to provide an overview of the
history and unique properties of the superconducting state and to provide details on some promising applications
of potential interest to the microwave community. In the initial talk, the history of superconductivity will be reviewed very briefly and the properties of superconductivity that can be exploited in various applications, especially
at microwave and terahertz frequencies will be described. The other talks in this session will address specific
applications including: circuits which permit digital signal processing at frequencies up to and exceeding 40 GHz,
extremely low noise figure detection of microwave and terahertz signals, and superconductor microwave circuits
for read-out in emerging quantum computing applications.
2
0
1
1
0800 – 0940
WE1G: Millimeter Wave Technologies and Components for System Integration
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 327-329
Chair: Debabani Choudhury, Intel
Co-Chair: Kenichi Maruhashi, NEC
The session presents various millimeter wave components for enabling astrophysical, remote sensing and broadband wireless applications. Included are a dual-polarized broadband receiver followed by a W-band image reject
mixer for astronimical applications. A switched beam antenna integrated module with broadband microstrip-toslot transition and an on-chip BPF with patterned ground shields are presented for 60GHz applications. The session
concludes with a CMOS based phase detector to simplify PLL and DLL configurations.
WE1F-1
0800 – 0820
Microwave Superconductivity Part 1: History, Properties and Early Applications
M. Nisenoff, Nisenoff Associates, Minneapolis, United States
The 100th anniversary of superconductivity will be celebrated during 2011. Therefore, a Special Session has been
scheduled for IMS 2011 to highlight the potential impact of superconductivity on electronic technologies, especially in the microwave and terahertz frequencies regimes. In this paper, Part 1, the history, properties and some
early high frequency applications of superconductivity will be reviewed, while in Part 2, current and future applications will be discussed.
WE1G-1
0800 – 0820
Broadband Millimeter Wave Receiver with Dual Polarization
P. Kangaslahti, D. Pukala, D. Hoppe, A. Tanner, T. Gaier, B. Lambrigtsen, Jet Propulsion Laboratory, Pasadena, United
States
Receivers with broad frequency bandwidth and low noise provide improved sensitivity for millimeter wave remote
sensing and astrophysical observations. For the study of the water cycle and rapidly evolving phenomena, such as
hurricanes, the soundings of temperature and humidity profiles of atmosphere are achieved around the resonant
frequencies of 118 GHz (oxygen) and 183 GHz (water) correspondingly. We developed a single horn receiver front
end that covers these frequency bands.
WE1F-2
0820 – 0840
Microwave Superconductivity Part 2: Current and Future applications
M. Nisenoff, Nisenoff Associates, Minneapolis, United States
The 100th anniversary of superconductivity will be celebrated during 2011. A Special Historic Session has been
scheduled for IMS 2011 to highlight the potential impact of superconductivity on electronic technologies, especially in the microwave and terahertz frequencies regimes. In Part 1, an overview of the history, properties and
some early microwave applications of superconductivity were presented. In this paper, Part 2, we will describe
some of the current applications of superconductivity.
WE1G-2
0820 – 0840
A W-Band Image Reject Mixer for Astronomical Observation System
Y. Wu1, S. Lin1, C. Chiong2, Z. Tsai1, H. Wang1, 1National Taiwan University, Taipei, Taiwan, 2Academia Sinica, Taipei,
Taiwan
In this paper, the design of a broadband fundamental mixer using an improved Lange coupler is presented. This
W-band image reject mixer is implemented in 0.15-μm GaAs PHEMT process. This mixer demonstrates measured
conversion loss about 10.5 dB from 90 to 112 GHz and image rejection about 15.9 dB from 82 to 116.5 GHz. All the
characteristics of this mixer facilitate the application in ALMA project.
WE1F-3
0840 – 0900
Superconductor Analog-to-Digital Converters and Their Applications
D. Gupta, A. Inamdar, D. E. Kirichenko, A. M. Kadin, O. A. Mukhanov, HYPRES, Elmsford, United States
Superconductor ADCs offer high sample rates, linearity, and sensitivity. Nyquist-rate ADCs digitize tens of GHz
of instantaneous bandwidth and are useful for wideband spectrum monitoring and high-end instrumentation.
Oversampling ADCs digitize narrower RF bands in the 1-20 GHz range for a variety of communications, intelligence,
electronic warfare, and radar applications. Another application area of these cryogenic ADCs is for outputs of cryogenic sensor arrays and terahertz mixers.
WE1G-3
0840 – 0900
60-GHz Switched-Beam End-fire Antenna Module Integrated with Novel Microstrip-to-Slot Transition
A. L. Amadjikpe1, D. Choudhury2, G. E. Ponchak3, J. Papapolymerou1, 1Georgia Institute of Technology, Atlanta,
United States, 2Intel Corporation, Hillsboro, United States, 3NASA Glenn Research Center, Cleveland, United States
A low profile, switched-beam, end-fire antenna integrated with a novel microstrip-to-slot transition is presented.
The antenna module consists of wire-bonded switch and PA integrated in liquid crystal polymer substrate package.
The proposed transition exploits slow-wave techniques to transform a 50Ω microstrip into a 110Ω slot mode over
55 to 67+ GHz. The 10mm x 10mm active antenna 3dB beamwidth is 55º/68º (E/H plane) in each switched state
with an average 19 dBi peak gain over 55 to 67 GHz.
WE1F-4
0900 – 0920
Dispersive Microwave Readout for Quantum Electrical Circuits
I. Siddiqi, R. Vijayaraghavan, D. Slichter, UC Berkeley, Berkeley, United States
Over the past decade, quantum coherent behavior has been observed in electrical circuits engineered to have discrete, individually addressable energy levels. The basic architecture of a quantum dispersive measurement consisting of a two-level quantum bit coupled to a LC tank circuit is reviewed. Recent progress with this type of readout
has led to the real-time monitoring of a superconducting qubit with the observation of individual quantum jumps
between energy levels.
WE1G-4
0900 – 0920
60-GHz-Band Low-Loss On-Chip Band Pass Filter with Patterned Ground Shields for Millimeter Wave
CMOS SoC
R. Pokharel1, X. Liu2, R. Dong2, A. B. Dayang2, H. Kanaya2, K. Yoshida2, 1Kyushu University, Fukuoka city, Japan,
2
Kyushu University, Fukuoka city, Japan
This paper presents a design of an improved on-chip open-loop-resonator band pass filter (OLR-BPF) for 60 GHz
applications in 0.18 μm CMOS technology. The proposed BPF employs the folded open loop structure on patterned
ground shields. By comparison, the proposed BPF has the center frequency of 59 GHz, insertion loss 2.77 dB, band
width 14 GHz, return loss less than 27.5 dB, and chip size 910×650 μm2.
WE1F-5
0920 – 0940
Superconducting Microwave Resonant Circuits for the Detection of Photons From Microwaves
Through Gamma Rays
K. D. Irwin, J. Gao, G. C. Hilton, J. B. Mates, L. Vale, National Institute of Standards and Technology, Boulder, United
States
Thin-film superconducting microwave resonators play an important role in large superconducting cameras for
applications ranging from cosmology to nuclear non-proliferation. The high quality factor and low loss of these
devices make it possible to couple many resonators to a single feedline, enabling frequency-division multiplexing
of large arrays. We review the use of superconducting microwave resonators for both microwave kinetic inductance
detectors and superconducting transition-edge sensors.
WE1G-5
0920 – 0940
An Amplitude-Based Phase Detector at mm-Wave Frequency Range
F. Aryanfar, C. Werner, Rambus Inc., Sunnyvale, United States
A phase detector (PD) suitable for mm-wave frequency range is presented. It creates and combines quadrature
phase components of the inputs and performs amplitude measurement to assess phase difference between the
inputs. Phase detection is done at the carrier frequency; hence, it can be used in a PLL or DLL to reduce power consumption by eliminating frequency divider(s). The PD was implemented using the TSMC 40nm LP CMOS process.
PD gain is more than 1.5dB/psec over 10GHz BW centered at 38GHz.
Technical Track Key:
36
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
0800 – 0940
WE1H: Memorial Session for Theodore Saad
Wednesday 8 June 2011
Time: 0800 – 0940
Room: 309
Chair: Richard A. Sparks, R&D Technology, Bedford, MA USA
Ted Saad graduated from MIT and joined the MIT Radiation Laboratory, developing radar used in World War II. Ted
applied his talents at the Submarine Signal Co., as VP and Chief Engineer at Microwave Development Labs., and
Sylvania. In 1952, he worked within the IRE, to form a group that is today the MTT Society of IEEE. He co-founded
and edited The Microwave Journal. Ted and others within the Society created a journal, now the Transactions on
MTT. He was the second editor in 1954. In 1955, he was co-founder, President, and Chairman of Sage Laboratories,
which flourished under his leadership. Ted played a key role in starting the Society’s annual Microwave Symposium.
He chaired the AdCom of MTT in 1958, and served as Historian of MTT-S. He was active in IEEE including the Foundation and the History Center,
WE1H-1 0800 – 0820
The Ted Saad Story
A. Winston, Tufts Gordon Institute, Medford, MA, USA and M. J. Cahill, Response Microwave, Inc. Devens, MA USA
The early engineering career of Ted Saad in Boston and the IEEE Foundation are recounted. A major contribution
was the planning and establishment of the ELECTRO Conference held in Boston and New York City. He chaired the
IEEE Life Members Committee from 1994 to 1996, and had a major role on the IEEE Foundation Board. A summary
of Ted’s company activities and impact as the founder of Sage Laboratories, Inc. are recalled over a 36 year period.
WE1H-2 0820 – 0840
Ted Saad and the 1991 RadLab Celebration
P. Staecker, AMP M/A-COM, Lexington, United States
Ted Saad was a successful microwave practitioner, business executive, and publisher. He was a trusted mentor to
many generations of his extended family, his employees, and members of the IEEE. Fifty years from his graduation
date the IEEE MTT-S International Microwave Symposium held its annual event in Boston MA, commemorating the
work and the employees of RadLab. For Ted, the organizational details were a labor of love and meeting old friends;
for the rest of us engaged with preparation for the symposium, it was a chance to meet the heroes of microwave
theory’s first applications, and to realize with pride that Ted was their equal.
WE1H-3 0840 – 0900
Ted Saad’s Contributions to IEEE’s Historical Activities
Michael N. Geselowitz, IEEE History Center, New Brunswick, NJ USA
Ted Saad was a unique individual who was well-known in three areas: a prominent engineer/entrepreneur, an IEEE
volunteer, and as a philanthropist in Boston. His accomplishments and service led him to be named a Life Fellow
of IEEE. His Boston civic activity included leadership roles in Concert Opera Boston and support of MIT. Ted was a
pioneer in promoting and championing the idea that IEEE has a responsibility in preserving the history of IEEE. We
enhance the record by summarizing Ted’s contributions to IEEE’s historical activities.
WE1H-4 0900 – 0920
Glimpses of Ted Saad over 40 years
Richard A. Sparks, R&D Technolgy, Bedford, MA USA
Ted Saad’s presence was felt in many overlapping spheres in the IEEE and, the MTT Society. I knew him for 40 years
in the MTT Society, and also as a family man, as a fellow engineer, and as a dear friend. I recall my earliest days on
the MTT-S AdCom, serving on 5 IMS Committees, traveling with a Study Group to the Peoples Republic of China,
and on social occasions with others. I reflect on some shared moments during his last 2 years, following the death
of his beloved wife, Fi.
WE1H-5 0920 – 0940
Personal Reminiscences
G. Thoren, Draper Laboratory, Cambridge, MA USA, and Joseph F. White, Orleans, MA USA
The true strength of our friend Ted Saad lies beyond the many hours he dedicated to the IEEE and the MTT-S. His
strength was less visible to the industry than to many of us. He had a unique way of nurturing confidence, building character, and seeing the potential in us before we saw it in ourselves. Ted encouraged and supported young
colleagues in their careers. He was a consummate gentleman, appreciated opera and was a loving husband and
father. In another era he would have been called the Renaissance Man.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
37
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1000 – 1140
WE2A: Novel Technologies for Practical Filter Realizations
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 310
Chair: Giuseppe Macchiarella, Politecnico di Milano
Co-Chair: Kawthar A. Zaki, University of Maryland
In this session, some recent achievements in the technological realization of practical filters are presented. Novel
solutions for waveguide-based and superconducting components, as well as integration of printed antennas and
filters, will be shown.
WE2B: Planar and Substrate Integrated Waveguide Techniques
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 314-315
Chair: Reza Mahmoudi, Technical University of Eindhoven
Co-Chair: Barry Spielman, Washington University in St. Louis
This session presents research progress and innovative techniques in connection with planar transmission lines and
substrate integrated waveguides. The first two papers are concerned with novel broadband SIW phase shifters, and
the third paper discusses a dual-band corrugated SIW scheme. The fourth paper deals with CMOS synthetic quasiTEM transmission line techniques and the last paper reports the electrical characterization of a stretchable line.
WE2A-1
1000 – 1020
TM Dual-Mode Filters with Asymmetric Filtering Functions
1
C. Tomassoni , S. Bastioli2, R. Sorrentino1, 1University of Perugia, Perugia, Italy, 2RS Microwave Co Inc., Butler , United
States
This paper presents a novel geometry for the realization of TM dual-mode cavities and filters having asymmetric
filtering characteristics. In contrast to previous works, that are limited to structures with symmetric responses, the
proposed cavity does not employ any intra-coupling elements, while the source and load of the cavity are directly
coupled to both resonant modes. A two-cavity TM dual-mode filter with a pair of transmission zeros below the
pass-band has been designed and manufactured.
WE2B-1
1000 – 1020
Broadband Delay Compensation Phase Shifter Using Slotted Substrate Integrated Waveguide
Structure
Z. Zhang, K. Wu, Y. Wei, Ecole Polytechnique Montreal, Montreal, Canada
This paper presents a broadband fixed delay compensation phase shifter that makes use of non-radiating longitudinal slots in the broad wall of a substrate integrated waveguide. The design concept is built up on the difference
of propagation constants of TE10 mode and quasi-TEM mode, which are the fundamental modes of substrate integrated waveguide and slotted substrate integrated waveguide in our circuit, respectively. The proposed method is
then validated by both theory and experiments.
WE2A-2
1020 – 1040
A Q-band Low-profile Waveguide BRF with Built-in Open-ended λ/2 Microstrip Resonators
S. Yoneda, H. Uchida, M. Tanaka, T. Sasaki, H. Yukawa, Y. Hirano, Mitsubishi Electric Corporation, Kamakura, Japan
A new waveguide band-rejection filter (BRF) is proposed. In the waveguide BRF, two dielectric substrates with
open-ended λ/2 mictostrip resonators are employed, and they are simply inserted in a waveguide. For this simple
configuration, the BRF is low-profile and easy to be fabricated compared with a conventional one, which employs
waveguide cavities outside the waveguide and needs costly machining processes. A Q-band prototype waveguide
BRF is fabricated using alumina substrates and the measured results showed more than 50 dB attenuation at 47
GHz in 2 % fractional bandwidth.
WE2B-2
1020 – 1040
Broadband Phase Shifter using Air Holes in Substrate Integrated Waveguide
I. Boudreau1, K. Wu1, D. Deslandes2, 1Ecole Polytechnique, Montreal, Canada, 2UQAM, Montreal, Canada
This paper presents a novel configuration of a broadband phase shifter designed in Substrate Integrated Waveguide
(SIW). The phase shift mechanism is based on the synthesis of a low-dielectric slab using an array of air holes, in
the middle of the SIW . A simple design technique is proposed where unit cells are simply cascaded. Two different phase shifters are designed and simulated. Finally, an experimental validation at Ka band (26.5 to 40 GHz) is
presented for both circuits.
WE2A-3
1040 – 1100
A Design Technique for Stepped Circular Waveguide Dual-Mode Filters for Broadband Contiguous
Multiplexers
H. Hu1, K. Wu1, R. J. Cameron2, 1The Chinese University of Hong Kong, Hong Kong, Hong Kong, 2Canopus Consultancy,
High Wycombe, United Kingdom
A stepped circular waveguide cavity provides an effective way for shifting or even suppressing unwanted spurious modes in a circular waveguide dual-mode filter. This useful property may be used in a wideband waveguide
contiguous channel output multiplexer in satellite payloads. In this paper, a technique for automatically designing
this kind of filter is presented. A design example of a wideband 17-channel Ku-band contiguous multiplexer with
two SCWDM channel filters is presented.
WE2B-3
1040 – 1100
Corrugated Substrate Integrated Waveguide with Dual Band non-Bragg Resonance
X. Cheng, J. Kim, C. Kim, P. Jao, D. E. Senior, Y. Yoon, University of Florida, Gainesville, United States
A corrugated substrate integrated waveguide (SIW) with dual non-Bragg resonance frequencies is proposed. Two
resonance frequencies at 4.8 GHz and 8 GHz are demonstrated. Theoretical calculation, simulation, and measurement results show good mutual agreement. This kind of non-Bragg resonance is associated with the geometric
configuration of the corrugated SIW.
WE2A-4
1100 – 1120
A Vertical Integration of High-Q Filters with Patch Antennas for Enhanced Bandwidth and High
Efficiency
Y. Yusuf, X. Gong, University of Central Florida, Orlando, United States
A new approach to integrate high quality(Q)-factor 3-D vertical cavity filters with patch antennas into a single
unit is presented in this paper. This integrated filter/antenna system exhibits small footprint, high efficiency, and
enhanced bandwidth. The patch antenna is designed to provide an additional transmission pole for the filtering
function and works as a highly efficient radiator. In addition, this integrated patch antenna with filter exhibits an
enhanced bandwidth.
WE2B-4
1100 – 1120
Synthetic Quasi-TEM Transmission Lines with Dummy Fills for CMOS Miniaturized Microwave
Integrated Circuit
C. Wang, H. Wu, C. C. Tzuang, National Taiwan University, Taipei, Taiwan
This paper reports a synthetic transmission line with dummy fills in the CMOS 0.13 um process. The synthetic TL
consists of a single trace and a mesh ground plane. The dummy metals are beneath the signal trace. The measured
results show this TL with the dummy fills can synthesize the characteristic impedance from 35 to 70 ohm without
degrading the Q-factor. The K-band CMOS amplifier incorporating this TL demonstrates its miniaturization, and
confirms the neglected impacts on the performances.
WE2A-5
1120 – 1140
Narrow-Band Superconducting Hybrid Filter for 100kW Transmitter of X-Band Radar Application
H. Kayano, T. Kawaguchi, N. Shiokawa, K. Nakayama, M. Yamazaki, Toshiba Corporation, Kawasaki, Japan
We have proposed a narrow-band transmitting hybrid filter for use on the output of a 100 kW klystron amplifier
for X-band radar application. A transmitting hybrid filter consists of superconducting resonators and conventional
cavities. Compatibility of the high power handling capability and the sharp skirt feature is possible by this filter.
As a result, power handling capability for the hybrid filter was obtained at 100 kW. Also, this filter had a fractional
bandwidth of 0.055%.
WE2B-5
1120 – 1140
Electrical Characterization of Differential Stretchable Transmission Line
J. Jeon1, S. Kim1, J. Koo2, S. Hong2, Y. Moon2, S. Jung1, B. Kim1, 1Sungkyunkwan University, Suwon, Republic of Korea,
2
Samsung Electronics, Suwon, Republic of Korea
This paper presents the design and characterization of differential stretchable transmission line. The transmission
line is embedded in a low-cost polyurethane thin film which has excellent stretchability, and the copper pattern is
an optimized zigzag shape to allow stretch while maintaining mechanical reliability. The electrical characteristics
were measured as the line stretches by using a 4-port network analyzer. Measured differential mode characteristic
impedance is 94 Ω at the normal length.
Technical Track Key:
38
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
WE2C: Recent Developments in Photonics for RF Front-Ends
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 316-317
Chair: Thomas Clark, JHU Applied Physics Laboratory
Co-Chair: Rod Waterhouse, Pharad, LLC
Photonic devices and subsystems have been envisioned as enabling technologies for the generation, transmission,
detection, processing, and control of microwave signals in future advanced systems for many years. Inefficiencies
in the conversion from the microwave to optical domain and back, as well as added noise and distortion at these
interfaces, have been key impediments to the full realization of the benefits of photonics. Recent developments
have demonstrated great promise for the near term application of photonic subsystems in RF front-ends. This Focus
Session will present some of these key developments and illustrate why the field of microwave photonics will
continue grow and impact a diverse set of microwave applications.
P R O G R A M
1000 – 1140
WE2D: Power Amplification Enhancement Techniques for Advanced Wireless Communication
Systems
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 318-320
Chair: Mohamed Megahed, Intel Corportation
Co-Chair: Vijay Nair, Intel Corportation
This session describes novel power amplifier architectures and advanced techniques for PA linearity enhancement.
The first paper discusses an optimized multi-level LINC approach. It will be followed by papers that employ other
techniques like digital predistortion and peak-to-average power ratio reduction.
WE2C-1
1000 – 1020
Fiber-Optic Links with All-Photonic RF Gain and Low RF Noise Figure
V. J. Urick, J. D. McKinney, J. F. Diehl, K. J. Williams, Naval Research Laboratory, Washington, United States
State-of-the-art analog fiber-optic links exhibiting electronic power gain and/or electronic noise figure less than
20 dB at frequencies higher than 1 GHz are demonstrated and reviewed.
WE2D-1
1000 – 1020
900 MHz Pulse-Width-Modulated Class-S Power Amplifier with Improved Linearity
S. Maier1, D. Wiegner1, M. Zierdt3, U. Seyfried1, W. Kuebart1, C. Haslach1, A. Pascht1, S. Maroldt2, R. Quay2, 1AlcatelLucent Bell Labs Germany, Stuttgart, Germany, 2Fraunhofer Institute Applied Solid-State Physics (IAF), Freiburg,
Germany, 3Alcatel-Lucent Bell Labs USA, Murray Hill, United States
This paper presents a novel 900 MHz pulse-width-modulated current-mode class S power amplifier (PA). The complete class-S amplifier is characterized using clipped WCDMA signals. For the 5 MHz signal, the measured spectrum
at the amplifier’s output shows good EVM of 12% (approx. 1.5% degradation assumed for class-S PA) and also
meets the 3rd Generation Partnership Project adjacent channel leakage ratio (3GPP ACLR) requirements within
9 dB input power range. The capability to support large signal bandwidths is demonstrated, using an 80 MHz
WCDMA signal.
WE2C-2
1020 – 1040
Photonic Downconversion and Microwave to Digital Subsystems
T. R. Clark, M. L. Dennis, P. T. Callahan, JHU Applied Physics Laboratory, Laurel, United States
Frequency downconversion is an essential part of many rf systems and is particularly important in microwaveto-digital systems. In this paper, system design issues are discussed to take full advantage of recently available
photonic technology including photonic downconversion and downsampling. These concepts are discussed and
demonstrated within the context of two promising photonic microwave to digital architectures.
WE2D-2
1020 – 1040
Lowpass Delta-Sigma Modulator with Digital Upconversion for Switching-Mode Power Amplifiers
B. T. Thiel, A. Özmert, J. Guan, R. Negra, RWTH Aachen University, Aachen, Germany
A lowpass (LP) ∆Σ based transmitter frontend suitable for software defined radios (SDR) is presented. ∆Σ modulation is utilised to generate an RF signal suitable for driving highly efficient switching-mode power amplifiers. The
whole frontend is implemented in the digital domain up to the upconversion. Two implementations methods for
LP-∆Σ-modulator-based transmitter frontends are described. A circuit design in a 90 nm CMOS process and a discrete implementation utilizing a field-programmable gate array (FPGA) are discussed.
WE2C-3
1040 – 1100
Photonics for Simultaneous Transmit and Receive
C. H. Cox III, E. I. Ackerman, Photonic Systems, Inc., Billerica, United States
Simultaneous transmit and receive (STAR) capability is enabled by the recent development of a transmit-isolating
photonic receive (TIPRx) link that functions both as the first-stage LNA in the receive front end and as an RF circulator, enabling a bidirectional interface to an antenna while isolating the receive chain from the transmit signal by 40
dB over bandwidths spanning multiple decades. This paper describes the TIPRx and issues involved in implementing a single-aperture STAR system.
WE2D-3
1040 – 1100
Digital Predistortion Using Quadrature Delta-Sigma Modulation with Fast Adaptation for WLAN
Power Amplifiers
S. Chung, J. L. Dawson, Massachusetts Institute of Technology, Cambridge, United States
A low-power, low-complexity adaptive digital predistortion system is presented for linearizing WLAN PAs. Secondorder quadrature delta-sigma modulation is employed with phase alignment and gain normalization for maintaining modulator stability. An experimental system linearizes a 2.4-GHz PA transmitting a 20.2-dBm WLAN signal
with 10-MHz bandwidth. After 523-millisecond background adaptation, the experimental predistortion system
improves the EVM of the unlinearized PA from 5.90% to 0.96%.
WE2C-4
1100 – 1120
Integrated Antenna/Electro-Optic Modulator for RF Photonic Front-Ends
R. B. Waterhouse, D. Novak, Pharad, LLC, Glen Burnie, United States
We present an efficient, integrated antenna/electro-optic modulator assembly for RF photonic front-ends in
phased array applications. The integrated radiator/photonic device incorporates a non-contact fed stacked patch
antenna that has been designed to radiate efficiently between 9 – 11 GHz and is easily coupled to the Lithium Niobate Mach-Zehnder modulator, requiring minimal modification to the electrode structure of this photonic device.
WE2D-4
1100 – 1120
Peak-to-Average Power Ratio Reduction Versus Digital Pre-distortion in OFDM-based Systems
C. Nader1, P. N. Landin1, W. Van Moer3, N. Björsell1, P. Händel2, M. Isaksson1, 1 University of Gävle , Gävle, Sweden,
2
Royal Institute of Technology, Stockholm, Sweden, 3Vrije Universiteit Brussel, Brussels, Belgium
In this paper we evaluate the effect of applying peak-to-average power ratio (PAPR) reduction and digital predistortion (DPD) on RF power amplifiers when an OFDM signal is used. The cases where PAPR and DPD are applied
separately and combined, are studied and investigated. Good performance is shown when combining both preprocessing techniques up to a certain operating point where DPD performance deteriorates. Solutions to improve
the DPD performance at strong compression are suggested.
WE2C-5
1120 – 1140
On the Development of Photonic RF Oscillators and Resonant Electro-Optic Modulators for Advanced
RF Front-End Applications
L. Maleki, J. Byrd, A. Savchenkov, W. Liang, V. Ilchenko, D. Seidel, A. Matsko, OEwaves Inc., Pasadena, United States
We present our recent results on the development of low-noise photonic RF oscillators as well as single sideband
electro-optic modulators/mixers enabling novel photonic transmitters and receivers suitable for microwave applications, including phased array systems.
WE2D-5
1120 – 1140
A Highly Efficient 1.95-GHz, 18-W Asymmetric Multilevel Outphasing Transmitter for Wideband
Applications
P. A. Godoy, S. Chung, T. W. Barton, D. J. Perreault, J. L. Dawson, Massachusetts Institute of Technology, Cambridge,
United States
A 1.95-GHz asymmetric multilevel outphasing (AMO) transmitter (TX) with class-E GaN PAs and discrete supply
modulators is presented. A 4-level supply modulator is implemented for fast and efficient discrete envelope
modulation with up to 28-V supply voltages. The AMO TX delivers 42.6-dBm peak output power with 62.5% PAE.
For a 16-QAM signal at 36-dBm output power, the TX achieves 44.2/42.8/41.4% average system efficiency and
2.0/2.1/3.1% EVM for 10/20/40-MHz channel bandwidth, respectively.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
39
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1000 – 1140
WE2E: Array and Module Integration
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 321-323
Chair: Nathan Bushyager, Northrop Grumman
Co-Chair: Glenn Hopkins, GTRI
This session presents advances in device and packaging technologies which impact T/R module design and array
front-end integration.
WE2F: Retrospective and Outlook of Computational Microwave Engineering
Wednesday 8 June 2011
Time: 1000 – 1140 Room: 324-326
Chair: Poman So, University of Victoria
Co-Chair: Zhizhang (David) Chen, Dalhousie University
This Focus Session describes the evolution of time domain models of electromagnetic fields in response to the
requirements of new and emerging technologies in the RF to optical range of the electromagnetic spectrum. The
goal is assess the state-of-the-art in time domain modeling and to re-trace its evolution over the past thirty years
in response to new technological developments, both in terms of novel applications and steadily growing computational resources. During their presentations, the session speakers will also highlight the numerous contributions
of Professor Wolfgang J. R. Hoefer to the field of computational microwave engineering on the occasion of his
70th birthday.
WE2E-1
1000 – 1020
Compact Folded Feed Network Development for a Ku-Band DBS Slotted SIW Array Antennas
S. Yang, A. E. Fathy, University of Tennessee, Knoxville, United States
Substrate integrated waveguides technology has been implemented to develop a compact feed network for a slot
antenna array. Various transitions design rules have been developed and implemented including compact “T” and
“Y” junctions, layer to layer couplers, and a wide band CPWG to SIW transition. The feed network is optimized to
have a low overall insertion loss while supporting the required bandwidth through optimizing the dimension of
junctions on each stage.
WE2F-1
1000 – 1010
The Fundamental Importance of Dynamic Modeling of Electromagnetic Fields in Space and Time
W. J. Hoefer, Institute of High Performance Computing, Singapore, Singapore
Frequency domain or time-harmonic models are ubiquitous in microwave engineering because they are elegant,
familiar and appropriate for many practical applications. However, they break down in highly nonlinear scenarios
or in situations where the steady state can never be reached. In this paper, three examples illustrate the importance
of using time domain approaches in such cases to preserve causality and to avoid drawing conclusions that conflict
with the laws of Physics.
WE2E-2
1020 – 1040
Low Cost 60 GHz RF Front End Transceiver Integrated on Organic Substrate
1
W. T. Khan , S. Bhattacharya1, C. Patterson1, G. E. Ponchak2, J. Papapolymerou1, 1Georgia Institute of Technology,
Atlanta, United States, 2NASA, Cleveland, United States
This paper presents, for the first time, a low cost, 60 GHz, RF front-end Tx/Rx integrated with a Yagi-Uda antenna array on organic Liquid Crystal Polymer substrate. The Tx/Rx module consists of a GaAs LNA, PA and a Single Pole Double Throw (SPDT) switch wirebonded on a single, multilayer substrate. The radiation pattern measurements were
conducted and showed an active antenna gain of 31.8 dB for the receiver and 21.6 dB of gain for the transmitter.
WE2F-2
1010 – 1030
Transmission Line Matrix (TLM) and Network Methods Applied to Electromagnetic Field Computation
P. Russer, J. A. Russer, Technical University Munich, Munich, Germany
The Transmission Line Matrix (TLM) method provides a complete representation of complex electrodynamics by
topological network structures. The Transmission Line Segment Circuit (TLSC) provides an extension and generalization of the TLM method, allowing also to include compact lumped element circuit models in TLM modeling. We
discuss the application of space-discrete models and network concepts in electromagnetic field theory.
WE2E-3
1040 – 1100
1,25 kW S Band Pulsed Transmit/Receive Module For Microwave Tube Amplifier Replacement
B. Sen1, M. Kayhan1, H. Boran1, N. Bilgin2, 1Aselsan A.S., Ankara, Turkey, 2Iztek A.S., Izmir, Turkey
This paper presents the design and development of a high power S band (2.9-3.3 GHz) Transmit/Receive (T/R)
module prototype. The motivation behind this development is to increase reliability and maintainability of modern
radar systems by replacing microwave tube amplifiers with solid state counterparts. 1.25kW peak output power
with a pulse width of 40 usec at a duty of %10 is achieved by combining 8 pallet amplifiers with a low loss, equal
phase rf power combiner.
WE2F-3
1030 – 1050
Multi-Resolution Time-Domain and Level-Set Techniques for Multi-Domain/Multi-physics/MultiPhase Simulations
A. Traille, M. M. Tentzeris, Georgia Tech, Atlanta, United States
In this paper, we present techniques for the modeling of multi-domain/multi-physics and multi-phase high-frequency problems. The deployment of Multiresolution time-domain principles and of level-set based multi-phase
simulation leads to a new generation of computationally efficient tools that could potentially bridge the gap
between Maxwell, solid-state and solid/liquid-interface equations. Numerous examples will be presented at the
conference verifying the potential of the above approaches
WE2E-4
1100 – 1120
A Lightweight, 64-element, Organic Phased Array with Integrated Transmit-Receive SiGe Circuitry
in the X Band
C. A. Donado Morcillo1, C. E. Patterson1, T. K. Thrivikraman1, B. Lacroix1, B. Wilson2, B. Hudson2, C. T. Coen1, C. H.
Poh1, T. Heath2, J. D. Cressler1, J. Papapolymerou1, 1Georgia Institute of Technology, Atlanta, United States, 2Georgia
Tech Research Institute, Smyrna, United States
For the first time, a transmit-receive (TR), 64-element phased array driven by Silicon Germanium (SiGe) integrated
circuits and implemented on organic substrates is demonstrated at 9.5GHz. The array was built on a Duroid/liquid
crystal polymer substrate stack-up. In addition, micro-electromechanical switches allow a toggled TR operation.
Measurements showed an average TR bandwidth of 2.55 GHz, a TR beam-steering range of ±26°, a receive gain of
27.28 dB and an estimated output power of 41.33 dBm.
WE2F-4
1050 – 1110
Electromagnetics-Based CAD and Optimization of Microwave Circuits Exploiting Time-Domain
Techniques
J. W. Bandler1, Q. S. Cheng1, M. H. Bakr1, N. K. Nikolova1, S. Koziel2, 1McMaster University, Hamilton, Canada,
2
Reykjavik University, Reykjavik, Iceland
We review work relevant to the area covered by the transmission line matrix (TLM) method, including historical TLM developments and design parameter sensitivity analysis for time-domain simulations. We consider TLM
sensitivity analysis, compare it with the finite difference approach, and demonstrate its use in accelerating design
optimization. We discuss ways of using space-mapping concepts for modeling and design that exploit the TLM
time-domain EM simulator MEFiSTo as a fine model evaluator.
WE2E-5
1120 – 1130
Multiple Feed per Beam Networks for Ka-Band Satellite Communication Systems in LTCC Technology
T. Klein1, C. Guenner1, J. Kassner1, R. Kulke1, H. Wolf2, 1IMST GmbH, Kamp-Lintfort, Germany, 2EADS Astrium GmbH,
Taufkirchen, Germany
Modern communication satellites need to cover very large service areas with local variations in required transmission capacity. To allow for efficient frequency re-use and bandwidth to local beam allocation a Multiple Feed per
Beam (MFB) concept with a four color topology may be used to illuminate the reflector antenna. In this paper a
scalable and size-efficient LTCC power divider network is presented, that will form the basis of a MFB network,
which enables the use of a single reflector antenna for all four colors. Thus, the payload of the satellite is significantly reduced.
WE2F-5
1110 – 1130
Modeling of the Electromagnetic/Coherent Transport Problem in Nano-structured Materials, Devices
and Systems Using Combined TLM-FDTD techniques
L. Pierantoni, D. Mencarelli, T. Rozzi, Università Politecnica delle Marche, Ancona, Italy
The advancement of nanoelectronics depends on the progress of technology, but it also relies on the understanding
of multiphysics phenomena at the nanoscale. We present a full-wave technique aimed at modeling the combined
electromagnetic/coherent transport problem in graphene structures. The quantum transport is described by the
Dirac equation, providing a pseudo-spinor solution for the wave-function. We show the dynamics of a charge
wavepacket in a graphene nanoribbon transistor environment
WE2E-6
1130 – 1140
Delay Control in Wide Band Front-End Components for Multi-role/Multi-domain Phased-Array
Systems
D. Carosi, F. Cesarotti, L. Lasaponara, L. Marescialli, S. Rapisarda, SELEX Sistemi Integrati S.p.A., Rome, Italy
Within the framework of a bilateral research program between Italy and Sweden MoDs (M-AESA), one of the main
objectives was the feasibility in terms of design dominance of analog Time Delay control in an AESA Front-End.
In this paper, a solution is presented, which foresees the integration of the needed time delay both inside the
transmit-receive modules, (TRMs), and inside the RF Beam Forming Network.
WE2F-6
1130 – 1140
Transmission Line Matrix Modeling Algorithms for Heterogeneous Computing Hardware
P. P. So, University of Victoria, Victoria, Canada
Heterogeneous computing hardware consists of multi-core central processors and high-performance general
purpose graphic processing units that are making their way into the world of the personal super-computer. This
paper describes the techniques for harnessing the processing power of the emerging heterogeneous computing
hardware and applies techniques to implement efficient transmission-line-matrix-method algorithms.
Technical Track Key:
40
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1000 – 1140
WE2G: THz Technologies and Applications
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 327-329
Chair: H. Alfred Hung, Army Research Lab.
Co-Chair: Jae-Sung Rieh, Korea University
In this session, recent advances in THz integrated circuits will be presented along with an on-wafer probing technology that enables on-wafer device and circuit characterizations. THz detectors for imaging and gas-sensing applications will also be presented.
WE2H: Memorial Session for Roger Sudbury
Wednesday 8 June 2011
Time: 1000 – 1140
Room: 309
Chair: Greg Lyons, MIT Lincoln Laboratory
Co-Chair: Mark Gouker, MIT Lincoln Laboratory
Roger Sudbury was a selfless and effective leader in both the MTT-Society and IEEE. In addition to serving as MTT-S
President and IEEE Division Director, he was instrumental in bringing innovation to the IMS, most notably in his
behind the scenes organizational skills and accomplishments. This Special Session will address Roger’s contributions to the society, to IEEE, and to the technical community. Speakers include colleagues from the efforts that
Roger led to establish microwave and millimeter wave integrated circuits as a viable system integration technology
in the 1980’s, colleagues from the radar systems community who worked with Roger both professionally and as
volunteers to forward this technology, colleagues from Society and IEEE leadership who worked closely with Roger
on administrative issues in these volunteer organizations, and family members.
WE2G-1
1000 – 1020
A 300 GHz PLL in an InP HBT Technology
1
M. Seo , M. Urteaga1, M. Rodwell2, M. Choe1, 1Teledyne Scientific Company, Thousand Oaks, United States, 2University of California, Santa Barbara, Santa Barbara, United States
We present a 300 GHz fundamental PLL, based on a 300 GHz VCO, 2:1 dynamic frequency divider, 5th-order subharmonic phase detector, and active loop filter, fabricated in an InP HBT technology. The PLL achieves locking from
300.76 to 301.12 GHz, with -23 dBm of output power and -78 dBc/Hz of phase noise at a 100 KHz offset, while
consuming 301.6 mW. The PLL occupies 0.84 mm2 including pads. This work represents the highest frequency PLL
reported thus far, 2x to 3x faster than previously reported PLLs.
WE2H-1
1000 – 1020
Roger Sudbury and the Vision for Phased Arrays
W. P. Delaney, MIT Lincoln Laboratory, Lexington, United States
This talk will focus on the earliest vision for phased array antennas and Roger Sudbury’s contribution to the development of the field. The talk will also include an overview of Roger’s contributions to the management and
leadership of MIT Lincoln Laboratory. Roger started at Lincoln Laboratory as a technical staff member researching solid-state devices for modern radars to become the Laboratory’s executive officer, serving within the Lincoln
Laboratory Director’s Office.
WE2G-2
1020 – 1040
On-Wafer Measurements of S-MMIC Amplifiers from 400-500 GHz
L. A. Samoska1, A. Fung1, D. Pukala1, P. Kangaslahti1, R. Lai2, S. Sarkozy2, X. B. Mei2, G. Boll3, 1Jet Propulsion Laboratory, California Institute of Technology, Pasadena, United States, 2Northrop Grumman Corporation, Redondo Beach,
United States, 3GGB Industries, Naples, United States
In this paper, we describe the design, simulation, and on-wafer measurements of Submillimeter-wave Monolithic
Integrated Circuit (S-MMIC) amplifiers having gain in the 400-500 GHz range. The amplifiers have been fabricated
by Northrop Grumman Corporation’s (NGC) 35-nm InP high electron mobility transistor (HEMT) process. We measured approximately 10 dB of gain at 474 GHz for a three-stage amplifier, with over 9 dB of gain at 490 GHz.
WE2H-2
1020 – 1030
Millimeterwave MMICs: The Early Days with Roger Sudbury
A. Gopinath, University of Minnesota, Minneapolis, United States
Roger Sudbury was involved in the early development of millimeterwave (MMW) monolithic microwave integrated circuits (MMICs). Roger played a leadership role in a group at MIT Lincoln Laboratory developing the first millimeterwave MMICs. Technologies and personalities will be recalled from these exciting early days of MMW MMICs.
WE2G-3
1040 – 1100
Terahertz Micromachined On-wafer Probes: Repeatability and Robustness
L. Chen1, C. Zhang1, T. J. Reck1, C. Groppil2, A. Arsenovic1, A. Lichtenberger1, R. M. Weikle1, N. S. Barker1, 1University
of Virginia, Charlottesville, United States, 2Arizona State University, Tempe, United States
Although progress has been made in the development of submillimeter-wave monolithic integrated circuits, the
evaluation of these circuits still relies on test fixtures, which makes testing expensive and time consuming. Based
on a W-band prototype, a micromachined on-wafer probe covering frequencies 500-750 GHz is built to simplify
submillimeter-wave integrated circuits testing. This paper demonstrates the repeatability and the robustness of
this terahertz micromachined on-wafer probe.
WE2H-3
1030 – 1050
Recalling Professional Interactions with Roger Sudbury
W. Gelnovatch1, D. McQuiddy2, 1U.S. Army Signal Corps (retired), Fort Monmouth, United States, 2Triquint Semiconductor, United States
This talk will recall professional interactions with Roger Sudbury from the perspective of Walt Gelnovatch and Dave
McQuiddy during their oversight and development of phased array antenna T/R modules and monolithic microwave integrated circuits (MMICs).
WE2G-4
1100 – 1120
A Broadband 0.6 to 1 THz CMOS Imaging Detector with an Integrated Lens
R. Al Hadi1, H. Sherry1, J. Grzyb1, N. Baktash1, Y. Zhao1, E. Oejefors1, A. Kaiser3, A. Cathelin2, U. R. Pfeiffer1, 1University of Wuppertal, Wuppertal, Germany, 2STMicroelectronics, Crolles, France, 3 ISEN, Lille , France
This paper presents a lens-integrated terahertz imaging detector implemented in a 65 nm bulk CMOS process
technology. The back-side illumination through a silicon lens increases the imaging SNR by 7-15 dB. The broadband
detector design has been verified from 0.6 to 1 THz. At 1 THz the circuit achieves a noise equivalent power (NEP) of
66 pW/sqrt(Hz) and a responsivity (Rv) of 800 V/W for back-side illumination. The first 1 THz CMOS active imaging
results with a lens are presented.
WE2H-4
1050 – 1110
Family Perspectives on Roger Sudbury
D. Sudbury1, A. Sudbury2, 1Sr. Vice Pres. (retired), Commercial Metals Company, Dallas, United States, 2Abine,
Cambridge, United States
Roger’s younger brother, David Sudbury, and his son, Andrew Sudbury, will present family perspectives of Roger
W. Sudbury from Roger’s early days in Blytheville, Arkansas to the life and times with a dedicated IEEE MTT-S
volunteer.
WE2G-5
1120 – 1140
Remote gas sensing in full-scale fire with sub-terahertz waves
N. Shimizu1, T. Ikari 2, K. Kikuchi 3, K. Matsuyama4, A. Wakatsuki5, S. Kohjiro3, R. Fukasawa2, 1NTT, Atsugi-shi, Japan,
2
Spectra Design Corporation, Ohtawara-shi, Japan, 3National Institute of Advanced Industrial Science and Technology,
Tsukuba-shi, Japan, 4Tokyo University of Science, Noda-shi, Japan, 5NTT, Atsugi-shi, Japan
The performance of a remote gas sensor based on a continuous-wave sub-terahertz spectrometer with an operation bandwidth between 200 and 500 GHz was investigated in a full-scale fire. The spectra of smoke show the
existence of hydrogen cyanide (HCN) and water molecules, which were generated due to the combustion of a foam
urethane block. The HCN content estimated from the absorption intensity agrees quite well with that obtained
from the chemical analysis of gases sampled from the fire site.
WE2H-5
1110 – 1120
Recollections of Roger Sudbury
E. Cohen, EBCO Technology Advising, North Potomac, United States
This personal recollection will describe Roger’s assistance to Eliot Cohen in the development of MICs and MMICs.
Then the talk will focus on Roger’s volunteer activities with the IEEE, including contributions to the Microwave and
Millimeter-Wave Monolithic Integrated Circuit Conference and the MTT-S AdCom.
WE2H-6
1120 – 1140
In Memory of Roger W. Sudbury
P. W. Staecker, AMP M/A-Com (retired), Lexington, United States
This talk will continue the discussion of Roger’s contributions as a volunteer leader within the MTT Society as well
as for all of Technical Activities within IEEE. Roger’s wisdom, honesty, and energy were widely respected by all
who worked with him.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
41
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
2
INTERACTIVE FORUM
0
1
1
1200 – 1400
Wednesday 8 June 2011 Interactive Forum
Room: Hall A
Chair: Chi Wang, Orbital Sciences Corporation
Co-Chair: Sanghoon Shin, Naval Research Laboratory
WEPA
WEPC
WEPA-1
1200 – 1400
Metamaterials, Gamma-Ray Bursts, Quantum Gravity, and the Search for the Missing Half of the
Maxwell Equations
T. P. Weldon, R. S. Adams, K. Daneshvar, R. K. Mulagada, University of North Carolina at Charlotte, Charlotte, United
States
Although microwave metamaterials may seem unrelated to gamma-ray astrophysics, it is shown that both phenomena may be expressed using left-handed extensions to Maxwell’s equations. Such extensions represent lefthanded behavior in metamaterials and represent unknown dispersive processes in gamma-ray bursts. Recent quadratic gamma-ray dispersion models lead to modified Helmholtz equations, and result in left-handed extensions
to Maxwell’s equations that are identical to metamaterial models.
WEPC-1
1200 – 1400
Synthesis of Hecken-Tapered Microstrip to Parallel-Strip Baluns for UHF Frequency Band
P. Carro, J. de Mingo, P. García-Ducar, C. Sanchez-Perez, University of Zaragoza, Zaragoza, Spain
Microstrip to parallel-strip transitions are frequently used for feeding balanced antenna structures, such as dipoles
and printed spiral antennas. In this paper, we propose an analytical method to compute the gradual taper using
a Hecken approach to minimize the return losses. The proposed method is verified experimentally with the aid of
three transitions including matching capabilities with different ratios, suitable for spiral antenna structures in the
ranges from 450 MHz to 2 GHz.
WEPB
WEPC-2
1200 – 1400
A Design Methodology for the Realization of Multi-Decade Baluns at Microwave Frequencies
R. M. Smith, S. C. Cripps, P. J. Tasker, J. Benedikt, J. Lees, Cardiff University, Cardiff, United Kingdom
A new methodology is presented for designing baluns exhibiting multi-decade bandwidths at microwave frequencies. Simulations show that resistors terminating the outer transmission line suppress the half-wavelength resonance and greatly extend the bandwidth. Using linear measurements at microwave frequencies, ferrite beads have
been shown to behave as resistors with a small reactance, suitable for terminating the outer transmission line.
WEPB-1
1200 – 1400
Time-Domain Modeling of Nonlinear Pulse Propagation with an Extended Stability Spatially Filtered
FDTD Method
C. D. Sarris, D. Li, University of Toronto, Toronto, Canada
Nonlinear pulse propagation studies for microwave and photonics applications are known to present large computational load to conventional simulators. Therefore, there is a need for innovating existing algorithms for nonlinear
problems, especially FDTD. To this end, a numerical methodology based on a spatially filtered FDTD technique is
presented. It is demonstrated that the method is significantly faster than the standard nonlinear FDTD, maintaining its level of accuracy.
WEPB-2
1200 – 1400
A Hybrid FDTD and Leapfrog ADI-FDTD Method with PML Implementation
F. Jolani, Y. Yu, Z. D. Chen, Dalhousie University, Halifax, Canada
In this paper, a hybrid FDTD and leapfrog alternating-direction-implicit finite-difference time-domain (ADI-FDTD)
method is presented. The perfectly matched layer (PML) absorbing boundary conditions are also incorporated in
the method and non-uniform grids are deployed to efficiently model electromagnetic radiation and scattering in
open domains. In the method, a single relatively large time step can be used uniformly over a complete solution
domain; this yields a significant CPU time reduction.
WEPD
WEPD-1
1200 – 1400
Compact Dual-Mode Four-Port Network with Quadrature Coupling and Direct-Thru Transmission in
Each of the Individual Bands
J. Tsai, T. Ma, National Taiwan University of Science and Technology, Taipei, Taiwan
By utilizing quasi-lumped LC tanks, a new synthesized microstrip line is proposed. Differing from its conventional
counterparts, this design shows open-circuit input impedance at its attenuation pole; it isolates the synthesized
line from other signal paths. A compact dual-mode four-port network, having quadrature coupling and direct-thru
transmission in each of the two bands, is developed. The design method, circuit layouts, and experimental results
are discussed carefully in this paper.
WEPB-3
1200 – 1400
Electromagnetic Time Domain Modeling Using an Improved Meshless Method
H. Razmjoo, M. Movahhedi, A. Hakimi, Shahid Bahonar University of Kerman, Kerman, Iran
In this paper, a modified meshless method is extended to time-domain electromagnetic modeling. The time derivatives are still tackled with the customary explicit leapfrog time scheme. In the space domain, the fields at the
collocation points are expanded into a series of new Shepard functions and are treated with a meshless method
procedure. In comparison with the traditional schemes of the meshless methods, this approximation function has
lower computational cost with same level of accuracy.
WEPD-2
1200 – 1400
A Novel Unequal Dual-band Gysel Power Divider
Z. Sun, L. Zhang, Y. Yan, Institute of Microelectronics of Chinese Academy of Sciences, Beijing, China
This paper presents a novel dual-band unequal Gysel power divider. To obtain the unequal property, branch lines of
different characteristic impedances attached with a short-stub and an open-stub are needed. It maintains the Gysel
divider’s high power handling advantage over a Wilkinson divider. Experimental results show that good matching,
isolation and power transmission can be fulfilled simultaneously in two frequency bands.
WEPB-5
1200 – 1400
The Convolutional Perfectly Matched Layer (CPML) for the Leapfrog ADI-FDTD method
S. Yang1, Y. Yu2, Z. Chen2, W. Yin1, 1Centre for Optical and Electromagnetic Research, Zhejiang University, Hangzhou,
China, 2Dalhousie University, Halifax, Canada
In this paper, the convolutional perfectly matched layer (CPML) is modified and implemented for the leapfrog
alternately-direction-implicit finite-difference time-domain (ADI-FDTD) method. The effectiveness of the CPML is
compared with the CPML implemented with the conventional FDTD method and the original ADI-FDTD method.
With the proposed CPML, a bowtie antenna is successfully computed. The results show that the proposed CPML
implementation is effective.
WEPD-3
1200 – 1400
Adopting the Broadside Coupled Line for the Design of an Impedance Transformer
M. Chen1, C. Tang2, T. Hou1, J. Wu2, 1National Chung Cheng University, Chia-Yi, Taiwan, 2National Chung Cheng
University, Chia-Yi, Taiwan
A compact impedance transformer with a broadside coupled line is provided in this paper. With the assistant of
the proposed broadside coupled line, the electrical length of the impedance transformer would be smaller than a
quarter wavelength. In addition, analytic equations for the design of complex impedance transformer are derived;
the optimal characteristic impedance and transformation ratio for successful power transfer are calculated as well.
Technical Track Key:
42
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
P R O G R A M
INTERACTIVE FORUM
1200 – 1400
Wednesday 8 June 2011 Interactive Forum
Room: Hall A
Chair: Chi Wang, Orbital Sciences Corporation
Co-Chair: Sanghoon Shin, Naval Research Laboratory
WEPD-4
1200 – 1400
Compact High-Q, Low-Loss mmW Transmission Lines and Power Splitters in RF CMOS Technology
A. Franc1, E. Pistono1, N. Corrao1, D. Gloria2, P. Ferrari1, 1IMEP-LaHC, Grenoble, France, 2STMicroelectronics, Crolles,
France
Slow-wave transmission lines designed in the BiCMOS9-MW technology are characterized up to 110 GHz. Stateof-the-art measured quality factors are obtained. These transmission lines are also quite compact thanks to a
high slow-wave factor. Two power dividers using these S-CPW are designed at mmW frequencies. The measured
insertion losses are lower than -3.5 dB and return loss better than -20 dB. Moreover the use of S-CPW leads to a
miniaturization of 70 % compared to a classical design.
WEPE-2
1200 – 1400
Enhanced Electromagnetic Modeling of Bulk Acoustic Wave Resonators and Filters
N. Selimovic1, B. Bader2, J. Kiwitt2, M. Pitschi2, T. Eibert1, 1Technische Universität München, Munich, Germany, 2TDKEPC Corporation, Munich, Germany
This paper presents different enhanced electromagnetic models of mirror-type BAW resonators that are simulated
with a 3D electromagnetic solver, whereas the acoustic effects are computed with a 1D solver. Further, the effects
of the electromagnetic mesh-cell-density in simulations for the different resonator models are analyzed. The simulations of BAW resonators and duplexers can be improved by appropriate electromagnetic modeling and specific
knowledge about the simulated device.
WEPD-5
1200 – 1400
Equivalent Circuit Models for Linear Reciprocal Lossy Distributed Microwave Two-Ports
J. A. Russer1, A. Gorbunova2, F. Mukhtar1, H. Yordanov1, A. Baev2, Y. Kuznetsov2, P. Russer1, 1Technical University
Munich, Munich, Germany, 2Moscow Aviation Institute, Moscow, Russian Federation
The modeling of passive microwave structures by equivalent circuit models is an efficient technique which allows
to include distributed problems into complex modeling environments at a system level. The number of circuit elements can be reduced significantly if also delay lines are included in addition to capacitors, inductors, resistors, and
ideal transformers. We discuss a systematic procedure for the generation of combined lumped element and delay
line equivalent circuit models.
WEPE-3
1200 – 1400
Miniaturized and Reconfigurable CPW Square-Ring Slot Antenna Using Thin Film Varactor Technology
H. Jiang, M. Patterson, C. Zhang, D. Brown, G. Subramanyam, University of Dayton, Dayton, United States
Ferroelectric Barium Strontium Titanate (Ba(1-x)SrxTiO3, BST) thin film varactor technology is succesfully applied
to antenna miniaturization and reconfiguration. CPW square-ring slot antennas with and without varactors are
characterized. The miniaturized antenna is reduced by 31% compared to an antenna without varactors. The miniaturized antenna’s frequency is reconfigurable from 5.8 to 6.1GHz with a DC biasing of 3V. Fabrication details and
measurement setup are also presented.
WEPD-6
1200 – 1400
New Inkjet-Printed Wideband 3-dB Branch-Line Coupler
W. A. Arriola1, M. Lee2, Y. Kim2, E. Ryu2, I. Kim1, 1Kyung Hee University, Yongin-si, Republic of Korea, 2Doosan
Corporation, Yongin-si, Republic of Korea
A new inkjet-printed wideband 3-dB branch-line coupler is introduced and compared with an identical circuit
prdouced by conventional etching. The circuit has been realized with a silver ink on a Chukoh substrate with relative
permittivity of 2.6. The circuit has been simulated with HFSS and printed by an OmniJet inkjet printer. Measurement shows S21 better than 3.6dB, S31 better than 6.6 dB, input return loss better than 20 dB, and isolation better
than 18dB over 43% bandwidth.
WEPF
WEPF-1
1200 – 1400
A Unified Method for Nonlinear Noise Analysis of All-Pole RF MEMS Tunable Filters
V. Sekar, K. Entesari, Texas A&M University, College Station , United States
A simple, unified approach is presented to theoretically calculate the effect of nonlinear noise in all-pole RF MEMS
tunable filters. The proposed admittance-based calculation in the bandpass domain allows easy estimation of filter
phase noise while considering the effect of nonlinearity, finite resonator quality factor, and frequency-dependence
of inverter networks in Butterworth and Chebyshev tunable filters. Phase noise calculations are in excellent agreement with previously published work.
WEPD-7
1200 – 1400
DC-70 GHz, 90-nm 3D CMOS SPDT Using Elevated CPW and CPS Series Stubs
K. Hettak1, T. Ross2, J. Wight2, G. Morin3, 1Communications Research Centre, Ottawa, Canada, 2Carleton University,
Ottawa, Canada, 3Defence R&D Canada, Ottawa, Canada
This paper proposes a new approach for realizing a compact SPDT switch incorporating compact, elevated coplanar
waveguide (ECPW) series stubs in conjunction with CPS series stubs in order to extend the operating frequency. The
design technique has been successfully demonstrated using a multi-layer 90nm CMOS process. The proposed SPDT
switch takes advantage of the multi-level metallization processes offered in CMOS technology. The intrinsic area of
the fabricated 3D SPDT switch is reduced.
WEPF-2
1200 – 1400
Compact Reconfigurable Waveguide Circular Polarizer
J. A. Ruiz-Cruz1, M. M. Fahmi2, M. Daneshmand3, R. R. Mansour2, 1Universidad Autónoma de Madrid, Madrid, Spain,
2
University of Waterloo, Waterloo, Canada, 3University of Alberta, Edmonton, Canada
A waveguide circular polarizer with reconfigurable polarization sense is proposed in this paper. The polarizer can
be switched between right and left hand circular polarization. The polarization sense is controlled by RF MEMS
switches. The polarizer is made up of three building blocks, involving E-plane waveguide MEMS SP2T switch, ridge
to waveguide transitions and a septum-orthomode transducer. A prototype is designed and tested as a proof of
concept. Experimental results show good performance.
WEPE
WEPF-3
1200 – 1400
High-Speed, Single-Cycle-Resolution Reliability System for RF-MEMS Switches
R. M. Proie Jr.1, T. G. Ivanov2, 1The George Washington University, Washington, United States, 2US Army Research
Laboratory, Adelphi, United States
This paper presents a novel platform for high speed, single cycle resolution lifetime testing of RF-MEMS switches.
The platform utilizes an FPGA control with switch cycling speed up to 45 kHz. Multiple real-time resistance measurements are made each cycle, allowing the accurate detection of intermittent failures. The results indicate that
brief intermittent failures can occur hundreds of millions of cycles before end of life failure, which illustrates the
need for single cycle resolution.
WEPE-1
1200 – 1400
Tunable Impedance Matching Networks for Agile RF Power Amplifiers
H. Maune, M. Sazegar, R. Jakoby, Technische Universität Darmstadt, Darmstadt, Germany
The efficiency is one of the most critical parameters in the design of RF power amplifiers. For linear power amplifiers the efficiency drops dramatically in the back-off region. An adaptive impedance matching network at the
output of the transistor is presented and analyzed in this paper. The topology of the matching network enables
a direct connection to the transistor. The matching network has been designed using the minimum mean square
error method and realized on a BST thick film substrate.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
43
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
2
INTERACTIVE FORUM
0
1
1
1200 – 1400
Wednesday 8 June 2011 Interactive Forum
Room: Hall A
Chair: Chi Wang, Orbital Sciences Corporation
Co-Chair: Sanghoon Shin, Naval Research Laboratory
WEPG
WEPG-1
1200 – 1400
Low Loss Cryogenic InAs/AlSb HEMT Non-Reflective SP4T Switch
B. Y. Ma, J. I. Bergman, J. B. Hacker, G. J. Sullivan, A. L. Sailer, B. Brar, Teledyne Scientific & Imaging, Thousand Oaks,
United States
A non-reflective single pole four throw (SP4T) MMIC switch using ABCS InAs/AlSb HEMT process technology, designed for cryogenic temperature has been fabricated and characterized. This switch is suitable for low RF power
applications that require very low insertion loss and good isolation. At 2 GHz, the SP4T switch demonstrated
0.52dB insertion loss and 33dB isolation at room temperature. At 90K, the switch has seen 0.2dB and 2dB improvement in insertion loss and isolation.
WEPG-2
1200 – 1400
Linearity Enhancement of CMOS Device using a Modified Third-Order Transconductance Cancellation
Technique for Microwave Amplifier
Y. Yeh, H. Chang, National Central University, Jhongli City, Taiwan
This paper presents linearity enhancement of a CMOS device for microwave amplifier applications. The proposed
method is based on a modified third-order transconductance cancellation technique in a 0.13 μm CMOS process.
The third-order intermodulation distortion of the proposed NMOS device is improved by 15 dB as compared to the
conventional single device. With the linearization, the measured IMD3 is enhanced by 14 dB, and the adjacent
channel power ratio of the amplifier is improved by 7 dB.
WEPG-3
1200 – 1400
The Combiner Matrix Balun: A Transistor-Based Differential to Single-ended Module for Broadband
Applications
M. Ferndahl1, H. Vickes2, 1Chalmers University, Goteborg, Sweden, 2SAAB, Goteborg, Sweden
In this paper, we present a new active combining balun, the combiner matrix balun, i.e. differential input to singleended output, with broadband performance. Measured results show an amplitude and phase difference of less
than 1 dB and 20 degrees from 5 to 40 GHz together with a gain of 2 dB with less than 1 dB ripple. The topology
is easily scaled up in frequency and gain, and is also technology independent, making it an interesting choice for
future broadband differential applications.
WEPH-3
1200 – 1400
Optimized Design of Pulsed Waveform Oscillators
M. Ponton, E. Fernandez, A. Suarez, F. Ramirez, Universidad de Cantabria, Santander, Spain
We present a technique for the optimized design of pulsed-waveform oscillators using a short nonlinear transmission line (NLTL). A procedure for an accurate calculation of the phase sensitivity functions in harmonic balance is
presented. The influence of key design elements on the oscillator efficiency, duty cycle, and phase noise is analyzed.
The predictions using stationary and cyclostationary noise-source models are compared. All techniques have been
applied to a pulsed oscillator at 900 MHz.
WEPH-4
1200 – 1400
Active Inductor Oscillators And Noise Dynamics
U. L. Rohde, A. K. Poddar, Synergy Microwave Corp, Paterson, United States
The reported oscillator use active inductor topology as a cost-effective alternative to currently available varactortuned oscillator (VTO) circuits for the application in third and later generation communication systems. The stateof-the-art technology is analytically validated with CAD-simulated and measured data. It was observed that the
classical Leeson phase noise model does not hold good for an active-inductor oscillator; therefore, a unified noise
model is needed to explain the discrepancies.
WEPJ
WEPJ-1
1200 – 1400
Distributed Active Radiator Arrays for Efficient Doubling, Filtering and Beam-forming
D. Seo, K. Sengupta, A. Hajimiri, California Institute of Technology, Pasadena, United States
Distributed Active Radiator (DAR) arrays are presented as novel ways of harmonic generation, radiation, filtration to
generate power at frequencies above the cut-off frequency of a technology. 2x1 and 2x2 arrays of DAR with beamforming, implemented on PCB, oscillate at 1.25 GHz and radiate at the doubling frequency of 2.5 GHz. Measured
EIRP of the 2x2 array is 12.96 dBm at 2.5 GHz, with more than 15 dB quasi-optical suppression of first and third
harmonics, and 40˚ of beamforming in 2D space.
WEPH
WEPK
WEPH-1
1200 – 1400
3.6 GHz Highly Monotonic Digitally Controlled Oscillator for All-Digital Phase Locked Loop
R. K. Pokharel1, T. Abhishek2, H. Kanaya2, K. Yoshida2, 1Kyushu University, Fukuoka, Japan, 2Kyushu University,
Fukuoka, Japan
An analytical method to make a trade-off between the tuning range and differential non-linearity (DNL) for a
digitally controlled oscillator (DCO) is proposed. To verify the approach, a 12-bit DCO is designed, implemented
in 0.18 um CMOS technology, and tested. The measured DNL was -0.41 Least Significant Bit (LSB). The measured
phase noise was –135.2 dBc/Hz (@ 4 MHz offset) at an oscillation frequency of 3.6 GHz and with 19.2 mW power
consumption.
WEPK-1
1200 – 1400
Load Impedance Estimation for Fast and Accurate Configuration of Tunable Matching Networks
C. Sanchez-Perez, J. de Mingo, P. Garcia-Ducar, P. L. Carro, A. Valdovinos, University of Zaragoza, Zaragoza, Spain
The use of tunable matching networks (TMNs) for antenna tuning and efficiency enhancement is well-known.
However, finding the optimum TMN state or configuration is not an easy task. If we are able to estimate the load
impedance, the TMN state choice can be done quickly and properly. In this paper we propose a new technique to
estimate a complex load impedance with scalar measurements at three different states of the TMN. With an appropriate calibration, accurate load estimation will be obtained.
WEPH-2
1200 – 1400
Combined Power Oscillator using GaN HEMT
S. Kim, H. Kim, S. Shin, J. Kim, B. Kim, J. Choi, Kwangwoon University, Seoul, Republic of Korea
This paper proposes a new configuration for power oscillators based on the combined power amplifier. The focus is
on power combining as a oscillator structure with a positive feedback loop line. The measured output power and
conversion efficiency of the proposed oscillator are 46.8 dBm and 58 %, respectively, at 2.45 GHz with an applied
drain bias voltage of 28 V.
WEPK-2
1200 – 1400
VHF Doherty Amplifier with GaN FETs and Independent Drive-signal Control
R. A. Beltran1, F. H. Raab2, 1RFMD, Hiawatha, United States, 2Green Mountain Radio Research, Colchester, United
States
A VHF Doherty amplifier with independent drive-signal control is presented. An I-Q modulator/up-converter is
used to produce the driving signals at a carrier frequency of 144-MHz. The amplifiers of the Doherty transmitter
are designed using GaN FETs and lumped-element networks. The carrier amplifier operates in class-B with a drain
efficiency of 63% while the peaking amplifier operates in class-C with an efficiency of 73%.
Technical Track Key:
44
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
P R O G R A M
INTERACTIVE FORUM
1200 – 1400
Wednesday 8 June 2011 Interactive Forum
Room: Hall A
Chair: Chi Wang, Orbital Sciences Corporation
Co-Chair: Sanghoon Shin, Naval Research Laboratory
WEPK-3
1200 – 1400
Over 10-MHz Bandwidth Envelope-Tracking DC/DC Converter for Flexible High Power GaN Amplifiers
N. Le Gallou1, D. Sardin2, C. Delepaut1, M. Campovecchio2, S. Rochette3, 1ESA/Estec, Noordwijk, Netherlands, 2XLIM
Université de Limoges – UMR 6172 CNRS, Limoges, France, 3Thales Alenia Space, Toulouse, France
The paper describes a fast envelope-tracking circuit capable of 10 MHz (up to 17.5 MHz) bandwidth based on RF
GaN devices and 50 MHz switching frequency. The efficiency of the VHF converter is up to 90%, comparable to a
conventional DC/DC converter. A demonstrator has been built and mated with a RF GaN HEMT output stage. The C/I
for 10-12 W RF output power near saturation shows linearity improvement of 5-8 dB and an efficiency improvement of up to 8% when compared to no tracking circuit.
WEPL
WEPL-1
1200 – 1400
Continuously Tunable Chirped Microwave Waveform Generation using an Optically Pumped Linear
Chirped Fiber Bragg Grating
J. Yao, M. Li, W. Liu, University of Ottawa, Ottawa, Canada
A novel approach to generating a chirped microwave waveform with continuously tunable chirp rate using an
optically pumped linearly chirped fiber Bragg grating (LCFBG) based on a temporal interferometer is proposed and
demonstrated. A theoretical analysis is performed which is verified by an experiment. A linearly chirped microwave
waveform is generated with a tunable chirp rate from 79 to 64 GHz/ns by changing the injection current of the
pump laser diode (LD) from 0 to 100 mA.
WEPL-2
1200 – 1400
Generation of WDM RoF Signals Utilizing an EAM-Based Multi-channel Photonic Frequency Upconverter
H. Kim, J. Song, GIST, Gwangju, Republic of Korea
Generation of wavelength division multiplexing radio-over-fiber signals utilizing a multi-channel photonic frequency upconverter using an electro-absorption modulator was investigated. Eight WDM optical radio frequency
signals were generated simultaneously by mixing eight WDM optical intermediate frequency signals with an
electrical local oscillator signal in the EAM. The generated eight channels of WDM optical RF signals showed the
variation of receiver sensitivity less than 0.5 dB.
WEPM
WEPM-1
1200 – 1400
5.4 GHz Reconfigurable Quadrature Amplitude Modulator using Very High-Speed OTAs
J. Xu1, C. E. Saavedra1, G. Chen2, 1Queen’s University, Kingston, Canada, 2Xi’an Jiaotong University, Xi’an, China
A quadrature amplitude modulator that can be reconfigured between 4 QAM, 16 QAM and higher modulation
formats is described. Vector sum in current domain is employed to perform the direct digital modulation. The measured data throughput at 5.4 GHz carrier frequency was 16 Mbit/s. In the 16 QAM modulation state, the error vector
magnitude (EVM) was 6.20% and the dynamic range was -52 dBm to -8 dBm. The 1.2 V, 20 mA chip was fabricated
in 130 nm CMOS technology and its core occupied an area of 0.09 mm².
WEPM-2
1200 – 1400
Fourier Transforming Microwave Impulses using Low-Cost Analog Circuits
S. Sha1, J. Chen2, M. Lu1, 1University of Texas at Arlington, Arlington, United States, 2Southern Methodist University,
Dallas, United States
A low-cost analog circuit architecture to acquire the (complex-valued) spectrum of microwave impulses is designed. The proposed architecture consists of multiple channels to down-convert the input signal, each of which
obtains a small portion of the input’s spectrum. The multiple narrow-band outcomes are then merged to construct
the entire wide-band spectrum. The input signal’s spectrum obtained by our circuit shows excellent agreement
with the data measured by a 40-GHz oscilloscope.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
WEPN
WEPN-1
1200 – 1400
Small Form Factor and Low Profile Quad-Band System-in-Package (SiP) Module
M. Shevelov1, V. Sieroshtan1, O. Ruban1, A. Burygin1, P. Komakha1, O. Aleksieiev1, O. Chayka1, G. Sevskiy1, P. Stoehr1,
P. Heide1, M. Vossiek2, 1Epcos AG (TDK-EPC), Munich, Germany, 2Clausthal University of Technology, Clausthal - Zellerfeld, Germany
A very small form factor and low profile quad-band System-in-Package (SiP) module based on the state-of-the-art
65nm CMOS IC is presented. The SiP module provides full function of 2.4G and 5G WLAN, Bluetooth, GPS, FM radio
and FM transmitter. The highly integrated device incorporates single chip, RF front-end module, filters for WLAN
and GPS, number of SMD components and EMI shielding. Very compact SiP solution (9.5x11.9x1.2mm3) forms a
complete multi-functional wireless communication system.
WEPN-2
1200 – 1400
Differential and Extrapolation Techniques for Extracting Dielectric Loss of Printed Circuit Board
Laminates
M. Y. Koledintseva1, A. Koul2, S. Hinaga2, J. L. Drewniak1, 1Missouri University of Science & Technology, Rolla, United
States, 2Cisco Systems, Inc., San Jose, United States
The experimental techniques to extract dielectric loss of PCB laminates, while separating dielectric and conductor
losses on rough copper foils, is based on the analysis of frequency components in dielectric and conductor losses.
Smooth conductor loss behaves as sqrt(omega), while dielectric loss behaves as omega and omega^2. However,
conductor roughness behaves as sqrt(omega), omega, and omega^2, and these contributions may be lumped into
the dielectric loss. A few examples are presented.
WEPP
WEPP-1
1200 – 1400
Industrial Combining RF and System Test of Microwave Devices Using QPSK Modulation
W. Sahyoun, P. Benech, J. Duchamp, IMEP-LAHC, Grenoble, France
A VNA is considered as a classical characterization instrument for RF devices but it requires time and expensive
equipment. A new method is suggested for RF device industrial test. This procedure is based on EVM systemparameter linked to transmission S-parameter. The test procedure is easier and six times faster than a VNA test. An
EVM single value allows knowing the device functionality and a few points describe the RF device characteristics.
First tests were done on Butterworth filters of different orders.
WEPP-2
1200 – 1400
Electromagnetic Field Measurements of WIMAX Systems using Isotropic Broadband Probes
,
T. Letertre V. Monebhurrun, Z. Toffano, Supélec, Gif sur Yvette, France
In the context of human exposure to rf, measurements of electromagnetic fields are performed using isotropic
broadband probes. Two electric field measurement probes are herein examined. Their responses to different typical
WIMAX emissions are analyzed. Results show that these probes are not accurate enough for the next generation
OFDM communication systems such as LTE and WIMAX. A new correction method for these probes is proposed for
the evaluation of rf exposure due to WIMAX.
WEPP-3
1200 – 1400
Challenges and Approaches to On-chip Millimeter Wave Antenna Pattern Measurements
J. N. Murdock, E. Ben-Dor, F. Gutierrez, T. S. Rappaport, The University of Texas at Austin, Austin, United States
We present two methods to remove wafer probe interference radiation from measured on-chip antenna patterns
performed in a probe station environment. In this work, we use superposition and S-parameter techniques to
de-embed the effects of probe tip radiation. On-chip Dipole, Yagi, and Rhombic antennas were fabricated using
standard 180nm CMOS and radiation patterns were measured at 60 GHz. This work shows methods that improve
the ability to reliably measure on-chip antenna patterns.
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
45
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
2
INTERACTIVE FORUM
0
1
1
1200 – 1400
Wednesday 8 June 2011 Interactive Forum
Room: Hall A
Chair: Chi Wang, Orbital Sciences Corporation
Co-Chair: Sanghoon Shin, Naval Research Laboratory
WEPQ
WEPQ-1
1200 – 1400
Performance Analysis of Various UWB Radar Approaches for Medical Diagnostics
X. Li, S. Scherr, L. Sit, E. Pancera, T. Zwick, Institut für Hochfrequenztechnik und Elektronik, Karlsruhe, Germany
The challenge of UWB radar for medical applications is presented in terms of high signal attenuation and multiple reflections in human tissues. The IR-UWB approaches, the PN approach and the frequency measurement
with the NWA are introduced and discussed. Furthermore, the demonstrators of all of the three approaches are
provided. The system performance of these three approaches are verified by measurements. The usage of three
radar approaches for medical diagnostics are given.
WEPQ-2
1200 – 1400
Microwave and RF Heating Under Noninvasive Temperature Measurement using Magnetic
Resonance Imaging Scanner
Y. Nikawa, A. Ishikawa, Kokushikan University, Setagaya-ku, Japan
A new methodology for Magnetic Resonance (MR) scanner is discussed that applies not only for diagnosis
but also for treatment. The temperature measurement procedure under MR is to obtain the phase shift of the
longitudinal relaxation time of protons inside a sample material. The pulsed RF from MR is also applied for
dielectric heating. The result shows the possibility of applying MR for temperature measurement as well as
heating for hyperthermia treatment.
WEPS-3
1200 – 1400
Dynamic Measurement of Complex Permittivity in Microwave Material using Microwave Power
Y. Nikawa, K. Zhao, Kokushikan University, Setagaya-ku, Japan
A cavity resonator and microwave heating system has been designed to measure the temperature dependent complex permittivity of dielectric materials at microwave frequencies. From the results, it is found that the temperature
dependent complex permittivity can be obtained by perturbation and rigorous methods over a wide temperature
range with microwave heating dynamically.
WEPT
WEPT-1
1200 – 1400
Singly Terminated Reconstruction Filters for Current-Mode Class-S Power Amplifiers
E. Serebryakova, K. Blau, M. A. Hein, Ilmenau University of Technology, Ilmenau, Germany
This paper presents a comprehensive comparison of the doubly and singly terminated filters in terms of a symbolic
algorithm and, moreover, proves mathematically that only singly terminated filters owing to their constant input
impedance can fulfill the requirements of current-mode switching amplifiers.
WEPR
WEPR-1
1200 – 1400
Tunable Loaded Line Phase Shifters for Microwave Applications
O. H. Karabey, F. Goelden, A. Gaebler, S. Strunck, R. Jakoby, Technische Universitaet Darmstadt, Darmstadt,
Germany
This paper presents the design and realization of a tunable periodically loaded slot line phase shifters. The
tunability is achieved by using liquid crystal (LC) as a tunable dielectric. Two prototypes are fabricated based
on a printed circuit board (PCB) - LC - PCB structure for 12 GHz and a glass - LC - glass structure for 18 GHz.
Measurements are performed and the figure of merits of the phase shifters are determined as 47 °/dB and 42
°/dB, respectively.
WEPR-2
1200 – 1400
Antenna-In-Package Solution for 3D Integration of Millimeter-Wave Systems Using A Thin-Film
MCM Technology
A. Enayati3, S. Brebels2, W. D. Raedt2, G. A. Vandenbosch1, A. V. Raisanen3, 1Katholieke Universiteit Leuven,
Leuven, Belgium, 2IMEC, Leuven, Belgium, 3Aalto University, Espoo, Finland
A cavity-backed antenna element is introduced and investigated for the antenna-in-package applications at 60
GHz frequency band. A thin-film silicon-based technology is used to implement the antenna element and two
different configurations for 1x4 arrays of the same element. The feeding networks of the arrays are designed
in such a manner that one of them has its main beam in the broad-side direction while the main beam of the
second one is rotated to 45 degrees. Simulation and measurement results of return loss, radiation patterns and
realized peak gains are compared.
WEPS
WEPS-2
1200 – 1400
Measured and Simulated Frequency Spectra of the Household Microwave Oven
M. Soltysiak1, M. Celuch2, U. Erle3, 1Warsaw University of Technology, Warsaw, Poland, 2QWED Sp. z o.o. ,
Warsaw, Poland, 3R&D Solon Product Technology, Nestle, Solon, United States
Frequency spectra of the magnetron of the Whirlpool – Talent microwave oven with a cuboidal glass object
located in the center of the turntable are measured with a spectrum analyser for different angular positions
of the object. Then, electromagnetic software is used to calculate the amplitude of the reflection coefficient as
a function of frequency in the frequency band between 2.4 GHz and 2.5 GHz, for different angular positions
of the glass object.
Technical Track Key:
46
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1420 – 1540
WE3A: Broadband Measurement Techniques
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 310
Chair: Kate Remley, NIST
Co-Chair: Jon Martens, Anritsu
The session covers both time- and frequency-domain methods. The first presentation describes a new time-domain pulsed device-characterization method. The second paper presents a transient radiation-pattern measurement technique. The third contribution discusses quasi-optical network analysis. The final contribution introduces
a new broadband thermal noise standard.
WE3B: New Trends in Passive Components
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 314-315
Chair: RM Henderson, The University of Texas at Dallas
Co-Chair: Kavita Goverdhanam, US Army
This session features papers on new and interesting techniques for obtaining high-Q resonators and a novel impedance transformer. This is followed by the description of a negative group delay circuit that improves the linearization of power amplifiers. The session concludes with a description of a low loss, compact, millimeter-wave balun
compatible with CMOS technology.
WE3A-1
1420 – 1440
Time-Domain Measurement System Using Track & Hold Amplifier Applied to Pulsed RF Characterization of High Power GaN Devices
S. Ahmed, M. Saad-el-dine, T. Reveyrand, G. Neveux, D. Barataud, J. Nebus, University of Limoges, Limoges, France
This paper proposes a time-domain test bench for the pulsed characterization of a high Power GaN Amplifier. Our
findings are based on a Track and Hold Amplifier for the down-conversion of RF spectra using the sub harmonic
sampling principle. The use of wideband THA to replace samplers or mixers enables reducing component density
in an analog domain. It permits direct digitization of entire pulsed RF spectrum, bringing more flexibility in the
receiver’s performance by enhancing the bandwidth.
WE3B-1
1420 – 1440
Very-High-Q Solenoid RF Inductors for SiP LTCC Integration.
S. Aliouane1, A. Kouki1, R. Aigner2, 1Ecole de Technologie Superieure, Montréal, Canada, 2TriQuint Semiconductor Inc,
Apopka, United States
Design and fabrication of radio frequency inductors in multi-layer Low Temperature Cofired Ceramic (LTCC) materials are discussed and experimental results are presented. Compact high-Q three-dimensional inductor topologies
have been designed to achieve high quality factor (Q 75) and high self-resonance frequency (SRF 4.5 GHz) with
inductance-value between 2-7 nH at 2 GHz. The inductors were made using the lowest possible number of LTCC
layers.
WE3A-2
1440 – 1500
Measurement of Time-Evolving Electronically Steerable Radiation Patterns at Fast Timescales by a
Sampling Technique
J. Henrie1, M. Tang2, 1NAWCWD, Point Mugu, United States, 2Stanford University, Stanford, United States
Modern electrically steerable antennas have the ability to evolve their farfield radiation pattern on nanosecond
timescales. The transient radiation that occurs during this evolution cannot be captured by conventional radiation pattern measurements, which take at least several minutes to complete. We present a temporal and spatial
sampling method of measuring the rapid temporal evolution of an electronically steerable antenna’s near- and
far-field radiation pattern.
WE3B-2
1440 – 1500
New High Quality Factor Solenoid Based Tuned Resonator
R. Kamali-Sarvestani, J. D. Williams, University of Alabama in Huntsville, Huntsville, United States
A novel off-chip solenoid resonator was designed, and fabricated. The resonator included four embedded copper vias and three surface conductors to shape a rectangular coil with a series capacitance introduced in the via.
Significant enhancement was realized in the quality factor using pole transfer. The result increased the Q-factor
to 306. The analytical design was investigated using both a circuit model and electromagnetic EM-simulation.
Measurements were in close agreement with simulations.
WE3A-3
1500 – 1520
A 20-40 GHz Quasi-Optical Network Analyzer
A. Grichener, G. M. Rebeiz, University of California, San Diego, La Jolla, United States
A 20-40 GHz 2-port quasi-optical scalar network analyzer built in planar technology is presented. The network
analyzer resulted in a dynamic range of greater than 30 dB and was successfully used to measure a frequency
selective surface. The system was built with circuits and components for easy scaling to millimeter-wave frequencies which is the primary motivation for this work. The application areas for a millimeter-wave network analyzer
include material characterization and art diagnostics.
WE3B-3
1500 – 1510
A Broadband Quarter-Wavelength Impedance Transformer Using Vertically Installed Planar Coupler
W. Lu1, K. S. Ang2, K. Mouthaan1, 1National University of Singapore, Singapore, Singapore, 2DSO National Laboratories, Singapore , Singapore
A broadband quarter-wavelength impedance transformer using a vertically installed planar (VIP) coupler is presented. A wide range of impedance transformation ratios and bandwidths can be achieved using this design. A
50  to 100  impedance transformer covering 0.7 GHz to 2 GHz is designed and implemented using the VIP. The
measured results agree well with the simulation results. The design curves generated are useful for the design of
this type of impedance transformer.
WE3A-4
1520 – 1540
New Broadband Thermal Noise Primary Standard in Coaxial Technology
J. J. Fornet-Ruiz1, A. J. Lozano-Guerrero2, J. Monzo-Cabrera2, A. B. Diaz-Morcillo2, 1INTA, Torrejon de Ardoz, Spain,
2
Universidad Politecnica de Cartagena, Cartagena, Spain
A broadband primary standard for thermal noise measurements is presented and its thermal and electromagnetic
behavior is analyzed. It consists of a broadband termination connected to a 3.5mm coaxial airline partially immersed in liquid Nitrogen. The main innovative part of the device is the thermal bead between inner and outer
conductors, designed for obtaining a proper thermal contact and to keep low its contribution to the total thermal
noise and its reflectivity. A sensitivity analysis is undertaken for establishing the tolerances for proper performance
in the range 10MHz–26.5GHz.
WE3B-4
1510 – 1520
A Design of Composite Negative Group Delay Circuit with Lower Signal Attenuation for Performance
Improvement of Power Amplifier Linearization Techniques
H. Choi1, G. Chaudhary1, T. Moon1, Y. Jeong1, J. Lim2, C. Kim3, 1Chonbuk National University, Jeonju-si, Republic of
Korea, 2Soonchunhyang University, Asan-si, Republic of Korea, 3Sewon-Teletech, Inc., Anyang-si, Republic of Korea
We propose a novel composite negative group delay circuit to reduce the signal attenuation required to obtain
negative group delay. It is already known that negative group delay can be obtained as a consequence of signal
attenuation. For the same negative group delay of -6 ns, the signal attenuation of the conventional circuit is 31.25
dB, while the proposed composite circuit involves signal attenuation of only 13.9 dB. As a result, the number of gain
compensating amplifiers can be reduced.
WE3B-5
1520 – 1540
Low-loss and Compact Millimeter-Wave Balun on Si
Y. Uemichi1, H. Hatakeyama1, T. Aizawa1, K. Okada2, H. Kiumarsi3, S. Tanoi3, N. Ishihara3, K. Masu3, 1Fujikura Ltd.,
Sakura, Japan, 2Tokyo Institute of Technology, Tokyo, Japan, 3Tokyo Institute of Technology, Yokohama, Japan
We designed and fabricated low-loss and compact microstrip line and Marchand balun composed of thick Cu and
thick resin on Si substrate for millimeter-wave applications. An attenuation constant and Q of the fabricated microstrip line are 0.17 dB/mm and 52 at 60GHz, respectively. The insertion loss and the core size of the fabricated
balun are 0.6 dB at 60 GHz and 300 um x 450 um, respectively, which, to our knowledge, are the lowest and the
smallest among the millimeter-wave baluns ever reported.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
47
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1420 – 1540
WE3D: High-Power, High-Efficiency GaN Power Amplifiers
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 318-320
Chair: John Wood, Freescale Semiconductor, Inc.
Co-Chair: James Komiak, BAE Systems
In this session the papers describe high-power, high-efficiency GaN PAs with power outputs in excess of 100 watts.
The first paper outlines a C-band harmonically-tuned PA with a power output of 100 W at 67% power-added efficiency. The second paper describes a 2 GHz, four-stage Doherty PA with 100 watts of output power. The third paper
is a dual-band 240 W envelope-tracking PA covering the 1 GHz and 2 GHz bands. The fourth paper presents a 200 W
GaN HEMT optimized for a high-voltage envelope-tracking PA.
WE3C: Microwave Photonics Systems and Devices
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 316-317
Chair: Adil Karim, Johns Hopkins University Applied Physics Laboratory
Co-Chair: Bill Jemison, Clarkson University
This session presents recent advances in microwave photonics devices and systems.
WE3C-1
1420 – 1440
60 GHz Broadband Free-Space Communication Using Dual-Wavelength Photonic Signal Generation
J. A. Nanzer1, M. L. Dennis1, P. T. Callahan1, T. R. Clark1, D. Novak2, R. B. Waterhouse2, 1Johns Hopkins university,
Laurel, United States, 2Pharad LLC, Glen Burnie, United States
A 60 GHz free-space communication system is demonstrated with broadband data encoding and a novel photonic
millimeter-wave carrier generator. The 60.8 GHz carrier signal is generated by photomixing two optical subcarriers
sourced from a dual-wavelength laser based on stimulated Brillouin scattering in optical fiber. Data rates of 1.65
Gbps are demonstrated. An 30 m indoor and 78 m building-to-building communication link are demonstrated
with good bit-error ratios.
WE3D-1
1420 – 1440
A 67% PAE, 100 W GaN Power Amplifier with On-Chip Harmonic Tuning Circuits for C-band Space
Applications
S. Miwa1, Y. Kittaka2, T. Tanii2, T. Yamasaki1, Y. Kamo1, Y. Tsukahara1, M. Kohno1, S. Goto1, A. Shima1, 1Mitsubishi
Electric Corporation, Itami, Japan, 2Wave Technology Inc, Kawanishi, Japan
This paper describes a high efficiency and high output power GaN power amplifier for C-band space applications.
The amplifier uses on-chip harmonic tuned FETs to improve efficiency. A 2nd harmonic input tuning circuit is incorporated into each unit on-chip FET cell and realizes highly precise control of 2nd harmonic input impedance. A 100
W power amplifier with 4-chips achieves a 67.0% PAE at 3.7 GHz. To the best of our knowledge, this is the highest
efficiency of C-band high power amplifiers.
WE3C-2
1440 – 1500
High-Power and High-Speed Waveguide uni-Traveling-Carrier Photodiodes for Microwave Photonics
Applications
J. Klamkin, S. Madison, D. Oakley, A. Napoleone, F. O’Donnell, M. Sheehan, L. Missaggia, J. Caissie, M. Hollis, J.
Plant, P. Juodawlkis, MIT Lincoln Laboratory, Lexington, United States
Uni-traveling-carrier waveguide photodiodes (PDs) with variable optical confinement mode size transformers are
demonstrated. For these waveguide PDs, the optical mode is large at the input for minimal front-end saturation.
The mode transforms as the light propagates so that the absorption profile is optimized for both high-power and
high-speed performance.
WE3D-2
1440 – 1500
A High-Efficiency 100-W Four-Stage Doherty GaN HEMT Power Amplifier Module for WCDMA Systems
A. Grebennikov, Alcatel-Lucent, Blanchardstown, Ireland
In this paper, a novel high-efficiency four-stage Doherty power amplifier architecture for base station applications has been proposed and fabricated. Each power amplifier is based on a 25-W Cree GaN HEMT device with
the transmission-line load network corresponding to an inverse class F mode approximation. In a single-carrier
WCDMA operation mode with PAR of 6.5 dB, a high drain efficiency of 61% was achieved at an average output
power of 43 dBm, with ACLR1 measured at -31 dBc level.
WE3C-3
1500 – 1520
Achieving Arbitrary Passband Profiles and High Stopband Attenuation in Microwave Photonic Filters
E. Hamidi, V. R. Supradeepa, M. Song, R. Wu, C. M. Long, D. E. Leaird, A. M. Weiner, Purdue University, West
Lafayette, United States
Microwave photonic filters utilizing optical frequency comb have shown programmable passband, high stopband
attenuation, and easy tunability. We demonstrate flat top bandpass filter. To obtain high stopband attenuation,
smooth apodization of comb spectrum to specific profile is necessary. Stopband attenuation is significantly enhanced by utilizing comb which achieves the necessary shape directly from the source. By generating a quasiGaussian comb, we achieve a record 60dB stopband attenuation.
WE3D-3
1500 – 1520
A 240-W Dual-Band 870 and 2140 MHz Envelope Tracking GaN PA Designed by a Probability Distribution Conscious Approach
A. Cidronali1, N. Giovannelli2, T. Vlasits2, R. Hernaman2, G. Manes1, 1University of Florence, Florence, Italy, 2Nujira Ltd,
Cambridge, United Kingdom
Thw paper presents a 870 and 2140 MHz PA for envelope tracking (ET) operation. The design was based on a multisection transmission line matching technique and considered the actual probability distribution function of a
WCDMA signal. The prototype met similar performance to that of an amplifier based on single-band pulsed load
pull, over a bandwidth of 100 MHz; reporting an average drain efficiency of 69.5% and 51.0%, and peak power
levels of 54.86 and 53.96 dBm at the design frequencies.
WE3C-4
1520 – 1540
All-Optical ACP-OPLL Photonic Integrated Circuit
Y. Li1, A. Bhardwaj2, S. Jin1, R. Wang1, P. Herczfeld3, 1University of Massachusetts Dartmouth, Dartmouth, United
States, 2University of California, Santa Barbara, Santa Barbara, United States, 3Drexel University, Philadelphia, United States
The optical-phase-locked-loop linear phase demodulator is the most critical component for a high dynamic range
PM RF/Photonic link. Due to the stringent loop latency requirement, the OPLL should be implemented as a photonic integrated circuit. In this paper we address the design and implementation of an all optical attenuationcounter-propagating (ACP) optical-phase-locked-loop photonic integrated circuit. The preliminary experimental
results for this device will also be discussed.
WE3D-4
1520 – 1540
A 65 % Drain Efficiency GaN HEMT with 200 W Peak Power for 20 V to 65 V Envelope Tracking Base
Station Amplifier
F. Yamaki1, K. Inoue1, N. Ui2, A. Kawano2, S. Sano1, 1Sumitomo Electric Industries, Ltd., Nakakoma-gun, Japan,
2
Sumitomo Electric Device Innovations, Inc., Nakakoma-gun, Japan
A 200 W GaN HEMT was developed for envelope tracking base station amplifier. The device has a saturated current
of 680 mA/mm together with sufficiently high break down voltage of 300 V. We have estimated the average power
efficiency of ET amplifier by using the GaN HEMT over 20 V to 65 V drain bias range. As a result, the estimated
average power efficiency reaches at 65.2%. Excellent results of RF-HTOL test were also obtained. To the best of
our knowledge, this is the highest drain voltage operation of the GaN HEMT, allowing significant improvement of
efficiency for ET amplifiers.
Technical Track Key:
48
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
WE3E: Non-linear Modeling for Microwave Devices
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 321-323
Chair: John Atherton, WIN Semiconductors
Co-Chair: Rhonda Franklin, University of Minnesota
This session will present non-linear modeling methods to describe the electrical properties of microwave devices
and circuits. One model will describe the impact of ESD protection circuitry on microwave circuit behavior. Another
will use a quasi-two dimensional method to describe the behavior of LDMOS power transistors that accounts for
avalanche breakdown and gate conduction. GaN HEMT behavior will be described using a simple method that
accounts for thermal resistance. Finally, the behavior of power amplifiers is determined from a method that introduces an adapted mixed model formulation.
P R O G R A M
1420 – 1540
WE3F: Recent Advances and Applications of Space Mapping
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 324-326
Chair: Peter Aaen, Freescale Semiconductors
Co-Chair: Q. J. Zhang, Carleton University
In this session, the latest advancements in space mapping and its applications are presented. The first two papers
exploit the newly announced adjoint sensitivity capabilities of commercial electromagnetic solvers. The third paper uses a linear regression methodology to address design optimization using inverse space mapping. The final
presentation is a significant application of space mapping whereby a dielectric resonator antenna is optimized.
WE3E-1
1420 – 1440
Modeling a SCR-Based Protection Structure for RF-ESD Co-Design Simulations
A. Romanescu2, P. Ferrari2, J. Arnould2, P. Fonteneau1, C. Legrand1, 1ST Microelectronics, Crolles, France, 2Institute of
Microelectronics Electromagnetism and Photonics, Grenoble, France
Electrostatic discharge protection is a must in every integrated circuit. At microwave frequencies, the influence the
protection devices have over the circuit they protect can significantly impact the functioning of the latter. A model
for the SCR (silicon controlled rectifier) and the ESD protection diode was developed. Its purpose is to estimate this
influence at frequencies up to 65 GHz. A complex device, the DTSCR (diode triggered SCR) is used to demonstrate
the consistency of the models.
WE3F-1
1420 – 1440
On Space Mapping Optimization with Coarsely-Discretized EM Coarse Models
S. Koziel, Reykavik University, Reykjavik, Iceland
Here, a study of SM algorithms with coarsely-discretized EM coarse models is presented. In particular, new and
efficient parameter extraction and surrogate optimization schemes are proposed that make the use of coarselydiscretized EM models feasible for SM algorithms. Robustness of our approach is demonstrated through the design
of two microstrip filters and a double annular ring antenna.
WE3E-2
1440 – 1500
A Simple Method to Determine Power-Dissipation Dependent Thermal Resistance for GaN HEMTs
J. Liu1, L. Sun1, Z. Yu1, M. Condon2, 1Hangzhou Dianzi University, Hangzhou, China, 2Dublin City University, Dublin,
Ireland
A simple method to determine the power dissipation dependent thermal resistance and the junction temperature
of a power AlGaN/GaN HEMT proposed. The method is based on a rigorous mathematical treatment of the nonlinear characteristics of thermal resistance. It is hence suitable for modeling of transistors operating at any power
densities. This method has been verified by an accurate predicting of junction temperature of an 8×80 micrometer
×0.3 micrometer AlGaN/GaN HEMT.
WE3F-2
1440 – 1500
Fast Space Mapping Modeling with Adjoint Sensitivity
Q. S. Cheng1, J. W. Bandler1, N. K. Nikolova1, S. Koziel2, 1McMaster University, Hamilton, Canada, 2Reykjavik
University, Reykjavik, Iceland
A space mapping modeling technique utilizes recent commercially available full-wave EM adjoint sensitivity analysis. A good surrogate model is built using only one EM simulation along with an adjoint sensitivity evaluation. The
technique calibrates a surrogate by matching responses and corresponding adjoint sensitivities. This surrogate is
accurate over an extended region of the parameter space. We demonstrate the technique is straightforward to
implement within available simulation software.
WE3E-3
1500 – 1520
Fast Physical Models for LDMOS Power Transistor Characterization
J. P. Everett1, M. J. Kearney1, E. M. Johnson2, H. Rueda2, P. H. Aaen2, J. Wood2, C. M. Snowden1, 1University of Surrey,
Guildford, United Kingdom, 2Freescale Semiconductor Inc., Tempe, United States
A new nonlinear, process-oriented, quasi-two-dimensional (Q2D) model is described for microwave laterally diffused MOS (LDMOS) power transistors. A set of one-dimensional energy transport equations are solved across a
two-dimensional cross-section in a “current-driven” form. The model accounts for avalanche breakdown and gate
conduction, and accurately predicts DC and microwave characteristics at execution speeds sufficiently fast for circuit simulation applications.
WE3F-3
1500 – 1520
A Linear Regression Inverse Space Mapping Algorithm for EM-Based Design Optimization of Microwave Circuits
J. E. Rayas-Sanchez, N. Vargas-Chavez, ITESO, Guadalajara, Mexico
A new simplified formulation for inverse space mapping optimization of microwave circuits is presented in this
work. In contrast to previous inverse space mapping algorithms, where artificial neural networks are trained to approximate the inverse space mapping at each iteration, our approach makes use of a linear regression formulation
to calculate in closed form the inverse mapping parameters at each iteration. Our technique is illustrated by the
EM-based design of several microstrip circuits.
WE3E-4
1520 – 1540
Behavioral Model Analysis using Simultaneous Active Fundamental Load-Pull and Harmonic SourcePull Measurements at X-Band
J. J. Bell, R. S. Saini, S. P. Woodington, J. Lees, J. Benedikt, S. Cripps, P. J. Tasker, Cardiff University, Cardiff, United
Kingdom
To support recent interest in improving PA performance via input waveform engineering it is important that nonlinear behavioral device models can accurately describe the high levels of input harmonic injection necessary. This
paper presents a model formulation used to extract input harmonic model coefficients from a set of 9 GHz active
source/load-pulls measurements. The resulting 4th order model was sufficient to capture the response of the scattered [b] waves to a maximum confidence of 99.46%.
WE3F-4
1520 – 1540
Simulation-Driven Design of Dielectric Resonator Antenna with Reduced Board Noise Emission
S. Ogurtsov, S. Koziel, Reykavik University, Reykjavik, Iceland
We present the design of an antenna for the 2.4-to-2.5 GHz band using a dielectric resonator installed on a printed
circuit board. One of the objectives is reduction of the noise emitted by the antenna into the printed board. Here,
it is realized with through vias connecting the upper and lower grounds of the board and making a substrate
integrated resonator cavity underneath the DRA. Design requirements are achieved by simulation-driven design
optimization exploiting surrogate models.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
49
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1420 – 1540
WE3G: Advances in Millimeter Wave and THz Technologies
Wednesday 8 June 2011
Time: 1420 – 1540
Room: 327-329
Chair: H. John Kuno, QuinStar Technologies. Inc.
Co-Chair: Edward C. Niehenke, Niehenke Consulting
Recent developemnts in millimeter wave and sub-millimeter wave technologies are presented. This session covers
advances in low-nolie ampliiers, power ampliiers, filter and mixer designs.
WE3G-1
1420 – 1440
A Miniature Q-band CMOS LNA with Quadruple-Cascode Topology
H. Yeh, H. Wang, National Taiwan University, Taipei, Taiwan
In this paper, a miniature Q-band low noise amplifier (LNA) is demonstrated using 90-nm Low Power (LP) CMOS
technology. The quadruple-cascode topology is utilized to achieve a high gain performance with a compact size.
In addition, a transformer is placed between the cascode devices to reduce the noise and enhance the stability
and also bandwidth of the LNA. The LNA presents a maximum small signal gain of 20.3 dB and a minimum noise
figure of 4.6 dB at 40 GHz.
WE3G-2
1440 – 1500
W-Band GaN Power Amplifier MMICs
1
A. Brown , K. Brown1, J. Chen2, K. C. Hwang2, N. Kolias2, R. Scott3, 1Raytheon, Rancho Cucamonga, United States,
2
Raytheon, Andover, United States, 3Joint Non-Lethal Weapons Directorate, Quantico, United States
An advanced GaN semiconductor process has made possible the design and fabrication of W-band power amplifier
MMICs with unprecedented performance. Power amplifier MMICs have been designed and fabricated that demonstrate output powers of 1.7 watts, power added efficiencies greater than 20%, and small signal gains of 21 dB.
In addition, the compactness of these MMIC designs have allowed for MMIC power densities (MMIC output power
relative to MMIC area) exceeding 1/2 watt per square mm.
WE3G-3
1500 – 1520
Design of A MMIC Tri-Band Filter for 60-/77-/100-GHz Applications
C. Yang, M. Chiang, H. Chiu, Y. Chiang, Chang Gung University, Tao-Yuan, Taiwan
A tri-band dual-mode filter, which is fabricated in a pHEMT technology, is presented in this paper. This filter is designed using stacked ring resonators with individual perturbations and feeding capacitors; thus, 3-dB bandwidths
and center frequencies of three pass bands can be flexibly controlled. A new filter model that considers coupling
effects between ring resonators is first proposed, and a design method that can find all element values based on
required passband features is described.
WE3G-4
1520 – 1540
Sub-millimeter Wave 183 GHz and 366 GHz MMIC Membrane Sub-harmonic Mixers
T. Waliwander1, M. Crowley1, M. Fehilly1, D. Lederer3, J. Pike2, L. Floyd2, D. O’Connell2, 1Farran Technology, Cork,
Ireland, 2Tyndall National Institute, Cork, Ireland, 3Université Catholique de Louvain, Louvain-la-Neuve, Belgium
The design, fabrication and testing of broadband fixed-tuned 183 GHz and 366 GHz sub-harmonic mixers is discussed. Two mixer circuits using planar Schottky diodes monolithically integrated with RF/LO and IF matching and
filtering networks onto a 3.7 um thick GaAs membrane are presented. The double sideband measurements at room
temperature yielded conversion loss and noise temperature of 4.9 dB and 608 K and 6.9 dB and 1220 K for 183 GHz
and 366 GHz mixers, respectively.
Technical Track Key:
50
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
WE4A: Advanced Circuit and Material Measurement
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 310
Chair: Michael D. Janezic, NIST
Co-Chair: Andrea Ferrero, Politecnico di Torino
This session begins with a new multi-port measurement technique for characterizing dense via arrays. The second
paper describes a method for measuring the dielectric properties of liquids using microwave oscillators. Next, a
broadband, non-destructive technique for measuring dielectric substrates using a dual coaxial probe system is
reported. The final paper investigates the use of a substrate integrated waveguide probe for characterizing the
dielectric properties of liquids up to 30 GHz.
P R O G R A M
1600 – 1720
WE4B: Handset Impedance Tuners
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 314-315
Chair: Arthur Morris, wiSpry, Inc.
Co-Chair: Gernot Hueber, DICE GesmbH & Co, KG
Impedance tuners are on the verge of widespread use within mobile handsets to improve performance, compensate for environmental influences and shorten design cycles. As a new component in the RF designer’s toolkit,
the tuner specifications and value propositions are subjects of heated debate. Fundamental questions remain
regarding a coherent approach to specifying tuner RF performance. This Focus Session will feature speakers from
the leading tuner manufacturers who will present their perspective of the tuner market and requirements as well
as their product capabilities.
WE4A-1
1600 – 1620
Novel Multiport Probing Fixture for High Frequency Measurements in Dense Via Arrays
Y. Kwark1, M. Kotzev2, C. Baks1, X. Gu1, C. Schuster2, 1IBM T.J. Watson Research Center, Yorktown Heights, United
States, 2Technische Universität Hamburg-Harburg , Hamburg, Germany
A novel multiport probing fixture for high frequency measurements of dense via arrays is presented here. The
fixture consists of a 36 mm by 36 mm multilayer PCB plugged into an LGA socket clamped down to the device under
test. The test signal is launched from top surface mounted coaxial connectors and fanned in with striplines to a 1
mm via pitch on the bottom side. Custom calibration substrates are used to de-embed the fixture from measurements by applying multiport de-embedding techniques.
WE4B-1
1600 – 1620
Mobile Phone Performance Improvements using an Adaptively Controlled Antenna Tuner
M. A. de Jongh, A. van Bezooijen, K. R. Boyle, T. Bakker, TDK-epc, Nijmegen, Netherlands
Phone output power and radiation efficiency are degraded by large mismatches, due to compact narrow-band
antennas and influence of the user’s hand position. This paper presents a 5 mm x 5 mm multi-band multi-mode
adaptive tuning module that counteracts antenna impedance mismatch. The measured average total radiated
power (TRP), of a commercially available phone with adaptive tuner, improves by 2.2 dB for free-space condition
and 1.9 dB for user interaction with antenna.
WE4A-2
1620 – 1640
A Novel Approach for Dielectric Constant Measurement using Microwave Oscillators
V. Sekar, W. J. Torke, S. Palermo, K. Entesari, Texas A&M University, College Station, United States
In this paper, planar microwave oscillators are used to measure the dielectric constant of organic liquids for the first
time. Dielectric constant of an unknown material is calculated based on the change in oscillation frequency caused
by the interaction of the material-under-test (MUT) with a split-ring resonator (SRR). The dielectric constants of
small quantities of acetic acid, xylene, isobutanol and ethyl acetate are measured and show good agreement with
previously reported values.
WE4B-2
1620 – 1640
Tunable BaSrTiO3 Applications for the RF Front End
A. H. Cardona, Agile RF Inc., Santa Barbara, United States
This article presents the design and performance of tunable components and circuits for mobile device antennas
based on the company’s barium strontium titanate (BST) thin film technology. A novel capacitor array has been
designed utilizing a nonlinear C-V model and a capacitor “stacking” technique. Measurements on mobile devices
demonstrate extended bandwidth coverage for LTE, cellular, and PCS bands while maintaining TRP and TIS, and in
some cases improvement over fixed solutions.
WE4A-3
1640 – 1700
Measurement Setup for Non-Destructive Complex Permittivity Determination of Solid Materials
using Two Coupled Coaxial Probes
A. Cenanovic, M. Schramm, L. P. Schmidt, University of Erlangen-Nuremberg, Erlangen, Germany
A non-destructive measurement setup for complex permittivity determination of solid materials over a frequency
band from 0.05 GHz to 6 GHz is presented. The measurement method is based on the near field coupling of two
open-ended coaxial probes through the material under test. Two identical coaxial probes and an equivalent calibration kit, in order to perform a TRL calibration of the proposed setup, were designed. The calibration procedure is
explained and experimental results are presented.
WE4B-3
1640 – 1700
CMOS Based Tunable Matching Networks for Cellular Handset Applications
R. B. Whatley, T. Ranta, D. J. Kelly, Peregrine Semiconductor, San Diego, United States
The explosion in the number of connected cellular devices increasing data bandwidth requirements has grown the
number of bands a modern cellular device must support. A potential solution to this problem is achieved by the use
of Tunable Matching Networks. This paper outlines the challenging requirements placed on tunable components,
presents a Tunable Matching Network utilizing Digitally Tunable Capacitors (DTCs), and proposes a method for
evaluating the improvement this network brings.
WE4A-4
1700 – 1720
A Substrate Integrated Waveguide Probe Applicable to Broadband Complex Permittivity Measurements
N. Kim1, Y. Bang1, K. Kim1, C. Cheon2, Y. Kim1, Y. Kwon1, 1Seoul National University, Seoul, Republic of Korea, 2University of Seoul, Seoul, Republic of Korea
A planar-type probe with substrate integrated waveguide(SIW) structure has been developed for permittivity
measurement. The proposed probe consists of a microstrip line, a shielded stripline, and a coaxial aperture. The
shielded stripline was formed by placing via-hole arrays along the stripline to form a SIW. The proposed probe
shows distortion-free permittivity measurement up to 30 GHz, which is more than twice the bandwidth available
from the conventional planar coaxial probe.
WE4B-4
1700 – 1720
High Performance Tuners for Handsets
A. S. Morris, Q. Gu, S. P. Natarajan, J. R. Deluis, wiSpry, Inc., Irvine, United States
Impedance tuners are in evaluation within mobile handsets to improve performance, compensate for environmental influences, shrink antenna volumes and shorten design cycles. As a new component in the handset rf front-end
designer’s toolkit, tuner specifications and value propositions are subjects of heated debate. This paper proposes
a unified specification for a tuner component and then details the design and measured performance of an integrated tuner module against the specification.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
51
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1600 – 1720
WE4C: High Power Microwave Processing: Modeling and Applications
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 316-317
Chair: Malgorzata Celuch, Warsaw Univ. of Technology
Co-Chair: Vadim Yakovlev, Worcester Polytechnic Institute
The Session presents recent developments in microwave processing of materials. Theoretical contributions focus
on multiphysics computational techniques, which include new models of materials and perforated walls. Practical
implementations of microwave technologies in platinum recycling, synthesis of metallic nanoparticles, and metal
casting are provided.
WE4D: Innovative GaN Power Amplifiers
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 318-320
Chair: Joe Qiu, Army Research Laboratory
Co-Chair: Paul J Tasker, Cardiff University
This session presents a collection of state-of-the-art high power amplifier papers covering frequencies from 2 to
31 GHz. The first paper presents a 19-W Chireix amplifier at 2 GHz. The design and performance of a 2-20 GHz
broadband power amplifier with 10-20W output power are presented in the second paper. The third paper presents a Ku-band, 60 W, 45% PAE power amplifier. The fourth paper describes a Ka-band power amplifier with 18
W output power.
WE4C-1
1600 – 1620
The Debye Rigorous Equivalent of the Maxwell-Garnett Mixing Rule for Effective Modeling of Carbon
Suspensions under MW Irradiation
B. Salski1, M. Celuch2, 1QWED Sp. z o.o., Warsaw, Poland, 2Warsaw University of Technology, Warsaw, Poland
This paper responds to the recent needs for homogenized models of bi-phased mixtures, compatible with the FDTD
electromagnetic simulation method. We propose an explicit transformation of the Maxwell-Garnett mixing rule
to the Debye relaxation formula. For that purpose, both models are rearranged into rational functions, and the
conditions for their equivalence are derived. These explicitly provide the Debye parameters as a function of the
polynomial coefficients of the Maxwell-Garnett formula.
WE4D-1
1600 – 1620
A 19W High-Efficiency Wide-Band CMOS-GaN Class-E Chireix RF Outphasing Power Amplifier
M. P. van der Heijden1, M. Acar1, J. S. Vromans1, D. A. Calvillo-Cortes2, 1NXP Semiconductors, Eindhoven, Netherlands, 2Delft University of Technology, Delft, Netherlands
This paper presents a class-E Chireix outphasing power amplifier, comprising two CMOS-GaN lineups that are combined with a novel asymmetric coupled-line balun. The proposed concept demonstrates 51.6% system average
power efficiency and 65.1% average drain efficiency for a 7.5dB PAR WCDMA signal at 1.95GHz, while meeting the
ACLR specifications. Moreover, the PA demonstrated more than 60% drain efficiency across a 6dB power back-off
range and up to 19W peak power between 1800-2050MHz.
WE4C-2
1620 – 1640
Modeling of Microwave Ovens with Perforated Metal Walls
E. M. Kiley, V. V. Yakovlev, Worcester Polytechnic Institute, Worcester, United States
An applied technique is proposed for modeling of microwave ovens with perforated regions of metal walls. The
technique relies on replacement of perforated segments by solid surfaces with identical electric conductivity obtained by FDTD simulation of a perforated sheet in a waveguide. The approach is used in modeling of a microwave
oven with two perforated segments and shows their negligible impact on the frequency characteristics of |S11| so
they can be replaced in the model by solid PEC sheets.
WE4D-2
1620 – 1640
Decade Bandwidth 2 to 20 GHz GaN HEMT Power Amplifier MMICs in DFP and No FP Technology
J. J. Komiak, K. Chu, P. C. Chao, BAE Systems, Nashua, United States
Design and performance of power amplifiers that have established new benchmarks for 2 to 20 GHz power are
reported. The Dual Field Plate amplifier achieved P3dB of 26.3 Watts max., 15.4 Watts average, with 38.3% max
19.8% average PAE. Using an improved device, the No FP amplifier achieved P3dB of 21.6 Watts max., 16.0 Watts
average, with 35.7% max 25.9% average PAE. This output power, bandwidth, and efficiency is superior to the best
previously reported results for GaN HEMT power amplifiers.
WE4C-3
1640 – 1700
Prismatic Applicator for Continuous-Flow Microwave-Assisted Synthesis of Metallic Nanoparticles
P. Veronesi1, R. Rosa1, C. Leonelli1, A. Cappi2, A. Barzanti3, G. Baldi3, 1University of Modena and Reggio Emilia,
Modena, Italy, 2C.M.S., Marano (MO), Italy, 3Colorobbia, Sovigliana Vinci (FI), Italy
Metallic nanoparticles suspensions are gaining an increasing interest in medical applications. Microwave processing allows to control particle size and achieve high purity products. Based on a recently developed microwaveassisted process in aqueous solution at ambient pressure, a dedicated continuous-flow reactor able to produce up
to 1000 l/day of metal nanoparticles colloidal suspension has been designed, optimized and tested.
WE4D-3
1640 – 1700
A 45% Power-Added-Efficiency, Ku-band 60W GaN Power Amplifier
K. Yamauchi1, H. Noto1, H. Nonomura2, S. Kunugi2, M. Nakayama1, Y. Hirano1, 1Mitsubishi Electric Corp., Kamakura,
Japan, 2Mitsubishi Electric Corp., Amagasaki, Japan
A Ku-band 60 W GaN power amplifier is presented. To obtain the high efficiency, new matching circuit topology to
control the reflection phase at the 2nd harmonic frequency (2fo) is applied to the power amplifier. The measured
power added efficiency (PAE) of 44.9% with the output power of 47.9 dBm (62.2W) is obtained in the 15GHz-band.
To the best of our knowledge, the PAE is the highest of the Ku-band GaN power amplifiers reported to date.
WE4C-4
1700 – 1710
Microwave Assisted Platinum Recycling
M. A. Willert-Porada1, T. Gerdes1, A. Schmidt2, 1Univerisity of Bayreuth, Bayreuth, Germany, 2InVerTec eV, Bayreuth,
Germany
Platinum metal recycling and purification based on dissolution followed by precipitation into a salt and decomposition of the salt into pure metal is time consuming and the equipment used suffers from corrosion damage. In an
experimental approach a microwave (2.45 GHz) assisted dissolution process for platinum has been developed as
well as a microwave process for Pt-sponge synthesis. The paper presents details on the microwave process and the
mechanisms underlying the accelerated reaction.
WE4D-4
1700 – 1720
GaN HEMTs with Pre-match for Ka-Band with 18W
K. Takagi, N. Shinichiro, K. Masuda, K. Matsushita, H. Sakurai, K. Onodera, J. Shim, H. Kawasaki, T. Soejima, Y.
Takada, M. Hirose, K. Tsuda, Toshiba, Kawasaki, Japan
AlGaN/GaN High Electron Mobility Transistors(HEMTs) with pre-match circuit were developed for Ka-band. The
developed device showed 138 GHz of fmax, which depended on the thickness of the AlGaN barrier layer and the
gate length. The chip had a pre-match circuit to achieve an impedance matching at 31GHz. It had a 6.4 mm gate
periphery on a metal carrier plate. The output power achieved 18.5 W with impedance matching circuits.
WE4C-5
1710 – 1720
Nonlinear Multi-Physics Model For Casting Metal in an Industrial Microwave Furnace
B. Warren, M. H. Awida, A. E. Fathy, University of Tennessee, Knoxville, United States
Microwave furnace casting process is a time and temperature dependent nonlinear process. We present our multiphysics modeling of a microwave oven process. Our efforts include the development of the necessary procedures
and tools/probes to characterize all utilized materials over a wide temperature range. Specifically, our novel core
thermal analysis is based on the incorporation of black body radiation and the use of temperature dependent
emissivity of all utilized materials.
Technical Track Key:
52
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
WEDNESDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1600 – 1720
WE4E: FET Modeling
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 321-323
Chair: Robert Leoni, Raytheon Company
Co-Chair: Matthias Rudolph, Brandenburg Univ. of Technology
In this session the authors present papers on GaN and PHEMT linear and nonlinear models. The first presentation describes the authors’ approach to modeling a GaN dual gate configuration which is validated in a Ku-Band
amplifier. This is followed by the description of a pHEMT switch model which accurately captures on and off state
drive dependence. A highly scalable linear model that is consistent with standard nonlinear modeling topologies is
discussed in the third presentation. The session’s final talk describes the use of bipolar charge modeling techniques
to help describe the bivariate dependence of III-V FET gate charge.
WE4F: Computer-Aided Analysis for Electrically Large Distributed Networks
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 324-326
Chair: Jose E. Rayas-Sanchez, ITESO
Co-Chair: Arvind Sharma, Northrop Grumman
This session presents recent advances related to computer-aided analysis of electrically large systems. The first
paper describes a polynomial chaos-based statistical analysis approach for planar transmission lines. The other
three papers provide novel enhancements to model order reduction techniques for efficient analyses of electrically
large networks of transmission lines.
WE4E-1
1600 – 1620
Modeling and Realization of GaN-Based Dual-Gate HEMTs and HPA MMICs for Ku-Band Applications
P. Dennler, F. van Raay, M. Seelmann-Eggebert, R. Quay, O. Ambacher, Fraunhofer Institute for Applied Solid-State
Physics (FhG-IAF), Freiburg, Germany
A method for using a distributed modeling approach to describe the extrinsic and intrinsic parts of a dual-gate
structure separated from each other is demonstrated. A small-signal model based on this approach was developed.
A scalable nonlinear model was obtained through enhancing the small-signal model by an intrinsic large-signal
state-space kernel. The excellent capabilities of both the linear and nonlinear models are demonstrated on fabricated dual-gate HEMTs and a 2.5 W power amplifier MMIC.
WE4F-1
1600 – 1620
Polynomial Chaos-Based Tolerance Analysis of Microwave Planar Guiding Structures
P. Manfredi, F. G. Canavero, Politecnico di Torino, Torino, Italy
This paper focuses on the derivation of an enhanced transmission-line model allowing us to describe a realistic
microwave interconnect with the inclusion of external uncertainties. The proposed method, based on the expansion of telegraph equations in terms of orthogonal polynomials, turns out to be accurate and more efficient than
alternative solutions like the Monte Carlo method. An application example involving the analysis of S-parameters
of a realistic PCB coplanar waveguide concludes the paper.
WE4E-2
1620 – 1640
A Comprehensive PHEMT Core Model for Switch Applications
C. Wei, Y. Zhu, H. Yin, O. Klimashov, D. Bartle, Skyworks Solution Inc, Woburn, United States
A non-linear pHEMT core model for switch applications is described. The model combines an accurate CV function
below pinch-off and a 2D CV function above pinch-off for charge/capacitance modeling. The model has detailed
leakage equations near and beyond pinchoff and a dispersion function covering a wide bias range. The model was
verified by comparison with modeled and measured data, including IV/transfer curves, leakages, S-parameters/CV
curves and power/harmonics for both on-state and off state.
WE4F-2
1620 – 1640
Longitudinal Partitioning Based Waveform Relaxation Algorithm for Transient Analysis of Long
Delay Transmission Lines
S. Roy, A. Dounavis, University of Western Ontario, London, Canada
In this paper a waveform relaxation algorithm based on longitudinal partitioning is presented. This work models transmission lines as cascade of lumped circuit elements and lossless line segments, where the lossless line
segments are modeled using the method of characteristics. This allows the transmission line to be divided into
smaller, weakly coupled subcircuits, enabling the algorithm to converge more efficiently than existing relaxation
algorithms based on longitudinal partitioning.
WE4E-3
1640 – 1700
III-V FET High Frequency Model with Drift and Depletion Charges
M. Iwamoto, J. Xu, J. M. Horn, D. E. Root, Agilent Technologies, Santa Rosa, United States
A formulation of the III-V FET nonlinear charge model decomposed into a combination of univariate voltage depletion charges and a bivariate mixed voltage-current dependent “drift” charge is presented. Analogous to the diffusion charge in BJT models, the drift charge represents the mobile carriers in the channel of the FET. The total charge
depends on the depletion capacitances, drain current, and transit time, which links the FET charge model directly
to the physical operation of the device.
WE4F-3
1640 – 1700
Analysis of Excited Transmission Lines Based on the Passive Method of Characteristics Macromodel
A. Beygi, A. Dounavis, The University of Western Ontario, London, Canada
This paper presents a time-domain macromodel for lossy transmission lines exposed to electromagnetic interference. The proposed algorithm is based on the recently developed passive method of characteristics, which provides
an efficient mechanism to ensure the passivity of the macromodel for different line lengths. A numerical example
is provided to illustrate the validity and efficiency of the proposed method.
WE4E-4
1700 – 1720
A Scalable Linear Model for FETs
J. Tarazi2, S. J. Mahon2, A. P. Fattorini2, M. Heimlich1, A. E. Parker1, 1Macquarie University, North Ryde, Australia,
2
Macom Technology Solutions, North Sydney, Australia
A small-signal model of the intrinsic region of a microwave FET that considers four capacitance terms is examined.
The addition of a fourth capacitance rather than a channel resistance or delay term enables extraction of dispersion-free parameters, better consistency with a large signal model and better scaling properties. An important
aspect of the model topology is separation of resistive and reactive elements so that trans- and output conductance
correspond to real parts of the Y-parameters.
WE4F-4
1700 – 1720
Reduced Order Modeling of Delayed PEEC circuits
F. Ferranti1, M. Nakhla3, G. Antonini2, T. Dhaene1, L. Knockaert1, A. Ruehli4, 1Ghent University, Ghent, Belgium,
2
Università degli Studi dell’Aquila, L’Aquila, Italy, 3Carleton University, Ottawa, Canada, 4Missouri University of Science
and Technology, Rolla, United States
We propose a novel model order reduction technique that is able to accurately reduce electrically large systems
with delay elements, which can be described by means of neutral delayed differential equations. It is based on
an adaptive multipoint expansion and model order reduction of equivalent first order systems. The neutral delayed differential formulation is preserved in the reduced model. Pertinent numerical results validate the proposed
model order reduction approach.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
53
I M S
T E C H N I C A L
WEDNESDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1600 – 1720
WE4H: A Tribute to Professor Nathan Marcuvitz
Wednesday 8 June 2011
Time: 1600 – 1720
Room: 309
Chair: Aly Fathy, University of Tennessee
Co-Chair: Erich Kunhardt, Polytechnic University of NYU
Nathan Marcuvitz was an internationally recognized electro-physicist. During WWII he did ground-breaking work
at MIT Radiation Laboratory when a national priority was the rapid development of microwave radar. During that
time, he authored the Waveguide Handbook which is still considered a classic work characterizing microwave behavior and is well known to all of us working today in the fields of microwaves or optics. This Special Session will
include friends and colleagues describing their experiences with Nathan Marcuvitz and his impact on their lives
and careers.
WE4H-1
1600 – 1610
The Oracle: A Student Perspective of Nathan Marcuvitz
E. E. Kunhardt, Polytechnic Institute of NYU, Brooklyn, United States
I got to know Marc through three different portals: student, colleague and under-study. My intent is to share with
you my insights into the man and the scholar.
WE4H-2
1610 – 1630
The Legacy of Professor Nathan Marcuvitz and the Field of Leaky Waves
D. R. Jackson1, C. Caloz2, T. Itoh3, 1University of Houston, Houston, United States, 2École Polytechnique de Montréal ,
Montreal, Canada, 3University of California, Los Angeles, Los Angeles, United States
Prof. Nathan Marcuvitz was one of the pioneers in the area of modern microwave theory, and his seminal contributions laid the groundwork for much of what we today know as modern microwave theory. One important area of
microwave theory that he introduced is the area of leaky waves. Although this subject appeared rather puzzling at
first, it is now recognized as an essential part of microwave theory. This presentation will overview the role of leaky
waves in microwave theory and other fields.
WE4H-3
1630 – 1650
The ‘Waveguide Handbook’: Translation of the Electromagnetic Theory into Practical Network
Description
A. Omar, A. Kamel, University of Magdeburg, Magdeburg, Germany
Translation of the field theoretical description of microwave structures into practical lumped-element networks,
as has been established by N. Marcuvitz in his work ‘Waveguide Handbook’ will be revisited. We will highlight the
characteristic features of the book including the introduction of the concepts of equivalent voltages and currents
and N-port networks, finding out very efficient equivalent circuits for a large number of microwave structures, and
utilizing these circuits for RF design.
WE4H-4
1650 – 1700
Development of a Radial Combiner - a Tribute to Nathan Marcuvitz
A. E. Fathy, University of Tennessee, Knoxville, United States
Marcuvitz played a key coordinating role in his classic book, ‘Waveguide Handbook’, which had an enormous impact
on developing the microwave field. In a masterful summary, Marcuvitz described how these waveguide discontinuities can be represented rigorously in network form, how they can be measured accurately, and how they can
be analyzed theoretically. An example of such impact is touched upon by the story of developing one component
- the radial combiner.
WE4H-5
1700 – 1710
Modeling Communications Effects and Simulation of the Electronic Battlefield Environment (EBE): A
Tribute to Prof. Nathan Marcuvitz
B. S. Perlman, US Army CERDEC, Fort Monmouth, United States
Dr. Nathan Marcuvitz stands out clearly as the leading figure in the field of electromagnetic waves for the period
of at least two decades following World War II. He was widely viewed by his colleagues as the premier electromagnetics scholar of his generation. This presentation about state of the art of simulation of battlefields is a tribute to
his contribution and a symbol of how he early modeling efforts to very sophisticated system modeling schemes.
Technical Track Key:
54
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
I M S
T E C H N I C A L
P R O G R A M
THURSDAY FOCUS, PANEL, AND SPECIAL SESSIONS
Thursday
0800 – 0940
TH1C: Focus Session - Microwaves Around the World - I
Room: 316-317
Thursday
1200 – 1320
Chair: James Hwang, Lehigh University
Co-Chair: Misoon Mah, USAF AFMC AFOSR
Sponsor: IMS2011 Steering Committee
Chair:
Dr. Steve Pappert, Office of Naval Research
Co-Chair: Dr. Justin Hodiak, Booz Allen Hamilton, Inc
Sponsor: IMS
Abstract: A major theme for IMS2011 is Microwaves for the World. This year’s symposium
will feature two Focus Sessions dedicated to highlighting the global nature of the microwave
community and fostering collaboration between different regions of the world. The objective
of these sessions is to expose conference attendees to microwave activities in geographical
regions that are typically underrepresented at IMS but are very important in an increasingly
global society. The sessions will include speakers who are experts and leaders in microwave
technologies. This Microwaves Around the World Focus Session will feature presenters from
China, India, and Malaysia.
Panelists:
Thursday
0800 – 0940
Room: 321-323
TH1E: Focus Session - Microwave Technologies for Space: Needs and Challenge
Chair: Jerry Fiedziuszko, Space Systems/LORAL
Co-Chair: Jeff Snyder, LightSquared
Abstract: World population dependence on satellite communications, navigation, and
weather monitoring is increasing almost exponentially. Advanced satellite systems are
constantly being introduced that provide new services with enhanced capabilities. Current
and near term future satellite systems depending on microwave technologies grapple with
electrical efficiency, functional integration, size, mass and power. Medium term and longer
term satellite systems are looking for a paradigm shift in flexible, wideband and cost effective
microwave technologies. This Focus Session will present an overview of recent developments
in communications satellite systems.
Thursday
1000 – 1140
Room: 318-320
TH2D: Focus Session - The Impact of Carbon Nanoelectronics on
Radiofrequency Technology
Chair: Luca Pierantoni, DIBET-Università Politecnica delle Marche
Co-Chair: Fabio Coccetti, LAAS-CNRS
Sponsor: MTT-25 with co-sponsoring support by MTT-15, MTT-24
Abstract: Driven by technology and market requirements, semiconductor electronics has
already found its way into the nanoscale dimensions. Many nano-scale materials and devices,
and, in particular, carbon-based materials (carbon nanotube, graphene), exhibit their most
interesting properties over a broad range of applications and operating frequencies, covering
the radiofrequency (RF) spectrum, through the microwave up to the optical region. Carbonbased devices are quickly becoming extremely interesting solutions for a wide variety of
electronic devices and circuits. This Focus Session will feature speakers from around the world
presenting an overview of recent developments of new RF devices and systems based on carbon
nanotechnology.
Room: 307-308
Panel Session: Microwave Photonics: A Growing or Shrinking Value Proposition?
1.
2.
3.
4.
Charlie Cox, Photonics Systems, Inc.
Prof. Stojan Radic, UC San Diego
Prof. Mark Rodwell, UC Santa Barbara
Dwight Streit, Institute for Technology Advancement
Abstract: Microwave photonics continues to be an active area of research promising
to bring new capabilities to RF and MMW systems. The field of microwave photonics
deals with the generation, transmission, detection, or pre-processing of RF/MMW
signals using optical techniques. A key benefit of using optical techniques for
wideband electromagnetic systems is that the entire RF/MMW spectrum constitutes
only a small fraction of the carrier optical frequency, promising very little frequency
dependent dispersion and loss across entire microwave bands of interest. The potential
for increased bandwidth signal transmission and processing with high dynamic range
using microwave photonics has attracted significant government and commercial
financial investment over the past few decades. Concurrently, commercial and military
applications continue migrating to higher RF/MMW operating frequencies and larger
signal bandwidths generating a demand for technologies that support these increased
requirements. However, over the past decade the fundamental speed of semiconductor
transistors (e.g. Si, SiGe, III-V) has advanced dramatically with the fastest electronic
device technologies approaching THz values for f T and fmax. These advances in electronics
present the opportunity to reexamine how microwave photonics technologies best
address increasing bandwidth and dynamic range demands. The focus of this panel
session will be to take a balanced look at the value proposition and opportunities for
microwave photonics in light of the competing technologies and trends/requirements
for future RF/MMW systems.
Thursday
1420 – 1540
TH3C: Focus Session - Microwaves Around the World - II
Room: 316-317
Chair: Jozef Modelski, Warsaw University of Technology
Co-Chair: Ali Darwish, The American University in Cairo
Sponsor: IMS2011 Steering Committee
Abstract: A major theme for IMS2011 is Microwaves for the World. This year’s symposium
will feature two Focus Sessions dedicated to highlighting the global nature of the microwave
community and fostering collaboration between different regions of the world. The objective
of these sessions is to expose conference attendees to microwave activities in geographical
regions that are typically underrepresented at IMS but are very important in an increasingly
global society. The sessions will include speakers who are experts and leaders in microwave
technologies. This Microwaves Around the World Focus Session will feature presenters from the
Ukraine, Lithuania, Turkey, Egypt, and Brazil.
55
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
0800 – 0940
TH1A: Advances in Signal Generation Technologies
Thursday 9 June 2011
Time: 0800 – 0940
Room: 310
Chair: Thomas Ussmueller, University of Erlangen-Nuremberg
Co-Chair: Scott Wetenkamp, SCEAN
As modern signal generation continues to evolve, this session reports on a variety of recent advances. Subjects
cover from ultra-low-power VCOs to millimeter-wave extremely-fast-locking synthesizers. These papers show the
significance of CMOS, SiGe HBT and SiGe Bi-CMOS technologies for signal generation and report updates on chippackage co-design using LCP and BGA packaging.
TH1B: New Advances in Power Dividers and Hybrids for RF and Microwave Applications
Thursday 9 June 2011
Time: 0800 – 0940
Room: 314-315
Chair: Nickolas Kingsley, Auriga Microwave
Co-Chair: Jesse Taub, Consultant
This session focuses on the adoption of new techniques to implement standard microwave components, such as
power dividers and hybrids. Specifically, power dividers that meet the demands of size reduction, while providing
multi-functionality and multi-band operations will be discussed. Additional features shown in the design of these
components include harmonic suppression, improved stop-band filter performance and integration with lumped
components. Finally a compact substrate integrated waveguide magic-tee is presented.
TH1A-1
0800 – 0820
A Very Low Phase-Noise Voltage-Controlled-Oscillator at X-Band
M. Nick, A. Mortazawi, University of Michigan, Ann Arbor, United States
An X-band VCO is designed using a compact tunable high-Q negative-R resonator. The proposed resonator is a
reactively-terminated active band-pass filter, which provides high frequency-selectivity and, at the same time,
initiates and sustains the oscillation. This approach results in a simple and compact VCO structure. The fabricated
VCO operates at 8.2 GHz with 105 MHz tuning range and a measured phase-noise of -149.5 dBc/Hz at 1 MHz. The
VCO achieves record values for phase-noise and FOM.
TH1B-1
0800 – 0810
Design of Wilkinson Power Divider With Embedded Low-pass Filter and Cross-stub for Improved
Stop-band Characteristics
M. Choi, H. Lee, Y. Cho, X. Wang, S. Yun, Sogang University, Seoul, Republic of Korea
This paper presents a modified microstrip Wilkinson power divider with improved stopband characteristics. By using embedded stepped-impedance low-pass filters and a cross-stub, not only wide stopband performance but also
a controllable transmission zero for specified nth harmonic suppression can be effectively obtained. In addition, the
explicit design equations of the proposed power divider are derived.
TH1A-2
0820 – 0840
Compact Sub-milliwatt Low-Phase-Noise CMOS LC-VCO Based on Power Reduction Technique
J. Jung, P. Upadhyaya, P. Liu, D. Heo, Washington State University, Pullman, United States
A power-minimized LC voltage controlled oscillator (VCO) with switched biasing and triode-region MOSFETs has
been designed using a 0.18-μm CMOS process. The design strategy for an LC VCO suggested an inductance selection scheme to accommodate the trade-off between power consumption and phase noise. The VCO achieves a
measured phase noise of -123.2 dBc/Hz at 1 MHz offset frequency. The Figure-Of-Merit with the normalized area
of the proposed VCO is -198.2 dB.
TH1B-2
0810 – 0830
Compact Impedance-Transforming 3-dB Three-Port Power Dividers with Modified Asymmetric
Impedance Transformers (MCCTs)
H. Ahn1, T. Itoh2, 1Seoul National University, Seoul , Republic of Korea, 2Uinversity of California, Los Angeles, Los
Angeles, United States
For size reduction and design flexibility, a modified impedance transformer (MCCT) is proposed. One of the MCCTs
is compared with conventional compact impedance transformers and the compared results show that the bandwidth of one MCCT is 78.5%, while those of the conventional ones are 40% and 42.5%, respectively. Using the
MCCTs, impedance-transforming 3-dB MCCT power dividers are fabricated within an area that is much less than a
conventional Wilkinson power divider.
TH1A-3
0840 – 0900
A 5.9-to-7.8 GHz VCO in 65-nm CMOS Using High-Q Inductors in an Embedded Wafer Level BGA
Package
V. E. Issakov1, M. Wojnowski1, G. Knoblinger2, M. Fulde2, K. Pressel1, G. Sommer1, 1Infineon Technologies AG,
Neubiberg, Germany, 2Infineon Technologies Austria AG, Villach, Austria
We present a 5.9-to-7.8 GHz VCO in a 65 nm CMOS technology assembled in a chip-scale eWLB package. The VCO
uses a high-quality LC-tank inductor, realized in the fan-out area of the package. Using this high-Q inductor the
phase noise is reduced by as much as 9 dB at 1 MHz offset compared to a reference VCO, having on-chip inductor
instead. The VCO using the eWLB inductor offers a phase noise of -118.3 dBc/Hz at 1 MHz and output power of -1.1
dBm. The VCO core consumes 20.2 mA from a 1.2 V supply.
TH1B-3
0830 – 0850
A Novel 3-Way Power Divider Design with Multi-Harmonic Suppression
K. M. Cheng, W. Ip, The Chinese University of Hong Kong, Hong Kong, Hong Kong
This paper presents a novel 3-way microwave power divider design with harmonic suppression. Explicit closedform expressions are derived based upon even- and odd- mode analysis for parameter evaluation. The proposed
circuit features compact size, flexible layout and enhanced spurious attenuation. For demonstration, the simulated
and experimental results of a 1 GHz power divider implemented on microstrip are given.
TH1A-4
0900 – 0920
An LCP Packaged High-Power, High-Efficiency CMOS Millimeter-Wave Oscillator
E. A. Juntunen, W. Khan, C. Patterson, S. Bhattacharya, D. Dawn, J. Laskar, J. Papapolymerou, Georgia Institute of
Technology, Atlanta, United States
This paper, demonstrates for the first time, the performance of a CMOS millimeter-wave oscillator packaged on
low-cost organic liquid crystal polymer (LCP). Measurements were taken of the bare die oscillator and the packaged oscillator in order to verify the effects of wire bonding and LCP packaging. The oscillator achieves a peak
output power of 4.8 dBm when directly probed and 4.2 dBm when measured on package, with peak dc to rf efficiencies of 11.54% and 9.89%, respectively.
TH1B-4
0850 – 0910
Generalized Dual-Frequency Wilkinson Power Dividers with a Series/Parallel RLC Circuit
X. Wang, I. Sakagami, University of Toyama, Toyama, Japan
Two generalized dual-frequency Wilkinson dividers are discussed. One consists of two-section transformers and a
parallel RLC circuit and the other consists of two-section transformers and a series RLC circuit. Experimental results
for two trial circuits of power division ratio 2 showed good agreement with results of simulation.
TH1A-5
0920 – 0940
A 35 GHz Dual-loop PLL with Low Phase Noise and Fast Lock for Millimeter Wave Applications
1
X. Gai , S. Chartier 2, A. Trasser1, H. Schumacher1, 1Univeristy of Ulm, Ulm, Germany, 2CASSIDIAN - Cassidian Electronics , Ulm, Germany
A fully integrated dual-loop PLL for mm-wave applications is presented. The design includes a phase locked hold
loop and a frequency acquisition loop; by using two types of phase detectors for each loop, a low phase noise, a
fast lock time, and a wide locking range can be achieved simultaneously. The chip was designed in a 250 nm SiGe
BiCMOS technology. The locking range is from 33.8 to 37.6 GHz. The output phase noise is around -106 dBc/Hz at
1MHz offset.
TH1B-5
0910 – 0930
Compact Planar Magic-T Using Half Mode Substrate Integrated Waveguide and Slotline Coupling
1
W. Feng , W. Che1, T. F. Eibert2, 1Nanjing University of Science & Technology, Nanjing, China, 2Technische Universität
München, Munich, Germany
A new magic-T using HMSIW and slotline coupling is presented. Due to the metal ground inserted into the middle
of the substrate, the power divider has a 180° reverse phase. A slotline in the middle ground can couple the energy
and functions as the H-arm of magic-T. A Ku-band magic-T is fabricated, good agreement between the measured
and simulated results is observed, indicating that the planar magic-T has bandwidth of 18.3%, the amplitude and
phase imbalances are less than 0.23 dB and 2.0°.
Technical Track Key:
56
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
TH1C: Microwaves Around the World - I
Thursday 9 June 2011
Time: 0800 – 0940
Room: 316-317
Chair: James Hwang, Lehigh University
Co-Chair: Misoon Mah, USAF AFMC AFOSR
A major theme for IMS2011 is Microwaves for the World. This year’s symposium will feature two Focus Sessions
dedicated to highlighting the global nature of the microwave community and fostering collaboration between
different regions of the world. The objective of these sessions is to expose conference attendees to microwave
activities in geographical regions that are typically underrepresented at IMS but are very important in an increasingly global society. The sessions will include speakers who are experts and leaders in microwave technologies.
This Microwaves Around the World Focus Session will feature presenters from China, India, Malaysia, and Brazil.
P R O G R A M
0800 – 0940
TH1D: Signal Processing/Integrity Circuitry for Data Throughput up to 80 Gbit/s
Thursday 9 June 2011
Time: 0800 – 0940
Room: 318-320
Chair: Hermann Boss, Rohde & Schwarz
Co-Chair: Shamsur Mazumder, Raytheon
This session presents five papers addressing high speed signal processing and signal integrity circuits for multiGHz data communications. The circuits include active 10-GHz spur canceller, 80Gb/s equalizer with forward error
correction, 28 GHz 6-bit digital to analog converter, 50 Gbit/s phase detector, and 3-5 GHz MMIC matched filters.
TH1C-1
0800 – 0820
Millimeter Wave and THz Communications in China
W. Hong, Southeast University, Nanjing, China
In this paper, the history of millimeter wave and THz research and applications in China and the current projects
supported by China government related to millimeter wave and THz communications are briefly reviewed, some
typical achievements in millimeter wave and THz components, systems and integrated circuits from some universities and institutes in China are introduced.
TH1D-1
0800 – 0820
An Adaptive Broadband BiCMOS Active Spur Canceler
A. Trippe, E. Juntunen, D. Bhatta, K. Chuang, K. Lee, J. Laskar, J. Papapolymerou, E. Gebara, Georgia Institute of
Technology, Atlanta, United States
An active interference cancellation system is presented that is capable of eliminating undesired signals resulting
from an on-chip clock whose fundamental (from 6 - 10 GHz) and/or harmonics (up to 30 GHz) couple into a receiver
path. The system performance is independent of the aggressing clock rate and amplitude fluctuations. A series-toparallel interface provides a healing aspect to the system. Over 25 dB of cancellation for an aggressing clock and
its harmonics have been achieved.
TH1C-2
0820 – 0840
Performance Enhancement Research for Printed Circuit Board Manufacture in China
J. Mao, J. Li, M. Tang, L. Wu, Key Laboratory of Ministry of Education of China for Research of Design and Electromagnetic Compatibility of High speed Electronic Systems, Shanghai, China
In this paper, a concise survey about the development of China’s printed-circuit board (PCB) industry is given first,
followed by technical problems that China has to overcome to continue her leadership in PCB industry. Then, some
typical basic research results achieved in recent years in China towards solving the technical challenges are introduced. At last, some discussion about the future research program and activities in China is presented.
TH1D-2
0820 – 0840
A 28GS/s 6b Pseudo Segmented Current Steering DAC in 90nm CMOS
T. Alpert, F. Lang, D. Ferenci, M. Grözing, M. Berroth, University of Stuttgart, Stuttgart, Germany
A pseudo segmented twofold time-interleaved 6-bit DAC occupies 0.28 mm² chip area in a standard 90 nm CMOS
technology. The DAC enables sampling rates up to 28 GS/s with a power consumption of 2.25 W at a -2.5 V power
supply. The output bandwidth is at least 14 GHz. The integral non-linearity (INL) and differential non-linearity
(DNL) are 0.8 LSB and 1 LSB respectively. The estimated effective number of bit (ENOB) at 25 GS/s are 5.5-bit at DC
and 4.6-bit at the Nyquist frequency.
TH1C-3
0840 – 0900
Microwave Engineering and its Important Role in India’s Development
M. V. Pitke, Nichiken Technologies, Mumbai, India
Generation, detection and propagation of radio frequencies has been a subject of enthusiastic study in the physics
departments of Universities since the beginning of the last century. Jagadish Chandra Bose was the first to demonstrate in public in 1895 remote control by radio by detonating a device placed faraway. This event and Marconi’s
experiments in England generated considerable interest among the physicists all over India.
TH1D-3
0840 – 0900
Design and Test of Decision Feedback Equalizers for 80 Gbit/s Bit Rate and Beyond
A. S. Awny1, A. Thiede1, J. Scheytt2, 1University of Paderborn, Paderborn, Germany, 2IHP, Frankfurt(Oder), Germany
Modification of the 1-tap parallel look-ahead decision feedback equalizer (DFE) architecture is developed using
Boolean algebra to enable its operation at 80Gbps and beyond. Measurement techniques which can be generally
applied to the testing of this as well as to other DFE architectures are devised. The equalizer’s wide band clock
distribution network enables its operation from 25 to 80Gbps. The equalizer is designed in a 0.13um SiGe:C BiCMOS
technology, dissipates 4W and occupies 2mm2
TH1C-4
0900 – 0920
Popularizing Microwave Education and Research in India
S. K. Koul, India Institute of Technology - Delhi, Delhi, India
This paper presents a glimpse of the activities carried out at our institute during the last three decades. Details of
a special Master level program conveived at our institute to popularize Microwave Education and Research in India
are then presented. Novel educational trainer kits developed to impart training to the students to familiarize them
with practical aspects of Microwave ICs are described. Efforts made through IEEE MTT local chapters to motivate
students are presented.
TH1D-4
0900 – 0920
Fully Integrated 50 Gbit/s Half-Rate Linear Phase Detector in SiGe BiCMOS
N. Joram1, A. Barghouthi1, C. Knochenhauer1, F. Ellinger1, C. Scheytt2, 1Dresden University of Technology, Dresden,
Germany, 2IHP GmbH, Frankfurt (Oder), Germany
Presented is a fully integrated half-rate linear phase detector for clock and data recovery (CDR) in serial communication systems which is capable of operating up to 50 Gbit/s. Because of its half-rate architecture, the phase
detector features inherent 1:2 demultiplexing. At a core supply voltage of 3.3 V and a bias voltage of 3.6 V, the total
power consumption of the integrated circuit amounts to 1.22 W. Using a 0.25 µm SiGe HBT BiCMOS process, the
chip core area occupies 0.88mm².
TH1C-5
0920 – 0940
Educating RF Engineers for Multi-national Corporations in Malaysia
F. Kung1, W. Choo2, V. Koo3, 1Multimedia University, Cyberjaya, Malaysia, 2DreamCatcher Consulting Sdn. Bhd., Sungai
Nibong, Malaysia, 3Multimedia University, Bukit Beruang, Malaysia
This paper summarizes the effort to bridge the knowledge gap in RF engineering between new engineers and
multi-national corporations in Malaysia.
TH1D-5
0920 – 0940
Synthesis and Design of Novel Active MMIC Matched Filters for Ultra-wideband Impulse Radio
J. Xia, C. Law, Y. Zhou, Nanyang Technological University, Singapore, Singapore
In this paper, a novel active matched filter for UWB impulse radio lower band (3-5 GHz) is presented. Based on a
tapped delay line structure, the matched filter delays and sums the UWB signal coherently to improve output signal
to noise ratio (SNR). A four stage matched filter with a full cycle delay at centre frequency (4 GHz) is proposed. To
reduce the amount of phase delay, a novel half cycle delay matched filter is then introduced. SNR improvement is
verified through Monte Carlo simulations.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
57
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
TECHNICAL SESSIONS
TH1E: Microwave Technologies for Space: Needs and Challenge
Thursday 9 June 2011
Time: 0800 – 0940
Room: 321-323
Chair: Jerry Fiedziuszko, Space Systems/LORAL
Co-Chair: Jeff Snyder, LightSquared
World population dependence on satellite communications, navigation, and weather monitoring is increasing almost exponentially. Advanced satellite systems are constantly being introduced that provide new services with enhanced capabilities. Current and near term future satellite systems depending on microwave technologies grapple
with electrical efficiency, functional integration, size, mass and power. Medium term and longer term satellite
systems are looking for a paradigm shift in flexible, wideband and cost effective microwave technologies. This
Focus Session will present an overview of recent developments in communications satellite systems.
2
0
1
1
0800 – 0940
TH1F: Advanced Packaging Materials and Techniques for Microwave and Millimeter-wave Applications
Thursday 9 June 2011
Time: 0800 – 0940
Room: 324-326
Chair: Zaher Bardai, IMN.Epiphany
Co-Chair: Bruce Kopp, DPM Consulting
This session will present advanced packaging materials, including LTCC, parylene-N, along with interconnect techniques applicable to applications through 120 GHz.
TH1E-1
0800 – 0820
Wideband Communications Satellite Payload Architecture
A. E. Atia, Orbital Sciences Corp., Potomac, United States
The paper presents a wideband payload architecture, discusses its advantages and draws comparison with the
conventional channelized transponders architectures. Major requirements and challenges for the needed power
amplifiers are outlined. The approach reduces the amount and complexity of the payload RF hardware, enhances
the frequency spectrum utilization efficiency, and enables services that require wider bandwidths, with little or no
impact on the ground equipment infrastructure.
TH1F-1
0800 – 0820
Compact LTCC Antenna Package for 60 GHz Wireless Transmission of Uncompressed Video
S. Brebels1, C. Soens1, W. De Raedt1, G. Vandenbosch2, 1IMEC, Leuven, Belgium, 2Katholieke Universiteit Leuven,
Leuven, Belgium
This paper proposes a compact antenna package in low-temperature co-fired ceramic (LTCC) technology for 60 GHz
wireless transmission of uncompressed video. An active phased-array antenna is realized in a 9.5 x 9.5 x 0.8 mm3
package by integrating 4 open-waveguide antenna elements at the top of the package with a 60 GHz CMOS chip
at the bottom of the package. The LTCC build-up was designed to provide low interconnection loss, good antenna
performance and excellent shielding of the CMOS chip.
TH1E-2
0820 – 0840
Advanced Microwave Technologies for Smart Flexible Satellites
H. Chan, LORAL Space Systems, Palo Alto, United States
The continuous evolution of payload requirements involves providing more flexibility, higher power, and wider
bandwidth. Key challenges to satellite microwave technology are the development of higher power amplifiers,
wider bandwidth devices, flexible components such as tunable local oscillators and tunable filters, and reconfigurable antennas. A smart combination of existing and emerging microwave technologies can cost effectively
provide various degrees of mission and payload flexibility.
TH1F-2
0820 – 0840
Thin-Film Multilayer Parylene Interposer for High-Density 3D Packaging with Embedded Capacitors
J. Maeng, B. Kim, D. Ha, W. J. Chappell, Purdue University, West Lafayette, United States
A novel all-Parylene based multilayer organic interposer for high-density 3D packaging has been presented.
Low-loss materials are deposited in very thin layers, coinciding with thicker flexible structural layers. High density
embedded capacitors are implemented on a Parylene substrate by virtue of an ultra-thin (~ 47 nm) deposition
of Parylene-N. These are shown to have relatively high voltage breakdown, indicating a good film quality. High
valued capacitors as high as 450 pF are created. The applicability of the embedded capacitors are demonstrated
with an RF powering test vehicle.
TH1E-3
0840 – 0900
Advanced Satellite Technology Needs
E. Klein-Lebbink, Boeing Defense, Space and Security, El Segundo, United States
Microwave technologies for communication satellites are discussed. Microwave technology advances are typically
addressing a number of communications issues, including frequency spectrum utilization, increased capacity and
capacity flexibility, lower costs, and new services such as broadband , hosted UHF payloads or Internet dedicated
processed payloads. It is concluded that much work remains to be done in microwave technology development for
space applications to help the competitiveness of the communications satellite industry
TH1F-3
0840 – 0900
C-Ku Band GaN MMIC T/R Front-end Module Using Multilayer Ceramics Technology
S. Masuda, M. Yamada, T. Ohki, K. Makiyama, N. Okamoto, Y. Nakasha, K. Imanishi, T. Kikkawa, H. Shigematsu,
Fujitsu Laboratories Ltd., Atsugi, Japan
A C-Ku band GaN MMIC T/R frontend module with a novel RF interface structure has been successfully developed
by using multilayer ceramics technology. This interface improves the insertion loss operating up to 40 GHz. The
module contains a 10 W GaN power amplifier over 6-18 GHz and a GaN low-noise amplifier with a gain of 15.9 dB
over 3.2-20.4 GHz and noise figure of 2.3-3.7 dB over 4-18 GHz. A fabricated T/R module occupying only 12×30
mm2 delivers an output power of 10 W up to the Ku-band.
TH1E-4
0900 – 0920
Microwave Technologies for Space: Needs & Challenges from Commercial and System Engineering
Perspectives
M. R. Bjorkman, R. Kampfer, Lockheed Martin Space Systems Company, Newtown, United States
Component manufacturers require direction on where the most urgent needs & challenges exist for commercial
satellites. This paper synthesizes the needs from a commercial and system engineering viewpoints and finds that
the two are in harmony. The focus for space-based component innovation should be for fixed and broadcast service
satellite and spot beam satellite payloads. Specific examples of desired improvements in active & passive microwave components are provided.
TH1F-4
0900 – 0920
Low-loss, Low-cost, IC-to-Board Bondwire Interconnects for Millimeter-Wave Applications
G. Liu, A. Trasser, A. Ulusoy, H. Schumacher, Ulm University, Ulm, Germany
This paper presents a low-cost, low-loss solution for IC-to-board interconnects using bond wires. With an L-C-L
structure to compensate the influence of bond wires, low insertion loss and high return loss can be achieved. Optimized at 60 GHz, an insertion loss of 0.1 dB is achieved for the differential connection and 0.3 dB is achieved for
the single-ended connection, with additional radial stubs to compensate the influence of the ground bond wire.
TH1E-5
0920 – 0940
Demonstration of Multi-Gbps Data Rates at Ka-Band Using Software-Defined Modem and Broadband High Power Amplifier for Space Communications
R. N. Simons1, E. G. Wintucky1, D. G. Landon2, J. Y. Sun2, J. S. Winn2, S. Laraway2, W. K. McIntire2, J. L. Metz2, F. J.
Smith2, 1NASA Glenn Research Center, Cleveland, United States, 2L-3 Communication Systems-West, Salt Lake City,
United States
The paper presents the experimental results regarding the combination of a software-defined multi-Gbps modem
and a high power amplifier (HPA) when tested with standard DVB-S2 and LDPC rate 9/10 FEC codec. The modem
supports waveforms including QPSK, 8-PSK, 16-APSK, 32-APSK, 64-APSK, & 128-QAM. The broadband HPA is a
space qualified TWTA, which has a passband 3 GHz at 33 GHz, output power 200 watts & efficiency 60%. The system
enabled an unprecedented data rate at 20 Gbps with BER of 10-9.
TH1F-5
0920 – 0940
Design and Measurement of Matched Wire Bond and Flip Chip Interconnects for D-Band System-inPackage Applications
S. Beer, B. Ripka, S. Diebold, H. Gulan, C. Rusch, P. Pahl, T. Zwick, Karlsruhe Institute of Technology, Karlsruhe,
Germany
This paper reports on possible interconnect solutions between a Silicon MMIC and an off-chip antenna. These shall
both be integrated within a plastic package to achieve a 122 GHz system-in-package. Coplanar wire bond and flip
chip interconnects are shortly introduced and compared. Simulation and measurement results of matched interconnects are then evaluated in a frequency range between 110 and 170 GHz.
Technical Track Key:
58
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
0800 – 0940
TH1G: Power Amplifiers and Reconfigurable Networks for VHF and UHF
Thursday 9 June 2011
Time: 0800 – 0940 Room: 327-329
Chair: Charles Weitzel, Renavitis Technologies
Co-Chair: John Heaton, JLH Consulting
This session presents new developments in power amplifiers and reconfigurable matching networks for use at VHF
and UHF. The papers on reconfigurable networks include a single-element tuner for cell phones, a five-element
tuning network for UHF, and an electronically tuned power amplifier. The papers on power amplifiers include
an LDMOS PA for polar transmitters, a class-E PA using series-stacked LDMOS FETs, and a Doherty amplifier with
different supply voltages.
TH1G-1
0800 – 0820
Bandwidth-Optimal Single-Tunable-Element Matching Network for Antenna Tuning in Mobile
Handsets
W. N. Allen, D. Peroulis, Purdue University, West Lafayette, United States
This paper presents a single-tunable-element matching network optimized for the GSM850 and GSM900 bands.
A simple model for antenna detuning based on extensive measurements from literature is first presented. Single
tunable element implementations for a planar inverted-F antenna as well as a proof-of-concept for the iPhone
4TM antenna are shown. Measured results indicate transducer gain improvements of up to 3.5 dB (53%) and 5.6
dB (57%) can be obtained for the two implementations, respectively.
TH1G-2
0820 – 0840
Broadband Reconfigurable Matching Network of Reduced Dimensions for the UHF Military Satellite
Communication Band
M. J. Franco, D. Dening, RFMD, Greensboro, United States
A good impedance match between a transmitter and an antenna is essential to achieve power efficiency and maximize the effective radiated power. In portable scenarios, the antenna impedance is usually subject to wide variations, mostly due to its interaction with nearby objects. This paper presents a reconfigurable, low loss matching
network that provides a wide range of impedance match over a bandwidth that exceeds one octave. Experimental
results are provided for the 220 to 450 MHz band.
TH1G-3
0840 – 0850
Electronically Tuned UHF Power Amplifier
F. H. Raab, Green Mountain Radio Research Company, Colchester, United States
This electronically tunable UHF power amplifier (PA) is based upon a Gallium-Nitride (GaN) HEMT operated in class
C. The gate input is tuned by two arrays of varactor diodes. The drain output is tuned by a three-stub tuner whose
stub lengths are controlled by pin diodes. From 325 to 800 MHz (factor of 2.5:1), the PA delivers an output of 34 to
50 W with an overall efficiency of 47 to 65 percent.
TH1G-4
0850 – 0900
A High Efficiency 250W LDMOS Amplifier for Polar-Transmitter at 940 MHz
J. Bouny, Freescale Semiconducteur France SAS, Toulouse, France
A high efficiency base station amplifier using LDMOS is presented in the 900 MHz to 980 MHz band. LDMOS has
widely demonstrated its ability to work efficiently within Doherty structure at attractive cost, and it is shown that it
can also be used in a polar transmitter topology. The transistor is in inverted class F, and with appropriate optimization of the transistor, 65% efficiency can be achieved at 8 dB Output Back Off (OBO) from maximum power over the
900 MHz-980 MHz band with 16.5 dB Gain.
TH1G-5
0900 – 0920
A High Voltage High Power (HiVP) Class-E Power Amplifier at VHF
W. A. Alomar, A. Mortazawi, University of Michigan, Ann Arbor, United States
A four stage cascoded class-E power amplifier based on a high voltage / high power technique (HiVP) technique
has been designed and implemented. The amplifier is fabricated using four separate flanged LDMOS transistors.
Drain voltage has been reduced to increase the maximum frequency of class-E operation mode and to reduce the
maximum drain voltage swing to protect the transistor from breakdown. Measurement results show 69% poweradded efficiency (PAE), 30.1 dB of gain and 51.8 W output power.
TH1G-6
0920 – 0940
Doherty Power Amplifier with Asymmetrical Drain Voltages for Enhanced Efficiency at 8 dB Backedoff Output Power
T. Kitahara, T. Yamamoto, S. Hiura, Toshiba Corporation, Yokohama, Japan
In this paper, we present a high-efficiency 300 W Doherty power amplifier (DPA) that can improve the efficiency at
an 8 dB backoff from its saturated output power (PSAT). To enhance the efficiency, asymmetrical drain voltages are
supplied to a carrier amplifier and a peak amplifier in the DPA. Measurement results using a CW signal indicate a
drain efficiency (Ed) of 50% at a PSAT of 55 dBm and a Ed of 48% at an 8 dB backoff from PSAT.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
59
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1000 – 1140
TH2A: Innovative Planar Filters and Multiplexers
Thursday 9 June 2011
Time: 1000 – 1140
Room: 310
Chair: Christopher Galbraith, MIT Lincoln Laboratory
Co-Chair: Ali Atia, Orbital Sciences Corp.
This session includes five papers, each providing an innovative filter design, using a wide range of planar technologies. These include a technique for providing multi-port power division with a multi-band response, a hightemperature superconducting filter covering two closely spaced bands, a substrate integrated waveguide-based
filter, a miniature microstrip filter realized on a very high dielectric constant substrate, and a new type of planar
duplexer giving very good transmit-receive isolation.
TH2B: Advances in Passive Circuit Technology for Microwave System Applications
Thursday 9 June 2011
Time: 1000 – 1140
Room: 314-315
Chair: Kavita Goverdhanam, US Army
Co-Chair: RM Henderson, The University of Texas at Dallas
This session focuses on recent advances in passive circuit technology for a wide range of microwave system applications up to W-band frequencies. The subsystems presented will include compact waveguide switches, antennaarray-integrated comparator networks and broadband planar phase correlators. The session concludes with substrate integrated waveguide tunable phase shifters and transducers.
TH2A-1
1000 – 1020
Miniature Microstrip Filter Using High-Permittivity Ceramic Substrates (Er = 90)
M. Le Coq1, E. Rius1, C. Quendo1, B. Potelon1, J. Favennec1, L. Estagerie2, P. Moroni3, Y. Clavet4, A. Manchec4, 1Université de Brest, Brest, France, 2CNES, Toulouse, France, 3Thales Alenia Space, Toulouse, France, 4Elliptika, Brest, France
This paper presents a C-band planar filter miniaturized through the use of high-permittivity substrates. The drawbacks associated to such substrates are recalled. To overcome them, the filter under study was based on the DBR
topology and realized on a high-K substrate. This ceramic has a relative permittivity of 90 and a low dielectric
tangent (tanD=0.0009). Finally, the results of simulations and measurements are presented and discussed, particularly the footprint reduction.
TH2B-1
1000 – 1020
Compact Four-Port Rectangular Waveguide Switches Based on Simple Short Circuit Loads
1
J. A. Ruiz-Cruz , M. M. Fahmi2, R. R. Mansour2, 1Universidad Autónoma de Madrid, Madrid, Spain, 2University of
Waterloo, Waterloo, Canada
Four-port rectangular waveguide switches presented in this paper are based on alternating simple short and open
circuit loads in the waveguide path. The proposed concept eliminates the use of bulky rotary mechanisms to provide path switching. The device layout is very simple and compact and is presented for C-, R- and T-type switches.
The experimental performance of a T-type switch shows a return loss better than 23 dB from 13 to 14.5 GHz, insertion loss of 0.3-0.4 dB and isolation of 28 dB.
TH2A-2
1020 – 1040
A Novel Compact Dual-Band Half-Mode Substrate Integrated Waveguide Bandpass Filter
V. Sekar, K. Entesari, Texas A&M University, College Station, United States
This paper presents a novel dual-band bandpass filter (BPF) using half-mode substrate integrated waveguide (HMSIW) technology for the first time. The proposed filter is at least six times smaller than its conventional substrate
integrated waveguide (SIW) counterpart. A three-pole dual-band Chebyshev BPF with center frequencies of 1.05
GHz and 1.3 GHz is implemented on RT/Duroid 6010LM substrate. To our knowledge, this filter is the state-of-theart in dual-band BPFs using SIW technology.
TH2B-2
1020 – 1040
A W-band Micro-coaxial Passive Monopulse Comparator Network with Integrated Cavity–Backed
Patch Antenna Array
J. M. Oliver1, P. E. Ralston1, E. Cullens2, L. M. Ranzani2, S. Raman1, K. Vanhille3, 1Virginia Polytechnic Institute and
State University, Blacksburg, United States, 2University of Colorado at Boulder, Boulder, United States, 3Nuvotronics,
LLC., Radford, United States
An integrated W-band passive monopulse comparator network is constructed using four rat-race hybrids, designed
using the PolystrataTM 3-D sequential micromachining process. The comparator network has a simulated azimuth
null of 44.6 dB and elevation null of 44.4 dB. The network is monolithically integrated with an array of cavity
backed patch antennas and diode detectors for measurement purposes. An azimuth null of 31.4 dB and an elevation null of 22.5 dB are measured at 93.5 GHz.
TH2A-3
1040 – 1100
8 Pole High Temperature Superconductor Microstrip Dual-Band Bandpass Filter Design
K. S. Yeo1, M. J. Lancaster2, 1University of East London, London, United Kingdom, 2The University of Birmingham,
Birmingham, United Kingdom
Dual-band filters are normally used for filtering two frequency bands that are not too close together. However, this
paper presents a HTS dual-band bandpass filter that can be used to achieve isolation between two frequency bands
that are only a few tens of MHz apart. Transmission zeros are placed in between the two frequency bands using
electromagnetic coupling between non-adjacent resonators which result in high isolation between the two bands.
The experimental results will be presented here.
TH2B-3
1040 – 1100
A New Class of Broadband Phase Correlators
F. Monsees, S. F. Peik, University of Applied Sciences, Bremen, Germany
Phase correlators are one of the most basic networks that can be constructed from microwave components like
power dividers or hybrid couplers. This work shows the requirements that these devices should fulfill in order to
ensure that the correlator circuit works over a large bandwidth. We introduce a new phase correlator structure
consisting of one three-stage power divider and three double-stage hybrid couplers. We show that the bandwidth
of our structure is larger than commonly used structures.
TH2A-4
1100 – 1120
Tx/Rx Isolation Enhancement Based On A Novel Balanced Duplexer Architecture
A. Elzayat, A. B. Kouki, ETS, Montreal, Canada
Duplexers are essential circuit elements in virtually all Frequency Division Duplex (FDD) communication systems.
Duplexers provide filtering functions for transmission and reception bands; moreover they provide isolation. In this
work we present a novel duplexer architecture that provides increased isolation without increasing the constituting filters order. Among the advantages of this novel technique is the reduction of filter complexity and improved
isolation bandwidth.
TH2B-4
1100 – 1120
Varactor-Tuned Substrate Integrated Waveguide Phase Shifter
Y. Ding, K. Wu, École Polytechnique de Montréal, Montréal, Canada
In this paper, we present two design platforms of substrate integrated waveguide (SIW) phase shifter at 26 GHz. An
inline phase shifter tuned by single varactor has a phase shift larger than 25 degrees over a 30% bandwidth. The
variation of phase shift is less than 2.2 degrees within the band. The 180-degree reflection-type phase shifter has a
measured phase shift of more than 180 degrees over a bandwidth of 13% and the variation of insertion loss is -3.8
± 0.87 dB within a bandwidth of 15.3%.
TH2A-5
1120 – 1140
Microwave Filtering Power-Distribution Planar Networks
R. Gómez-García, M. Sánchez-Renedo, J. Muñoz-Ferreras, University of Alcalá, Alcala de Henares, Spain
A new concept of single/multi-band microwave planar two-way circuit featuring a dual RF power-dividing/filtering functionality is presented. This circuit, based on signal-interference techniques, is applied to develop multistage power-distribution networks with intrinsic filtering capability. For experimental validation, two broadband
microstrip prototypes corresponding to eight-way single-band and four-way quintuple-band networks are manufactured and characterized.
TH2B-5
1120 – 1140
A Compact Planar Orthomode Transducer
M. K. Mandal1, K. Wu1, D. Deslandes2, 1Poly-Grames Research Center, Montreal, Canada, 2University of Quebec at
Montreal, Montreal, Canada
The design of a compact orthomode transducer (OMT) using substrate integrated waveguide technology (SIW) is
presented. The orthomode signals originate from a square waveguide and are coupled to four SIW lines through
four wide slots placed on the end wall of the square waveguide and they are combined using a simple planar
configuration to output two uncoupled orthomode signals. A back-to-back OMT having an operating frequency of
30 GHz was fabricated. Design guidelines are also provided.
Technical Track Key:
60
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1000– 1140
TH2C: Industrial Sensors Using Innovative RF Techniques
Thursday 9 June 2011
Time: 1000 – 1140
Room: 316-317
Chair: Krishna Naishadham, Georgia Tech
Co-Chair: Yanzhu Zhao, Medtronic
This session will present new solutions for the measurement of material level monitoring using composite left/
right-handed transmission lines, complex mechanical vibration patterns of objects at a distance, and near-field
sub-wavelength imaging of buried or hidden objects. The session also includes topics dealing with high sensitivity
security monitoring and health vital sign detection.
TH2D: The Impact of Carbon Nanoelectronics on Radiofrequency Technology
Thursday 9 June 2011
Time: 1000 – 1140 Room: 318-320
Chair: Luca Pierantoni, DIBET-Università Politecnica delle Marche
Co-Chair: Fabio Coccetti, LAAS-CNRS
Driven by technology and market requirements, semiconductor electronics has already found its way into the nanoscale dimensions. Many nano-scale materials and devices, and, in particular, carbon-based materials (carbon
nanotube, graphene), exhibit their most interesting properties over a broad range of applications and operating
frequencies, covering the radiofrequency (RF) spectrum, through the microwave up to the optical region. Carbonbased devices are quickly becoming extremely interesting solutions for a wide variety of electronic devices and
circuits. This Focus Session will feature speakers from around the world presenting an overview of recent developments of new RF devices and systems based on carbon nanotechnology.
TH2C-1
1000 – 1020
Capacitive Level Monitoring of Layered Fillings in Vessels using Composite Right/Left-Handed
Transmission Lines
M. Schüßler, C. Mandel, M. Puentes, R. Jakoby, Technische Universität Darmstadt, Darmstadt, Germany
This paper presents a concept for level monitoring of layered fillings in vessels with partially or completely unknown properties of the filling materials. A key sensor component is a composite right/left-handed transmission
line resonator. Based on this element in combination with multi-resonant cavity perturbation theory, an algorithm
for the sensor signal processing is derived for the extraction of material properties and filling levels. The presented
concept is experimentally verified.
TH2D-1
1000 – 1020
Electrical Characterization of Wafer-Scale Epitaxial Graphene and its RF Applications
Y. Lin, K. A. Jenkins, J. Ott, C. Dimitrakopoulos, D. B. Farmer, Y. Wu, A. Grill, P. Avouris, IBM, Yorktown Heights, United
States
High-performance graphene field-effect transistors are fabricated on two-inch graphene-on-SiC wafers. Epitaxial
graphene was synthesized on SiC wafers by thermal annealing to form one to two layers of graphene. The graphene transistors possess high current density of 1mA/μm, and a cut-off frequency of 170 GHz is achieved for
graphene FETs with a gate length of 90 nm. These results unravel the great potential of graphene for future RF
applications.
TH2C-2
1020 – 1040
Detecting Buried Objects With Subwavelength Resolution Using A Near-Field Antenna-Array Probe
L. Markley, G. V. Eleftheriades, University of Toronto, Toronto, Canada
A near-field antenna-array probe is used to perform subwavelength imaging on objects buried λ/4 beneath the
surface of a dielectric slab. Short passive dipole objects are detected by monitoring the input reflection coefficient of the probe as it is scanned over the dielectric. Experimental measurements are presented that verify the
subwavelength imaging behavior. A minimum resolvable separation between two objects was measured to be
0.295λ, compared to 0.639λ for a single monopole probe.
TH2D-2
1020 – 1040
Graphene-on-SiC and Graphene-on-Si Transistors and RF Applications
J. Moon1, K. Gaskill2, P. Campbell2, P. Asbeck3, 1HRL Laboratories, Malibu, United States, 2Naval Research Laboratory,
Washington, United States, 3University of California, San Diego, La Jolla, United States
The unique ambipolar nature of graphene FETs can benefit various RF circuit applications, such as frequency multipliers, mixers and high-speed radiometers. The future success of the RF circuit applications depends on vertical and
lateral scaling of graphene MOSFETs to minimize parasitics and improve gate modulation efficiency in the channel.
We present recent progress in epitaxial graphene (n, p)-MOSFETs on both SiC and Si substrates for graphene-on-SiC
and graphene-on-Si technologies.
TH2C-3
1040 – 1100
Wavelength Division Sensing RF Vibrometer
Y. Yan1, C. Li2, J. A. Rice2, J. Lin1, 1University of Florida, Gainesville, United States, 2Texas Tech University, Lubbock,
United States
A wavelength division sensing RF vibrometer which is implemented as a tunable carrier frequency Doppler radar
sensor was used for the first time to accurately measure mechanical vibrations of complex patterns. The amplitude
ratio of a pair of baseband harmonics under different carrier wavelengths was used to obtain the vibration patterns. The accuracy of the recovered vibration pattern using the noncontact RF vibrometer rivals other contact
measurement instruments.
TH2D-3
1040 – 1050
Graphene Electronics for RF Applications
H. Wang, A. Hsu, K. Kim, J. Kong, T. Palacios, Massachusetts Institute of Technology, Cambridge, United States
In this paper, we review the recent progress on graphene-based electronic devices for RF applications. The growth
and technology of graphene transistors with fT.Lg product of 24 GHz.μm are discussed. These devices show excellent potential for high speed RF applications and frequency multiplier circuits with cut-off frequencies more than
1.4 GHz have been demonstrated. The challenges facing this rising technology and its feasibility for new applications in RF communications are also discussed.
TH2C-4
1100 – 1120
Mutual Injection-Locked SIL Sensor Array for Vital Sign Detection with Random Body Movement
Cancellation
F. Wang1, T. Horng1, K. Peng2, J. Jau3, J. Li3, C. Chen3, 1National Sun Yat-Sen University, Kaohsiung, Taiwan, 2National
Kaohsiung First University of Science and Technology, Kaohsiung, Taiwan, 3ITRI, Hsinchu, Taiwan
This paper presents a self-injection-locked (SIL) sensor array for cancelling the effects of random body movement
on the detection of vital signs. The effects of random movements by the subject are cancelled by wireless mutual
injection locking (MIL) of the two sensors. In the experiments, a prototype for such a array with a spacing of 2
m was implemented at 2.4 GHz, providing accurate and reliable cardiopulmonary monitoring of a subject who
exhibited random body motions of several centimeters.
TH2D-4
1050 – 1110
Gyrotropy and Non-Reciprocity of Graphene for Microwave Applications
D. L. Sounas, C. Caloz, École Polytechnique de Montréal, Montreal, Canada
The polarization properties of magnetically-biased Graphene are investigated. It is shown that Graphene rotates
the polarization of a perpendicularly-incident, linearly-polarized plane wave. The rotation angle dependence on
the chemical potential and on the magnetic bias field is analyzed. Substantial rotation is found to exist in an extremely large microwave frequency range. A new circular waveguide Graphene Faraday rotator with enhanced
bandwidth and thermal characteristics is proposed.
TH2C-5
1120 – 1140
Dual-Mode Microwave Cavity for Fast Identification of Liquids in Bottles
N. Klein1, H. Krause2, S. Vitusevich2, H. Rongen2, A. Kurakin3, O. N. Shaforost3, 1Imperial College London, London,
United Kingdom, 2Forschungszentrum Juelich, Juelich, Germany, 3EMISENS GmbH, Juelich, Germany
An open metal cavity loaded with a ceramic dielectric resonator and a lumped inductor - capacitor structure allows
for simultaneous excitation of a dielectric resonator mode at 2 GHz and a lumped element mode at 100 MHz. Both
modes exhibit strongly overlapping evanescent fields above the aperture outside the cavity, which can be used for
dielectric and conductivity measurements on liquids stored in non-metallic bottles. This method enables liquid
category identification within one second.
TH2D-5
1110 – 1130
RF Characterization of Multi-walled Carbon Nanotube and ZnO Film
J. Choi1, I. Song1, J. Cho2, W. Kim2, H. Yoon2, S. Jun2, 1Samsung Electronics, Yongin, Republic of Korea, 2Yonsei
University, Seoul, Republic of Korea
Growing interest in carbon nanotubes (CNTs) and ZnO film opens consideration for their rf characteristics for future employment in electronic devices. Prior to the deployment and development for rf devices, the fundamentals
about two materials are investigated. Multi-walled CNTs are characterized up to 50 GHz. Using the measured Sparameters, telegrapher’s equivalent-circuit models are extracted. And, for the ZnO film, the equivalent circuit
parameters are obtained as well.
TH2D-6
1130 – 1140
Millimeter-Wave Field-Effect Transistors Produced using High-Purity Semiconducting Single-Walled
Carbon Nanotubes
H. Happy1, L. Nougaret1, V. Derycke2, G. Dambrine1, 1Institute of Electronics, Microelectronics and Nanotechnology,
Villeneuve d’ASCQ cedex, France, 2Laboratoire d’Electronic Moléculaire, Service de Physique de l’Etat Condensé, CEA,
IRAMIS, Gif sur Yvette cedex, France
We propose an overview of our works on carbon nanotube field effect transistors (CNTFETs) which are well suited for high
frequency applications. Using single-walled carbon nanotube (SWNT) samples containing 99% pure semiconducting
SWNTs, we have achieved operating frequency above 80GHz. This record frequency does not require aligned SWNTs, thus
demonstrating the remarkable potential of networks of sorted SWNTs for high frequency electronics.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
61
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
TECHNICAL SESSIONS
2
0
1
1
1000 – 1140
TH2E: Advances in Radar Systems for Sensing and Imaging
Thursday 9 June 2011
Time: 1000 – 1140 Room: 321-323
Chair: Gregory Lyons, MIT Lincoln Laboratory
Co-Chair: Mohamed Abouzahra, MIT Lincoln Laboratory
A range of advances in radar systems is presented in six papers. Three papers discuss integrated subsystems, a 60
GHz SiGe radar sensor chip, a millimeter wave backscatter transponder, and a 79 GHz LTCC RF front-end. One paper
describes a 24 GHz joint radar/radio system. Two papers discuss imaging systems, a W-band interferometric beamscanning FMCW system and a UWB scanning array system.
TH2F: Time-Domain Modeling: Advances and Applications
Thursday 9 June 2011
Time: 1000 – 1140
Room: 324-326
Chair: Zhizhang (David) Chen, Dalhousie University
Co-Chair: Costas Sarris, University of Toronto
Novel formulations and applications of time-domain modeling techniques are presented in this session. A highresolution sub-gridding algorithm for multi-scale problems and a hybrid methodology for periodic multi-layer
structures are shown, along with a novel meshless ADI and a time-reversed TLM methodology for source synthesis.
Moreover,the power of time-domain analysis to illuminate the physics of the Veselago-Pendry superlens is demonstrated.
TH2E-1
1000 – 1020
A W-band Interferometric Real-beam Scanning FMCW Imaging Radar
D. S. Goshi, Y. Liu, K. Mai, L. Bui, Y. Shih, Honeywell, Torrance, United States
This paper presents an interferometric real-beam scanning FMCW imaging radar. As opposed to an interferometric
SAR system that requires traditionally lengthy capture and post-processing times, the proposed system is a realtime imaging system that generates a real-beam image at 10 Hz rate. The interferometric technique is proposed as
a means to enhance the raw 2D-based image result by providing target height estimation from phase difference
measurements, paving a foundation for a 3D volumetric sensor.
TH2F-1
1000 – 1020
Transient study of the Dynamic Response of the Veselago-Pendry Superlens
Y. Hor, R. S. Hegde, E. Li, W. J. Hoefer, Institute of High Performance Computing, Singapore , Singapore
Sub-wavelength imaging involves the interaction of electromagnetic fields with objects that have dimensions of
the order of the wavelength. We analyze the plasmonic surface modes of the superlens and their excitation by the
evanescent modes of the object field using a waveguide model. The resolution of the superlens, determined by
the highest spectral component transmitted, is limited by the settling time of the plasmonic response and on the
dynamic range of the super-resolution system.
TH2E-2
1020 – 1040
A UWB Through-Wall Radar using Beam Scanning Array Antenna
F. Sakai1, A. Suzuki1, K. Ohta1, M. Makimoto1, K. Sawaya2, 1Sakura Tech Corporation, Kawasaki-shi, Japan, 2Tohoku
University, Sendai-shi, Japan
A UWB through-wall radar using novel beam scanning array antenna previously proposed by the authors is described. This antenna scanning system has two new technologies. First, each antenna element is equipped with an
impulse generator. Second, the time control between the antenna elements is realized by using tapped delay lines
and by transmitting trigger signals. The fabricated radar has compact size and light weight and is easy to use. The
measurement results show excellent space resolution.
TH2F-2
1020 – 1040
Electromagnetic Source Synthesis by Reversed-TLM Method
A. Ungureanu, Y. Fu, T. Vuong, F. Ndagijimana, IMEP-LAHC Laboratory, Grenoble, France
Classical methods for source and antenna synthesis are iterative and not always adapted to the desired problem.
We propose a new approach for synthesize electromagnetic sources from their far-field radiation pattern. The
Reversed-TLM method is employed. A super-resolution reconstruction of a point-like source is obtained. Monopole
antenna synthesis results, in terms of orientation and localization of the current distributions along the antenna,
are also presented.
TH2E-3
1040 – 1100
A Fully Integrated 60-GHz Radar Sensor with Partly Integrated Phase-Locked Loop
F. Starzer1, M. Ortner2, H. P. Forstner3, R. Feger1, A. Stelzer1, 1Johannes Kepler University, Linz, Austria, 2DICE GmbH &
Co KG, Linz, Austria, 3Infineon Technologies AG, Neubiberg, Germany
A partly integrated phase-locked loop (PLL) accompanied by a 60 GHz IQ-transmitter and IQ-receiver is presented
in this paper. The chip has been designed to support wireless data communication applications as well as radar
applications for level sensing or moving-target-indication (MTI) in the ISM band. This contribution focuses on the
implementation of the PLL, furthermore it shows a CW-radar-measurement scenario using an RF demonstrator.
TH2F-3
1040 – 1100
A Spatial Filter-Enabled High-Resolution Subgridding Scheme for Stable FDTD Modeling of Multiscale Geometries
C. Chang, C. D. Sarris, University of Toronto, Toronto, Canada
As many real-life microwave structures include multiple space and time scales, research on stable subgridding
schemes is as timely as ever. This paper presents a new approach to this old problem, based on a spatial filtering
method that enables dense and coarse grids to be run at the time-step of the coarse grid. A spatial filter is used to
stabilize very high aspect ratio subgrids, maintaining late-time stability over millions of time steps.
TH2E-4
1100 – 1110
A 79-GHz LTCC RF Front-End for Short-Range Applications
X. Wang, A. Stelzer, Johannes Kepler University of Linz, Linz, Austria
An FMCW radar front-end based on low temperature co-fired ceramic (LTCC) technology is presented. It operates in
the 76-81 GHz band with a 4-channel single-chip transceiver in SiGe technology. A laminated waveguide (LWG) is
used as transmission line in LTCC and fed via a differential microstrip line to LWG transition. An LWG-fed patch array
antenna acts as transmit and receive antenna. The radar-frontend was tested with distance measurements and is
suitable for low-cost short-range applications.
TH2F-4
1100 – 1120
A Hybrid ADI-RPIM Scheme for Efficient Meshless Modeling
Y. Yu1, F. Jolani2, Z. D. Chen2, 1East China Jiaotong University, Nanchang, China, 2Dalhousie University, Halifax, Canada
This paper describes a novel hybrid technique where the leapfrog ADI-RPIM is applied to computational regions
with dense nodal distributions and the original RPIM is applied to coarse regions. As a result, memory usage and
CPU time of a meshless modeling is optimized without need of temporal interpolation to synchronize different
time steps of different regions. In addition, late time instability or reflection from region interface is not found.
TH2E-5
1110 – 1120
Millimeter-Wave Phase-Modulated Backscatter Transponder for FMCW Radar Applications
C. M. Schmid, R. Feger, A. Stelzer, Johannes Kepler University, Linz, Austria
Backscatter transponders have the advantage that, while no complete active radio-frequency front end hardware
is required, they offer the capability to establish one-way communication between the transponder and an interrogator. As we used an FMCW radar system as an interrogator, it is possible to extract range information as well as
modulated information from the transponder. We present the system basics as well as a fabricated prototype from
which we obtained measurement results.
TH2F-5
1120 – 1140
Analysis of Multilayer Periodic Structures with Different Periodicities using a Hybrid FDTD/GSM
Method
K. Elmahgoub, F. Yang, A. Elsherbeni, University of Mississippi, University, United States
An efficient algorithm to compute the scattering properties of multilayered periodic structure with different
periodicities using a hybrid finite-difference time-domain/generalized scattering matrix (FDTD/GSM) technique
is described. In this algorithm the constant horizontal wavenumber approach is used to compute the scattering
parameters of each layer. The algorithm is verified through numerical examples, while the new procedure provides
significant saving in the computational time and memory.
TH2E-6
1120 – 1140
24-GHz Joint Radar and Radio System Capable of Time-Agile Wireless Sensing and Communication
L. Han, K. Wu, Ecole Polytechnique Montreal, Montreal, Canada
A multifunctional and time-agile system is proposed and experimentally demonstrated using the substrate integrated waveguide (SIW) technology for 24-GHz vehicular applications. By arranging the radar sensing mode and
the radio communication mode in sequential time slots, the present system can operate with the flexibility and
capability of functional reconfiguration and fusion using a single transceiver platform. Preliminary experiments
have verified good system performance.
Technical Track Key:
62
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1000– 1140
TH2G: High Linearity, High Efficiency Power Amplifier Techniques
Thursday 9 June 2011
Time: 1000 – 1140
Room: 327-329
Chair: Wolfgang Heinrich, Ferdinand-Braun-Institut
Co-Chair: Raghu Mallavarpu, Raytheon Company
The papers in this session address techniques to improve linearity and/or efficiency of Power Amplifiers, such as
envelope tracking, digital predistortion, and baseband impedance optimization.
TH2G-1
1000 – 1020
Linearity Enhancement of GaN HEMTs under Complex Modulated Excitations by Optimizing the
Baseband Impedance Environment
M. Akmal1, V. Carrubba1, J. Lees1, S. B. Smida2, K. Morris2, J. McGeehan 2, M. Beach 2, J. Benedikt1, P. J. Tasker1,
1
Cardiff University, Cardiff, United Kingdom, 2Bristol University, Bristol , United Kingdom
This paper demonstrates how the linearity performance of a 10W GaN HEMT can be dramatically improved by actively engineering the baseband impedance environment around the device. An important refinement to existing
active load-pull measurement capability is proposed that allows the precise and independent control of all significant baseband and RF components that result from the amplification of a complex 9-carrier multi-sine modulation.
TH2G-2
1020 – 1040
Linearity Improvement in RF Power Amplifier System Using Integrated Auxiliary Envelope Tracking
System
Z. Yusoff, J. Lees, J. Benedikt, P. J. Tasker, S. C. Cripps, Cardiff University, Cardiff, United Kingdom
A new technique called Auxiliary Envelope Tracking (AET) is proposed, which demonstrates substantial improvement in linearity of RF power amplifiers. A small amplitude envelope-tracking voltage is superimposed on the
fixed DC bias of a specially designed 25W GaN HEMT Class AB RF power amplifier (RFPA). A large improvement in
third-order intermodulation (IM3) distortion has been observed while maintaining low fifth-order intermodulation (IM5). The overall drain efficiency of the RFPA is also observed to improve, even when the power consumption
of the envelope tracking generator is included.
TH2G-3
1040 – 1100
Digital Predistortion of Envelope Tracking Amplifiers Driven by Slew-Rate Limited Envelopes
G. Montoro, P. Gilabert, J. Berenguer, E. Bertran, Universitat Politecnica de Catalunya, Castelldefels, Spain
This paper presents a new digital predistorter (DPD) to compensate for nonlinear distortion present in Envelope
Tracking (ET) Power Amplifiers (PAs) driven by slew-rate limited versions of the real signal’s envelope. Unfortunately, the use of slower versions of the real signal’s envelope generates nonlinear memory effects. This paper
shows experimental results that prove that is possible to compensate for these nonlinear memory effects.
TH2G-4
1100 – 1120
Enhanced Class-A/AB Mobile Terminal Power Amplifier Efficiency by Input Envelope Injection and
‘Self’ Envelope Tracking
A. Kheirkhahi, P. M. Asbeck, L. E. Larson, University of California, San Diego, La Jolla, United States
A baseband modulation technique is proposed to improve the efficiency and linearity of Class-A/AB RF power amplifiers (PAs). The baseband load impedance is optimized, and the input envelope along with the RF signal is fed
to the PA input. The resulting baseband modulation at the drain increases saturated RF output power for a given
dc power consumption. A 2 GHz HFET PA exhibited significant improvement in peak PAE from 40% to 54% for a
two-tone input and from 23% to 27% for a 5 MHz BW 64-QAM signal.
TH2G-5
1120 – 1140
60% High-Efficiency 3G LTE Power Amplifier with Three-level Delta Sigma Modulation Assisted By
Dual Supply Injection
J. Kim1, S. Lee1, J. Jung1, C. Park2, 1Electronics and Telecommunications Research Institute, Daejeon, Republic of
Korea, 2Korea Advanced Institute of Science and Technology, Daejeon, Republic of Korea
This paper presents a new power amplifier architecture incorporating a multi-level envelope delta-sigma modulation encoder together with a dynamic bias control method to improve the overall efficiency. The proposed transmitter was developed based on a 3-level encoding process for quantizing the non-constant envelope signal. Therefore, the power amplifier is always operated under only a two-state output power level, achieving high efficiency.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
63
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
2
INTERACTIVE FORUM
0
1
1
1200 – 1400
THP Thursday 9 June 2011
Room: Hall A
Chair: Lee Phelps, Northrop Grumman
Co-Chair: Nathan Bushyager, Northrop Grumman
THPA
THPC
THPA-1
1200 – 1400
Design of Multistage Low-Noise Amplifiers Using “Visual” CAD Tools
L. I. Babak1, M. V. Cherkashin1, F. I. Sheyerman1, Y. V. Fedorov2, 1Tomsk State University of Control Systems and
Radioelectronics, Tomsk, Russian Federation, 2Research Institute of Microwave Semiconductor Electronics, Moscow,
Russian Federation
A new systematic CAD procedure for designing multistage broadband low-noise amplifiers (LNAs) is presented
based on “region” and “visual” design techniques. This procedure allows the matching/feedback/compensation
network synthesis directly from amplifier stage requirements. As an example, the design of X-band two-stage 0.15
μm pHEMT MMIC LNA is demonstrated.
THPC-1
1200 – 1400
Using X-parameters to Model Diode-Based RF Power Probes
A. S. Boaventura1, A. R. Testera2, N. B. Carvalho1, M. F. Barciela2, 1Universidade de Aveiro, Aveiro, Portugal, 2Universidad de Vigo, Vigo, Spain
This paper presents an X-parameter model for diode power probes that can be used for calibration purposes. It
will be shown that X-parameters can be applied to diode power probes with significant gains in terms of behavior
characterization. This first tentative to apply X-parameters is a step further in the calibration of power probes, when
they are excited by modulated signals.
THPA-2
1200 – 1400
Low-Cost Modeling of Microwave Structures Using Shape-Preserving Response Prediction
S. Koziel, Reykavik University, Reykjavik, Iceland
A simple methodology for creating fast models of microwave structures is presented using so-called shape-preserving response prediction (SPRP) technology which is adopted here to work directly with EM simulation data of
the device under consideration, without using its low-fidelity model. SPRP allows us to create accurate models at
a low computational cost of just a few electromagnetic simulations. It is demonstrated that it can provide better
accuracy than standard approximation techniques.
THPC-2
1200 – 1400
Systematic Estimation of Memory Effects Parameters in Power Amplifiers’ Behavioral Models
B. Fehri, S. Boumaiza, University of Waterloo, Waterloo, Canada
This paper deals with systematic modeling of PAs through the study of the parameters involved in memory effects
and the method for their estimation. The gain is integrated in both memory polynomial(MP) and RVTDNN; their
linearization capability is investigated and compared to their empirical counterparts. According to measurements,
MP requires to be over dimensioned to achieve same linearization performance obtained using a systematic one.
This reduces the complexity and improves robustness.
THPA-3
1200 – 1400
Statistical Microwave Circuit Optimization via A Non-Derivative Trust Region Approach and Space
Mapping Surrogates
A. S. Hassan, A. S. Mohamed, A. Y. El-Sharabasy, Cairo University, Giza, Egypt
A novel yield optimization technique for microwave circuits is presented. Yield optimization of microwave circuits
is obstructed by the high expense of EM simulations required in yield evaluations in addition to the absence of any
gradient information. In the proposed technique, surrogates using the GSM algorithm are incorporated with a
derivative-free TR optimization method NEWUOA. A variance reduction technique is implemented in yield estimation. Practical examples are illustrated.
THPC-3
1200 – 1400
Augmented Harmonic Balance Stability Analysis of Nonlinear Composite Right-Left Handed
Transmission Lines
M. J. Gibbons, G. N. Milford, The University of New South Wales, Canberra, Australia
In this paper we demonstrate the use of the augmented Harmonic Balance technique, otherwise used for RF power
amplifier analysis, for stability analysis and characterisation of nonlinear CRLH TL circuits. Both Hopf and fliptype bifurcations were identified in a varactor loaded, 20-stage symmetric-pi NL CRLH TL circuit. The parametric
frequencies corresponding to the phase matching (three wave mixing) condition were identified. The numerical
predictions agreed closely with measurements.
THPA-4
1200 – 1400
EMI Analysis of Coupled Interconnects on Dispersive Dielectrics
M. Tang, J. Lu, J. Mao, Shanghai Jiao Tong University, Shanghai, China
This paper describes an efficient algorithm based on waveform relaxation with transverse partitioning for simulation of frequency-dependent interconnects in the presence of electromagnetic interference. A simple and clear
derivation of the relaxation sources is presented and the compact results are given. According to the differential
equations derived from the equivalent circuit modelling, the finite-difference time-domain algorithm is utilized to
capture accurate field in dispersive substrate.
THPD
THPB
THPB-1
1200 – 1400
An Accurate Packaged Model for HVHBT 120W Power Amplifiers and its Application to 250W Doherty
Amplifiers
X. Zhang, F. Chau, B. Lin, TriQuint Semiconductor, San Jose, United States
An accurate packaged model is reported for GaAs HVHBT 120W amplifiers at 2140MHz. The model can precisely
simulate small signal S-parameters as well as large signal harmonic load-pull power sweep. Very good agreement
between simulation and measurement has been achieved. The model is then applied to simulate a 250W symmetric Doherty amplifier. The results of simulation agrees well with measurement. The difference in efficiency between
simulation and measurement is only 1.6%.
Technical Track Key:
64
µwave Field & Circuit Techn.
Passive Components
THPD-1
1200 – 1400
Compact Sharp-Rejection Broadband Microstrip Bandpass Filter With Wide Stopband
J. Wu, W. Tu, National Central University, Taoyuan, Taiwan
A compact sharp-rejection broadband microstrip bandpass filter with a wide stopband is presented. The major
block is a half-wavelength resonator loaded by a meandering coupled line. By adjusting the dimensions of the meandering coupled line and using multi-path cancellation techniques, one can control multiple transmission zeros
to obtain a wide stopband. From 2.3 to 4.1 GHz, the measured return loss is greater than 15 dB, and the measured
insertion loss is less than 0.8 dB.
THPD-2
1200 – 1400
Compact High-Rejection Wideband Bandpass Filter Using Asymmetrical Resonators
K. Hsu, W. Tu, National Central University, Taoyuan, Taiwan
A compact wideband bandpass filter using asymmetrical resonators is proposed. A lossless transmission-line model
calculation is used to determine the resonant responses of the asymmetrical resonator, which consists of a uniform
resonator tapped by an open stub. By adjusting the stub length and the tapping position, one can control the
resonant frequencies for wideband bandpass filter. With the two inherent transmission zeros, a sharp rejection
response is achieved.
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
INTERACTIVE FORUM
P R O G R A M
1200 – 1400
THP Thursday 9 June 2011
Room: Hall A
Chair: Lee Phelps, Northrop Grumman
Co-Chair: Nathan Bushyager, Northrop Grumman
THPD-3
1200 – 1400
Direct Synthesis of Transmission-Line Elliptic Bandpass Filters
H. Lee, National Formosa University, Huwei, Taiwan
A direct synthesis method of elliptic function transmission-line filters is presented in this paper. The filter designs
start from elliptic lumped prototype filters, and then Richards’ transform is applied to obtain commensurate
transmission-line circuits. Two coupled-line structures and their equivalent circuits are introduced, and they can be
assembled to realize the elliptic filters. An example of fifth-order elliptic filter is given and has shown the feasibility
of the design procedure.
THPE-2
1200 – 1400
Successive Optimization of Zeros of Reflection Characteristics for Automated Microwave Filter Tuning
K. Ichige1, Y. Takeuchi1, K. Miyamoto3, Y. Ebine2, 1Yokohama National University, Yokohama, Japan, 2Nihon Dengyo
Kosaku Co. Ltd, Sakado, Japan, 3Nihon Dengyo Kosaku Co. Ltd, Kawagoe, Japan
This paper presents a novel automated microwave filter tuning method based on successive optimization of zeros
of return loss characteristics. The proposed method consists of two stages; coarse and fine tunings. In the first
stage, called coarse tuning, the phase response error of the target filter is minimized. Then in the second stage,
called fine tuning, we optimize the position of zeros of return loss characteristics. Performance of the proposed
method is evaluated through some experiments.
THPD-4
1200 – 1400
High-Order UWB Bandpass Filter Using Cascading E-Shape Microstrip Structure
R. T. Hammed, D. Mirshekar-Syahkal, University of Essex, Essex, United Kingdom
One type of E-shape microstrip structure is composed of half wave length hairpin resonator loaded by a grounded
stub at its middle. For the first time, using an equivalent circuit for this structure, high-order UWB bandpass filter
design technique is presented based on cascading E-shape microstrip structures. Two third-order UWB bandpass
filter are designed, simulated, fabricated and measured. These filters have compact sizes approximately smaller
than 3.5mm x 3.2mm x 0. 4mm. The theoretical and measured results show excellent agreement. It is observed
that UWB bandpass filters with the E-shape microstrip structure are capable of offering campact circuit size, flat
group delay, good selectivity, excellent wideband rejection and low insertion loss.
THPE-3
1200 – 1400
Neuro-Fuzzy Approach in Microwave Filter Tuning
T. Kacmajor, J. J. Michalski, TeleMobile Electronics Ltd., Gdynia, Poland
This paper describes a method of microwave filter tuning. The main goal of this research is to build an adaptive
neuro-fuzzy system. The system was trained with use of samples that contain information about scattering characteristics and corresponding tuning screw deviations. Experiments were performed on four different filters. The
results have been then compared with previous works which use artificial neural networks. The system learning
phase reached lower generalization and learning error.
THPD-5
1200 – 1400
Non-Redundant Synthesis of Filters with Dual-Mode and Triple-Mode Stepped Impedance Resonators
J. Hsieh, S. Yang, C. Tsai, National Cheng Kung University , Tainan, Taiwan
In this paper, network models of the multi-mode-resonator filters and conventional parallel-coupled-line filters
are shown to be equivalent. Non-redundant design procedure is proposed and design equations are then derived
based on broadband approximate design procedure. Two design examples are given to show that the proposed
design procedure is much simpler and yields responses just as good as those obtained by more tedious exact synthesis.
THPF
THPF-1
1200 – 1400
On-Chip Single-to-Balanced Bandpass Filters with Wide Upper Stopband
C. You, C. Tsai, Y. Lin, National Central University, Chungli, Taiwan
On-chip single-to-balanced bandpass filters with wide upper stopband are proposed. They are based on a simple
multicoupled line structure along with loaded capacitors. The use of inductor is avoided so as to achieve very compact size when implemented on chip. Specifically, two single-to-balanced filters of 2nd- and 3rd-order bandpass
response are demonstrated using the GaAs pHEMT process. Their chip sizes are 1mm×1mm and 1.5mm×1mm for
a center frequency of 5.5 GHz and a bandwidth of about 18%.
THPD-6
1200 – 1400
A Matched Bridged Tee Network with Positive Phase Derivative
A. I. Abunjaileh, I. C. Hunter, University of Leeds, Leeds, United Kingdom
The design of a passive lumped network with a matched response and positive phase derivative is presented. The
design is based on a bridged Tee circuit with the addition of finite loss. Design theory, computer simulation and
measurements of a practical circuit clearly demonstrate the concept.
THPF-2
1200 – 1400
Hybrid Lumped/Distributed Band-Pass Filter in IPD Technology for ultra-Wideband Applications
A. Manchec1, C. Laporte2, C. Quendo3, H. Ezzeddine2, Y. Clavet1, E. Rius3, J. Favennec3, B. Potelon3, 1Elliptika, Brest,
France, 2STMicroelectronics, Tours, France, 3Lab-STICC UMR CNRS 3192, Brest, France
This paper deals with the design of a fourth-order ultra-wideband filter for the range 3.1 to 4.175 GHz. The topology under study is based on that of a hybrid band-pass filter with lumped components and distributed lines within a
thin film made in IPD technology to meet the requirements of strong miniaturization. To enhance rejection at high
frequencies, where the electrical specifications are very drastic, the topology proposed here is that of a dbr filter.
THPE
THPF-3
1200 – 1400
Miniaturized Bandpass Filter with High Selectivity and Wide Stopband using Dual-Layer Structure
X. Luo1, J. Ma2, E. Li3, 1University of Electronic Science and Technology of China, Chengdu, China, 2Tianjin University,
Tianjin, China, 3Institute of High Performance Computing , Singapore, Singapore
A bandpass filter with high selectivity and wide stopband is proposed using the dual-layer scheme. The hybrid
microstrip/DGS cell is introduced to obtain center resonance with two close transmission zeros. Based on the cells,
an interdigital-coupled scheme is employed to achieve strong passband enhancement with high selectivity. To
extend the stopband bandwidth, the defected microstrip structure is proposed. The filter operated at f0 of 2.6 GHz
exhibits excellent selectivity (i.e., an attenuation slope with 420.9 dB/GHz and 484.9 dB/GHz in both passband
edges) and wide stopband up to 3.75 f0.
THPE-1
1200 – 1400
3-D pyramidal and Collective Ku Band Pass Filters Made in Alumina by Ceramic Stereolithography
A. H. Khalil1, N. Delhote1, S. Pacchini2, J. Claus4, D. Baillargeat1, S. Verdeyme1, H. Leblond3, 1XLIM UMR 6172 CNRS/
University of Limoges, Limoges, France, 2Laas-CNRS, Toulouse, France, 3Thales Alenia Space France, Toulouse, France,
4
CTTC, Limoges, France
An original 3D pyramidal geometry for a low loss and compact filter is described in this paper. Thanks to the 3D ceramic stereolithography process, high unloaded quality factor resonators have been fabricated into a low footprint
4-pole Chebyshev filter. The 3D technologies used for manufacturing the filters in one ceramic part; their plating
and etching has lead to a low insertion loss filter working at 17.5 GHz. This paper goes into details on this filter
dedicated to space applications.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
65
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
2
INTERACTIVE FORUM
0
1
1
1200 – 1400
THP Thursday 9 June 2011
Room: Hall A
Chair: Lee Phelps, Northrop Grumman
Co-Chair: Nathan Bushyager, Northrop Grumman
THPG
THPJ
THPG-1
1200 – 1400
K-Band CMOS Power Amplifier with Adaptive Bias for Enhancement in Back-off Efficiency
N. Kuo, J. Kao, C. Kuo, H. Wang, National Taiwan University, Taipei, Taiwan
A K-band power amplifier that dynamically adjusts the dc consumption based on the output power is presented.
Compared with a fixed-bias PA that consumes a constant dc power of 265 mW, the proposed PA saves 157 mW at
quiescent state and 88 mW when operated at P1dB 6-dB back-off, and the OP1dB of the PA is also extended. This is
the first CMOS PA with effective enhancement in back-off efficiency near MMW region.
THPJ-1
1200 – 1400
Highly-Efficient Three-Stage Doherty Power Amplifier with Adaptive Driving Amplifier for 3.5 GHz
WiMAX Applications
M. Lee, S. Kam, Y. Jeong, Pohang University of Science and Technology, Pohang, Republic of Korea
This paper reports a new three-stage Doherty power amplifier (DPA) with an adaptive driving amplifier. The driving amplifier inserted at the input of the carrier cell controls the input power of the carrier cell to eliminate the
gate leakage current at high input power levels. The gate bias voltage of the driving amplifier is adjusted using an
envelope tracking technique according to input power levels. For a WiMAX signal, the proposed DPA has the total
DE of 39.5 % at an 8-dB BOP.
THPG-2
1200 – 1400
High Power, High Efficiency, Wide Bandwidth Amplification Using Optically Sourced Isolated Switch
Elements
F. P. Dixon, D. L. Landt, S. L. Patten, D. W. Cripe, Rockwell Collins, Inc., Cedar Rapids, United States
A novel RF/Microwave amplifier is described which shows high power and efficiency over four decades of bandwidth with dimensions smaller than any existing technology. The amplifier uses a voltage-mode Class-D configuration. Optical connections provide electrical isolation to each element. Simulations show output powers greater
than 150W with drain efficiencies of at least 55% at bandwidths up to 2 GHz. A fabricated circuit validates the basic
amplifier concept and shows initial capabilities.
THPJ-2
1200 – 1400
Class-J RF Power Amplifier with Wideband Harmonic Suppression
N. Tuffy, A. Zhu, T. J. Brazil, University College Dublin, Dublin, Ireland
This work involves the design and fabrication of a Class-J power amplifier over a bandwidth of 2.3-2.7 GHz. A design
procedure is discussed for wideband amplifier operation with complete 2nd and 3rd harmonic band rejection at the
load. Measurements justify the methodology and results indicate 60% efficiency over the band of interest and 2nd
and 3rd harmonic load suppression in excess of -39 dBc.
THPG-3
1200 – 1400
Co-design of Power Amplifier and Narrowband Filter using High-Q Evanescent-Mode Cavity Resonator as the Output Matching Network
K. Chen, X. Liu, W. J. Chappell, D. Peroulis, Purdue Univerisity, West Lafayette, United States
A unique GaN power amplifier (PA) with an integrated evanescent-mode filter as its output matching network is
presented in this paper. This design yields a very narrowband PA response from 1.24-1.275 GHz (2.8%) which is
comparable to the bandwidth of typical communication signals. The PA exhibits a state-of-the-art performance of
70% efficiency, 10 dB gain, 30 dBm output power, and second and third harmonic levels of -70 dBc.
THPK
THPG-4
1200 – 1400
A Systematic Methodology to Design Analog Predistortion Linearizer for Dual Inflection Power
Amplifiers
S. Rezaei, M. S. Hashmi, B. Dehlaghi, F. M. Ghannouchi, University of Calgary, Calgary, Canada
This paper presents a systematic methodology to design a diode-based RF predistorter that can linearize power
amplifiers with dual inflection points in their distortion characteristics. The proposed structure contains an antiparallel configuration of Schottky diodes, with a PIN diode in parallel, connected to a hybrid using λ/4 transmission
lines. The proposed linearizer when applied to a commercial power amplifier shows IM3 cancellation of 10 dB in 1
MHz separation between tones at 2.14 GHz.
THPH
THPH-1
1200 – 1400
An Efficient Voltage-Mode Class-D Power Amplifier for Digital Transmitters with Delta-Sigma
Modulation
W. Kim1, J. Rode2, A. Scuderi3, C. Park1, P. M. Asbeck2, H. Son1, 1KAIST, Daejeon, Republic of Korea, 2University of
Califonia, San Diego, La Jolla, United States, 3STMicroelectronics, Stadale, Italy
A high efficiency voltage-mode class-D power amplifier for digital transmitters with delta-sigma modulation is
demonstrated using a 0.13-μm 1.2-V silicon-on-insulator CMOS technology. To minimize the overlap of ON time of
both the PMOS and the NMOS transistors, a shoot-through current reduction technique was employed. Distortion
induced by parasitic inductance was mitigated with integrated on-chip capacitors.
Technical Track Key:
66
µwave Field & Circuit Techn.
Passive Components
THPK-1
1200 – 1400
Comparative Study of HEMTs for LNAs in Square Kilometer Array Telescope
S. Bhaumik, M. Roy, D. George, The University of Manchester, Manchester, United Kingdom
A comparative study of transistors of nine prominent low noise HEMT processes is presented. The transistors include
a combination of pHEMTs and mHEMTs based on GaAs and InP substrates with gate lengths ranging from 150nm
to 70nm. The Square Kilometre Array telescope will require more than 30 million low noise amplifiers (LNA). Here a
detailed comparative study of noise and gain indices of HEMTs of nine processes is presented with respect to power
consumption and ambient temperature variation.
THPL
THPL-1
1200 – 1400
A 75-110 GHz Digitally-Probed Artificial Dielectric Phase Demodulator in 65nm CMOS
A. Tang1, G. Virbila1, T. LaRocca2, M. F. Chang1, 1University of California, Los Angeles, Los Angeles, United States,
2
Northrop Grumman, Los Angeles, United States
This paper introduces the Digitally Probed Artificial Dielectric (DiPAD) demodulator: a direct frequency and phase
demodulator that operates by digitally detecting the standing-wave response of periodically loaded transmission
lines with CMOS sensors. The proposed DiPAD demodulator was implemented in 65nm CMOS technology and operates at carrier frequencies up to 110 GHz, while consuming only 0.47mW of DC power and occupying only 0.16mm²
of silicon area.
THPL-2
1200 – 1400
Design and Fabrication of PTFE-Filled Waveguide Cruciform Coupler with Air-Filled Posts
1
M. Kishihara , H. Ikeuchi2, K. Murai2, T. Azeta3, Y. Utsumi3, T. Kawai2, I. Ohta2, 1Okayama Prefectural University, Soja,
Japan, 2University of Hyogo, Himeji, Japan, 3University of Hyogo, Kamigori, Japan
This paper proposes a PTFE-filled waveguide cruciform coupler with air-filled posts. Directivity and matched state
of the coupler can be realized by “holes” in the dielectric material. The difference of dielectric constant is positively
used in designing. The PTFE-filled waveguide cruciform 3dB coupler is designed at Q-Band. Then, the coupler is
fabricated using the SR etching process of PTFE, sputter deposition, and electroplating. The measurement results
of the fabricated coupler are shown.
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
INTERACTIVE FORUM
P R O G R A M
1200 – 1400
THP Thursday 9 June 2011
Room: Hall A
Chair: Lee Phelps, Northrop Grumman
Co-Chair: Nathan Bushyager, Northrop Grumman
THPL-3
1200 – 1400
Bonding Wire Loop Antenna Built into Standard BGA Package for 60 GHz Short-range Wireless
Communication
Y. Tsutsumi1, T. Ito1, S. Obayashi1, H. Shoki1, T. Morooka2, 1Toshiba Corporation, Kawasaki, Japan, 2Toshiba Research
Consulting Corporation, Kawasaki, Japan
We propose a bonding wire antenna built into a BGA package for 60 GHz short-range wireless communication.
This antenna utilizes two bonding wires and a metal plate on an interposer in a BGA package and has a loop shape.
It is built into a standard BGA package without special modification, so that it can be fabricated at low cost by
conventional BGA package-fabrication processes. The first and unique evaluation of the antenna fully sealed by
encapsulation resin was done by measurement.
THPM-3
1200 – 1400
A Single-Chip 77 GHz Heterodyne Receiver MMIC in 100 nm AlGaN/GaN HEMT Technology
I. Kallfass2, R. Quay1, H. Massler1, S. Wagner1, D. Schwantuschke1, C. Haupt1, R. Kiefer1, O. Ambacher1, 1Fraunhofer
Institute for Applied Solid State Physics, Freiburg, Germany, 2Karlsruhe Institute of Technology, Karlsruhe, Germany
The paper presents the design, implementation and measured performance of a 77 GHz heterodyne receiver MMIC
realized in a new AlGaN/GaN on s.i. SiC HEMT technology with 100 nm gate length and maximum cutoff frequencies fT and fmax of 80 and 200 GHz, respectively. The compact single-chip receiver combines a four-stage low noise
amplifier with a resistive down-conversion mixer and a frequency doubler stage for LO generation. At 77 GHz, it
achieves a conversion gain of 11 dB.
THPL-4
1200 – 1400
A Terahertz On-Chip Frequency-Selective Surface Integrated with Temperature-Sensing Circuits in
0.18-μm Foundry CMOS Process
L. Su, I. Huang, S. Yang, C. C. Tzuang, National Taiwan University, Taipei, Taiwan
An on-chip Frequency-Selective-Surface (FSS) integrated with a Proportional-to-Absolute-Temperature (PTAT)
circuit for detecting infrared wave in CMOS process is presented. The slot-type FSSs with different slot length reveal
different transmission properties. The power absorbed by the chip is analyzed by electromagnetic and thermal
simulation software. The measurement results at 28.3 THz show good trends with simulation. The influence of the
CMOS material properties is also investigated.
THPN
THPN-1
1200 – 1400
System-Level Performance Study of a Multistandard Outphasing Transmitter using Optimised
Multilevels
J. Guan, A. F. Aref, R. Negra, RWTH Aachen University, Aachen, Germany
This paper analyses an energy-efficient multistandard LINC transmitter. Compared with the classical LINC, a multilevel selection algorithm is developed to optimise the levels dependent on the signal statistics in order to boost
average power efficiency. Based on this algorithm, a multilevel LINC transmitter is implemented on a system-level.
Simulation results show that compared with the classical LINC, average power-added efficiency is improved from
10.5% to 29.26% for LTE signals.
THPL-5
1200 – 1400
Wideband 307 GHz Transceiver System for High Speed Digital Wireless at 12.5 Gbps
M. Y. Chia, C. K. Ang, B. Luo, S. W. Leong, Institute for Infocomm Research, Singapore, Singapore
We have developed a wideband sub-mm-wave communications transceiver, which operates at a carrier of 307.2
GHz with bandwidth of 30 GHz. It is able to transmit and receive up to 2 meters, high speed wireless signals at
12.5 Gbps using coherent binary phase shift keying (BPSK) modulation. Measured results are reported for Bit Error Rates (BER) and constellation plots are presented. Design considerations for this direct-conversion transceiver
architecture using subharmonic mixers will be discussed.
THPN-2
1200 – 1400
Highly Linear 4.9-5.9 GHz WLAN Front-End Module Based on SiGe BiCMOS and SOI
C. P. Huang, J. Nisbet, L. Lam, M. Doherty, A. Quaglietta, W. Vaillancourt , SiGe Semiconductor, Andover, United
States
A high linearity 4.9-5.9 GHz T/R front-end module (FEM) is presented. The FEM consists of a SiGe BiCMOS PA and a
single-pole double-throw SOI switched LNA in a 3 x 3 x 0.6 mm QFN package. The Tx chain has 31 dB gain and meets
3% EVM up to 22 dBm with harmonic and out-of-band emissions compliant to regulatory limits. The receive chain
features 2 dB NF and 14 dB gain with -3 dBm IP1dB for LNA mode and 5 dB attenuation in bypass mode with 10
dBm IP1dB. All these features simplify designs of complex WLAN/MIMO radios.
THPM
THPP
THPM-1
1200 – 1400
Position Estimation of Thin, Conducting Plates at mm-Wave Frequencies utilizing Polarimetric
Effects
A. Haderer, P. Scherz, A. Stelzer, Johannes Kepler University, Linz, Austria
In this contribution, we address the position estimation of thin, conducting plates by means of a frequencystepped continuous wave (FSCW) radar system. In particular, we focus on polarimetric scattering properties, introduced by an edge at the border of a conducting plate. To account for the edge’s contribution to the overall FSCW
radar signal, the method of equivalent currents is applied and finally the implemented scattering model is verified
by measurements.
THPP-1
1200 – 1400
Slot-Antenna for Autonomous Sensor Systems mounted on Overhead Power Lines
S. Leidich1, S. Voigt2, A. Gratias1, T. Keutel2, S. Kurth1, T. Gessner1, 1Fraunhofer ENAS, Chemnitz, Germany, 2Chemnitz
University of Technology, Chemnitz, Germany
A slot antenna for mounting on overhead power lines is presented. The antenna enables autonomous sensor systems to communicate in the 2.4 GHz band. Using two radiating slots the simulated antenna gain is 7.9 dBi. The
usable bandwidth is more than 300 MHz. Experiments performed on a deactivated power line confirm that the
conductors and the power poles do not influence the radiation characteristic significantly.
THPM-2
1200 – 1400
Improved Image Quality in Multistatic Microwave Gauging
S. Kolb, R. Stolle, University of Applied Sciences Augsburg, Augsburg, Germany
The application of the concept of imaging radar to microwave level gauging represents a prospect of increasing
reliability to target detection. A high angular resolution with a limited number of array elements can only be
achieved with the cost of increased side lobes. This problem can be modeled by a point spread function (PSF).
The present paper proposes techniques to invert the PSF, using the example of a frequency modulated continuous
wave (FMCW) system.
THPP-2
1200 – 1400
RF Planar Ion Trap for Chemical Sensing
J. D. Maas, W. J. Chappell, Purdue University, West Lafayette, United States
RF ion traps are a principle means for molecule separation used in mass spectrometry based chemical sensors. The
miniaturization of the electric field based ion trap leads to lower voltage and power requirements for handheld
mass spectrometers. This paper demonstrates a new RF ion trap design capable of being fabricated through planar
techniques amenable to MEMS and system-in-package integration. Furthermore, it has the trapping capacity and
trapping efficiency of a 2D rectilinear ion trap.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
67
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
2
INTERACTIVE FORUM
0
1
1
1200 – 1400
THP Thursday 9 June 2011
Room: Hall A
Chair: Lee Phelps, Northrop Grumman
Co-Chair: Nathan Bushyager, Northrop Grumman
THPP-3
1200 – 1400
Design of a Highly Sensitive Wireless Passive RF Strain Transducer
T. T. Thai2, H. Aubert3, P. Pons1, M. Tentzeris2, P. Robert3, 1CNRS, Toulouse, France, 2Georgia Institute of Technology,
Atlanta, United States, 3Université de Toulouse, Toulouse, France
A highly sensitive wireless passive radio frequency strain transducer is designed and developed based on a patch
antenna loaded with an open loop. A novel idea of utilizing a cantilever at the gap of the open loop significantly
improves the sensitivity of resonant frequency shifts. The ground plane allows the sensitivity of the sensor to be
independent from the applied surface. A proof-of-concept prototype is fabricated and the measurements successfully validate the operation principle.
THPQ-4
1200 – 1400
Efficient Design of Rectifying Antennas for Low Power Detection
V. Marian1, C. Menudier2, M. Thevenot2, C. Vollaire1, J. Verdier1, B. Allard1, 1Université de Lyon, Ecully, France,
2
Université de Limoges , Limoges, France
This article is dedicated to the design of rectifying antenna for wireless energy transfer at 2.45 GHz and low input power ( -10 dBm). Specific design guidelines must be respected to optimize the structure. Different rectifying
circuits have been studied and their performances have been evaluated. The effects of the antenna impedance
have been investigated to improve the performances. Two test-circuits have been manufactured and measured to
validate the interest of a global design approach.
THPP-4
1200 – 1400
Novel Fully Electronic Active Real-Time Millimeter-Wave Imaging System Based on a Planar Multistatic Sparse Array
S. S. Ahmed1, A. Schiessl1, L. Schmidt2, 1Rohde & Schwarz GmbH & Co. KG, Munich, Germany, 2University of
Erlangen-Nuremberg, Erlangen, Germany
The interest on active millimeter-wave imaging systems is increasing nowadays due to their utilization in security,
medical, and industrial applications. Multistatic arrays offer the chance for high reduction factors in the number
of antennas, and allow for fully electronic solutions. A new array architecture is presented that is suitable for realtime operation and delivers high image quality. An example array is demonstrated for operation from 72 to 80 GHz,
along with results on imaging of humans.
THP
THPQ
THPQ-1
1200 – 1400
Ultra Low-Power RFID Tag with Precision Localization using IR-UWB
Y. Zhou, C. Law, J. Xia, Nanyang Technological University, Singapore, Singapore
RFID tag using IR-UWB is promising for ultra low power consumption and precise localization in indoor cluttered
environment. An ultra low-power RFID tag with precise localization is proposed. The RFID tag is a transmitter comprising of a micro-controller board and a UWB impulse radio board. Power saving and precision localization is
achieved by optimization of the circuit design for ultra short pulses as well as system architecture and operation.
The tag consumes 20 uA when pulsing at 10 KHz rate with 15.5 dBm peak transmit power. When 1 s sleep mode is
incorporated with 1 ms active mode, the average current consumption is 4 uA. The transmitted pulse is captured
by energy-detection receivers at the readers. To recover the time resolution, curve fitting technique is applied.
Measurement in a 6m×6m typical indoor environment demonstrates that the proposed system is able to achieve
positioning accuracy of 10 cm.
THPR-1
1200 – 1400
Characterization of Planar Hybrid Dielectric-Loaded Plasmonic nano-Waveguides used for nanoPhotonic Circuits
H. Chu, P. Bai, E. Li, A-STAR Institute of High Performance Computing, Singapore, Singapore
The high optical performance of hybrid-dielectric loaded plasmonic waveguide (HDLW) components is reported.
As a result, a 90-degree-circular bend provides a total loss of 0.3 dB with a small bending radius of 2um. A ring
resonator based component shows the excellent performance with small ring radius 5um, large free spectral range
(22nm), a narrow bandwidth (4nm), and high extinction ration (20dB). Their superior performance makes them
promising building blocks for nano-photonic integrated circuits.
THPR-2
1200 – 1400
Study of Carbon Nanotube Flip-Chip Methodology for Interconnect Technology Via Electromagnetic
and Circuit Model Approach
C. Brun1, C. Yap2, D. Tan2, E. Teo2, S. Bila1, S. Verdeyme1, D. Baillargeat2, B. Tay2, 1XLIM - UMR Cnrs n°6172, Limoges,
France, 2Cintra Cnrs/Ntu/Thales, UMI 3288, Singapore, Singapore
Due to their unique properties, carbon nanotubes (CNTs) are considered as promising candidates for circuit interconnects. In this paper, we show both a theoretical and experimental analysis of CNT based flip-chip interconnects
in the microwave domain. Two theoretical models of CNT were defined and compared exhibiting good agreement:
an electromagnetic and a circuit model. Finally, promising experimental studies were done in order to demonstrate
the principle of the CNT-based flip-chip interconnect.
THPQ-2
1200 – 1400
Design and Test of a Smart-Space Interaction Device Combining RFID and Electromagnetic Interferometry
A. Costanzo1, S. Bartolini2, N. Arbizzani2, D. Masotti2, T. Salmon Cinotti2, E. Montanari2, 1University of Bologna,
Cesena, Italy, 2University of Bologna, Bologna, Italy
A smart RFID system is developed, tested, and able to select, discover, and interact with objects, possibly hidden,
distributed in harsh electromagnetic domestic and industrial environments. This is accomplished by augmenting
RFID technology with direction-finding capabilities of a monopulse radar operated at 2.45 GHz. Object selection
and localization is successfully pursued by a smart reception of the far-field radiation properties of its associated
tag.
THPR-3
1200 – 1400
Microwave Properties of Suspended Single-Walled Carbon Nanotubes with a Field-effect Transistor
Configuration
M. Tuo1, L. Wang1, M. R. Amer2, X. Yu1, S. B. Cronin2, H. Xin1, 1University of Arizona, Tucson, United States, 2University
of Southern California, Los Angeles, United States
In this paper, microwave transmission properties of suspended single-walled carbon nanotubes (SWCNTs) have
been investigated up to 7.1 GHz with a field-effect transistor (FET) configuration by measuring the two-port Sparameters under different gate bias voltages. An open-through de-embedding method has been used to extract
the intrinsic properties of CNTs. A lumped-element equivalent circuit model has been used and the values of each
component have been fitted as a function of gate bias voltage
THPQ-3
1200 – 1400
Design and Applications of a Software-Defined Listener for UHF RFID Systems
D. De Donno, F. Ricciato, L. Catarinucci, L. Tarricone, University of Salento, Lecce, Italy
In this paper we discuss the design and potential applications of a receive-only device, called “RFID Listener”, that
decodes the signals from both the tag and the reader. We present a Software-Defined Radio (SDR) implementation of an RFID Listener compliant with Gen2 standard. This enables novel augmented RFID systems where one
transmitter coexists with multiple listeners offering reception redundancy and diversity.
THPR-4
1200 – 1400
Ab-initio Modeling of Asymmetrical Finite-barrier Quantum Wire Structures
A. Smith, A. Baghai-Wadji, RMIT University, Melbourne, Australia
A rigorous semi-numerical method for analyzing quantum wire structures has been developed. The method consists of developing a hierarchy of auxiliary problems. The method is demonstrated by analyzing a quantum wire
structure characterized in terms of a fairly complex potential function. Application of the proposed method to several structures involving periodically arranged finite-aperture quantum wires, sandwiched between symmetric or
asymmetric finite barriers, will conclude the paper.
Technical Track Key:
68
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
TH3A: Multi-band and Multi-mode Planar Filters
Thursday 9 June 2011
Time: 1420 – 1600
Room: 310
Chair: Magdalena Salazar-Palma, Universidad Carlos III de Madrid
Co-Chair: Bo Pan, Broadcom Corporation
This session focuses on the latest progress in the design and implementation of multi-band or multi-mode filters.
The first two papers report dual-band filters that can be used in multi-functional RF front-ends. The first one uses
substrate-integrated-waveguide (SIW) technology, and the other one uses conventional microstrip technology
for implementation. The last three papers present several innovative tri-mode resonators and their application in
high-performance filter designs. Improved performance such as out-of-band transmission zeros or reduced filter
size are demonstrated with the use of these multi-mode resonators.
P R O G R A M
1420 – 1600
TH3B: Frequency Conversion and Control
Thursday 9 June 2011
Time: 1420 – 1600
Room: 314-315
Chair: Hiroshi Okazaki, NTT DOCOMO, INC.
Co-Chair: Brad Nelson, RFMD
This session highlights two millimeter wave ICs for vector modulation and two mixers in the microwave range. The
last paper of the session is a injection locked frequency divider.
TH3A-1
1420 – 1440
Miniaturized Dual-Band Substrate Integrated Waveguide Filters Using Complementary Split-Ring
Resonators
Y. Dong, T. Itoh, University of California, Los Angeles, Los Angeles, United States
A novel design concept for compact dual-band substrate integrated waveguide (SIW) filters using the complementary split-ring resonators (CSRRs) is presented. The proposed structure allows relatively independent control over
the center frequencies and the coupling coefficients. Two two-pole filters with dual-band operation are demonstrated, showing advantages in terms of the compact size, good selectivity and stopband rejection, easy fabrication
and integration with other circuits.
TH3B-1
1420 – 1440
A 60-GHz Single-Ended-to-Differential Vector Sum Phase Shifter in CMOS for Phased-Array Receiver
J. Wu, J. Kao, J. Kuo, K. Kao, K. Lin, National Taiwan University, Taipei, Taiwan
A 60-GHz vector sum phase shifter with single-ended-to-differential function for phased array receiver using 90nm LP CMOS technology is presented. For 4-bit operation from 57-64 GHz, this phase shifter exhibits a gain error
and a phase error for all the 16 states of within 2.5 dB and 11°, respectively. The RMS gain imbalance and phase
imbalance between the differential outputs are under 0.8 dB and 5.2°, respectively. The average gain of one of the
differential outputs at 60 GHz is -5.4 dB.
TH3A-2
1440 – 1500
Multi-Coupled-Resonator Dual-Band Bandpass Microstrip Filters With Non-Resonating Nodes
M. Sanchez-Renedo, R. Gomez-Garcia, University of Alcala, Alcala de Henares, Spain
The usage of non-resonating nodes to develop high-selectivity dual-passband microstrip filters is reported. These
nodes are employed as cross-coupling paths to increase the inter-resonator signal interactions. Two planar implementations for the non-resonating node are shown. Also, they are validated through two different 1.1/1.4-GHz
high-order dual-passband filter examples designed at the coupling-matrix level. Simulations and measurements
of their associated built microstrip circuits are given.
TH3B-2
1440 – 1500
A 50-70 GHz I/Q Modulator with Improved Sideband Suppression Using HPF/LPF Based Quadrature
Power Splitter
Y. C. Tsai1, J. L. Kuo1, J. H. Tsai2, K. Y. Lin1, H. Wang1, 1National Taiwan University, Taipei, Taiwan, 2National Taiwan
Normal University, Taipei, Taiwan
This paper presents a 50-70 GHz wideband I/Q modulator with improved sideband suppression. The sideband
suppression improvement results from the on-chip HPF/LPF based broadband quadrature power splitter. The I/Q
modulator exhibits conversion gain of -6 to -2 dB from 50-70 GHz. The LO-RF isolation is better than 36 dB. The
sideband suppression is better than 19 dBc from 50 to 75 GHz.
TH3A-3
1500 – 1520
Eigen-Mode Analysis of a Novel Three-Mode Microstrip/Slot-Line Resonator and the Development of
a Compact Bandpass Filter with Multiple Transmission Zeros and Wide Stopband Property
M. Ohira, Z. Ma, Saitama University, Saitama, Japan
A novel three-mode resonator is proposed, which consists of a parallel-coupled microstrip-line resonator embedded with a slotline resonator. Resonance properties of these three modes are analyzed by using an electromagnetic
simulator. Four transmission zeros are produced because of multi-paths between input/output lines formed by the
three modes and the source-load coupling. A circuit model is proposed and a compact bandpass filter with sharp
skirt property and a wide stopband is developed.
TH3B-3
1500 – 1520
Reconfigurable Broadband Mixer with Variable Conversion Gain
M. Wang, C. E. Saavedra, Queen’s University, Kingston, Canada
A 2 to 10 GHz Gilbert-type mixer is reported in which the gate widths of the transistors in the RF stage are reconfigurable. The change in the total gate-width of the RF devices results in a variable conversion gain for the mixer.
Measurements show the mixer can yield maximum conversion gain of 24 dB and a minimum of 9 dB. The measured
IP1dB ranged from -19 dBm to -4 dBm and the IIP3 ranged from -12 dBm to +3.5 dBm. The chip was fabricated in
130 nm CMOS and the circuit core measures 0.19 mm².
TH3A-4
1520 – 1540
New Triple-Mode Ring Resonator Bandpass Filter With Source-Load Coupling
1
2
T. Lin , J. Kuo , S. Chung1, 1National Chiao Tung University, Hsinchu, Taiwan, 2Chang Gung University, Tao-Yuan,
Taiwan
A new triple-mode resonator is implemented by three coupled rings. The rings are connected to a ground via
through short high-impedance sections, providing inductive coupling among the resonant modes. The rings are
also realized by stepped-impedance equivalence for size reduction. With source-load coupling, two extra zeros
can be created on both sides of the passband. Two filters are fabricated and measured for validation. The measured
results show good agreement with the simulation.
TH3B-4
1520 – 1540
Fully Monolithic Single-Sideband Upconverter Mixer with Sideband Selection
M. Wang, C. E. Saavedra, Queen’s University, Kingston, Canada
An SSB upconverter with sideband selection capability is presented. The mixer operates at an LO frequency of 5 GHz
and an IF of 100 MHz, and it produces an upper sideband at 5.1 GHz and a lower sideband at 4.9 GHz. The measured
voltage conversion gain is greater than 12 dB, its IP1dB is -12 dBm and its IIP3 is -5 dBm. The OP1dB and OIP3 are
0 dBm and +6.5 dBm, respectively. The chip was fabricated in 130 nm CMOS, it consumes a total of 26 mW of dc
power and the chip core measures 0.49 mm².
TH3A-5
1540 – 1600
A Triple-Mode X-band Microstrip Ring Resonator Filter
B. Lacroix, J. Papapolymerou, Georgia Institute of Technology, Atlanta, United States
This paper presents a low-loss and compact triple-mode ring resonator filter operating at X-band. A classical ring
resonator topology with a serpentine line in the middle of the ring as well as perturbations is designed to achieve
a third-order response. This filter exhibits insertion loss of 1.67-2.07 dB in the [9.5-10.5] GHz frequency range
while return loss is better than 14.7 dB. The 1-dB fractional bandwidth is 13.2%. It provides a footprint reduction
compared to standard filter topologies.
TH3B-5
1540 – 1600
Speed Enhancement Technique for Divide-by-4 Injection-Locked Frequency Divider and its Application to Fractional Division
K. Chang, K. M. Cheng, The Chinese University of Hong Kong, Hong Kong, Hong Kong
A new cross-coupling method for the design of divide-by-4 injection-locked frequency divider (ILFD) is introduced
for increased operation speed with no extra power consumption. Moreover, the proposed cross-coupled divideby-4 ILFD is extended to the application of fractional divider based upon the phase-switching scheme. A prototype
is fabricated in a standard 0.35 μm CMOS process. It exhibits an operation frequency range from 2.26 to 2.67 GHz
and consumes less than 3.63 mW with a 1.5 V supply.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
69
I M S
T E C H N I C A L
THURSDAY
P R O G R A M
TECHNICAL SESSIONS
TH3C: Microwaves Around the World II
Thursday 9 June 2011
Time: 1420 – 1600
Room: 316-317
Chair: Jozef Modelski, Warsaw University of Technology
Co-Chair: Ali Darwish, The American University in Cairo
A major theme for IMS2011 is Microwaves for the World. This year’s symposium will feature two Focus Sessions
dedicated to highlighting the global nature of the microwave community and fostering collaboration between
different regions of the world. The objective of these sessions is to expose conference attendees to microwave
activities in geographical regions that are typically underrepresented at IMS but are very important in an increasingly global society. The sessions will include speakers who are experts and leaders in microwave technologies.
This Microwaves Around the World Focus Session will feature presenters from the Ukraine, Lithuania, Turkey, Egypt,
and Brazil.
2
0
1
1
1420– 1600
TH3D: Advances in RF Nanotechnology
Thursday 9 June 2011
Time: 1420 – 1600 Room: 318-320
Chair: Mona Jarrahi, University of Michigan, Ann Arbor
Co-Chair: Stephen Goodnick, Arizona State University
This session presents an overview of RF applications enabled by nanotechnology. It includes papers on innovative
carbon nanotube-based and graphene-based devices, conductive silicon nano-composites for stretchable devices,
new techniques for the microwave metrology of nano-structured materials and nano micromachined circuits for
THz sensing applications.
TH3C-1
1420 – 1440
Development in the Ukraine of Synthetic Aperture Radar (SAR) for Small Aircraft
D. M. Vavriv, O. O. Bezvesilniy, Institute of Radio Astronomy, Kharkov, Ukraine
A review is given on the recent development in the Ukraine of cost-effective SAR systems for small aircraft. The
costs have been substantially reduced due to the introduction of original software solutions. These solutions have
enabled us to simplify radically the navigation system and to produce geometrically correct SAR images. A technique for 3D imaging with a single-antenna, squint-mode SAR has also been proposed. The efficiency of the solutions has been confirmed with Ku- and X-band SAR systems.
TH3D-1
1420 – 1440
A 4” Wafer Photostepper-Based Carbon Nanotube FET Technology for RF Applications
M. Schroter1, P. Kolev1, D. Wang1, M. Eron2, S. Lin2, N. Samarakone1, M. Bronikowski1, J. Yu1, P. Sampat1, P. Sams1, S.
McKernan1, 1RFnano Corp., Newport Beach, United States, 2Miteq Inc. , Hauppauge, United States
Depletion-mode CNTFETs have been fabricated on 4” wafers with a photostepper-based process. The transistors
show significant current and power gain with peak (fT, fmax) values of (9, 10)GHz. Compact model results are
compared to experimental characteristics over bias and frequency. First RF amplifier circuit results are also shown.
TH3C-2
1440 – 1500
Ultra-Wideband Radar Imaging and Live-Being Detection Research in Lithuania
B. Levitas, J. Matuzas, I. Naidionova, Geozondas JSC, Vilnius, Lithuania
In this article, we present recent achievements in UWB measurements in Lithuania. The main purposes of those
measurements are to prove the feasibility of detecting objects behind non-metallic barriers and to characterize
them. Different UWB measurements techniques (ISAR, SAR, MIMO - radar systems) allow us to draw images of
objects, as well as to analyze small motions of objects and to measure the rate of respiration and heart-beat.
TH3D-2
1440 – 1500
Circuit Model of Carbon-Nanotube Inks for Microelectronic and Microwave Tunable Devices
R. De Paolis1, S. Pacchini2, F. Coccetti2, G. Monti1, L. Tarricone1, M. M. Tentzeris3, R. Plana2, 1University of Salento,
Lecce, Italy, 2LAAS, Toulouse, France, 3Georgia Institute of Technology, Atlanta, United States
An electrical model of a carbon nanotube “inkjet”-able suspension is proposed and validated through measurements. Both the DC resistance and the resonance frequency of the structure can be selected ad hoc (from tens of kΩ
to tens of Ω and from few MHz to tens of MHz, respectively). Thus, by exploiting the suggested equivalent circuit,
the CNT ink deposition process can be considered as a promising candidate for the design of microelectronic and
microwave devices with customized behavior.
TH3C-3
1500 – 1520
Reconfigurable Antennas Using RF-MEMS Research in Turkey
O. Aydin Civi, S. Demir, T. Akin, Middle East Technical University, Ankara, Turkey
A review of the on-going research in Middle East Technical University,Turkey,on tunable microwave components
and reconfigurable antennas produced by RF MEMS technology is presented. Design and measurement results of
RF MEMS components fabricated in microelectronics fabrication facilities of METU such as switches, DMTL phase
shifters, tunable impedance matching circuits, frequency tunable antennas, electronically scanning arrays and reflectarrays are presented focusing on the latest results.
TH3D-3
1500 – 1520
Broadband Scanning Microwave Microscopy Investigation of Graphene
S. Fabiani, D. Mencarelli, A. Di Donato, T. Monti, G. Venanzoni, A. Morini, T. Rozzi, M. Farina, Universita Politecnica
delle Marche, Ancona, Italy
In this work, we describe the application to a Graphene flake of a dual-channel scanning probe microscope, able
to perform simultaneously tunnel current and wide-band near field microwave measurements. We achieve high
quality microwave images with nanometric resolution. The Graphene sample is deposited on a substrate of SiO2
with an additional deposition of gold (a contact finger). The preliminary measurements seem to show evidences of
localized change of impedance near the edge of the flake.
TH3C-4
1520 – 1540
University Research on Composite Right/Left Handed Guided-Wave Structures in Egypt
1
A. M. Safwat , I. A. Eshrah2, T. M. Abuelfadl2, A. Darwish3, 1Ain Shams University, Cairo, Egypt, 2Cairo University, Giza,
Egypt, 3The American University in Cairo, Cairo, Egypt
This paper presents stub-based implementations of composite right/left handed (CRLH) transmission lines in
planar and waveguide technologies. CRLH applications are also presented. Theoretical expectations have been
confirmed by EM simulations and measurements. This research is a sample of the microwave activities carried out
in Egypt.
TH3D-4
1520 – 1530
RF Characterization of Epitaxial Graphene Nano-ribbon Field Effect TransistorS
N. Meng, J. Ferrer Fernandez, O. Lancry, E. Pichonat, D. Vignaud, G. Dambrine, H. Happy, Institute of Electronics,
Microelectronics and Nanotechnology, Villeneuve d’ASCQ, France
RF characterization of epitaxial graphene nano ribbon field-effect transistor (GNRFET) was investigated. The few
layers graphene were synthesized by thermal decomposition of {0001} silicon carbide under UHV environment.
Raman spectroscopy, AFM and Hall measurement were used to investigate the properties of graphene synthesized.
Despite the Hall mobility was lower than 500 cm²/Vs, the intrinsic current gain cut-off frequency of 60 GHz and
maximum oscillation frequency of 30 GHz were obtained. This work shows the strong potentiality of GNRFET in
future high speed electronics.
TH3C-5
1540 – 1600
Microwave Instrumentation for Astrophysical Observations: Some Brazilian Contributions
T. Villela, INPE, Sao Jose dos Campos, Brazil
In this paper, it is presented a brief overview of the work done in Brazil related to the development of instrumentation for measurements of the Cosmic Microwave Background and its contaminants. The microwave components
and systems developed over the past two decades encompass waveguides, corrugated horns, transitions, orthomode transducers, calibrators, complete receivers, and optical system. Partial to complete designs, simulations,
and fabrication of these systems were conducted in order to build radiotelescopes that operated on the ground
and on board stratospheric balloons to produce maps of the microwave emission of the sky in the frequency range
between 1.465 GHz and 100 GHz.
TH3D-5
1530 – 1540
Electrically Conductive Silicone Nano-Composites for Stretchable RF Devices
J. C. Agar1, J. Durden1, R. Zhang1, D. Staiculescu1, E. Gebara2, C. Wong1, 1Georgia Institute of Technology, Atlanta,
United States, 2Georgia Institute of Technology, Atlanta, United States
We show a method for producing highly conductive stretchable silicone composites via an in-situ nanoparticle
formation and sintering. Using this composite we develop a simple processing technique to fabricate rf transmission lines. These transmission lines are flexible, stretchable and robust. The S-parameter measurements at up to
6 GHz show stable performance during mechanical deformation. Development of this technology will enable the
production of ultra low cost stretchable consumer RF devices.
TH3D-6
1540 – 1600
Compact Submillimeter-Wave Receivers Made With Semiconductor Nano-Fabrication Technologies
C. Jung1, B. Thomas2, C. Lee1, A. Peralta1, J. Gill1, K. Cooper1, G. Chattopadhyay1, E. Schlecht1, R. Lin1, I. Mehdi1,
1
Jet Propulsion Laboratory - California Institute of Technology, Pasadena, United States, 2Radiometer Physics GmbH,
Meckenheim, Germany
Advanced semiconductor fabrication techniques are utilized to design, fabricate and demonstrate a supercompact
submillimeter-wave heterodyne front-end. RF elements such as waveguides and channels are fabricated in a silicon substrate using deep-reactive ion etching. Etched patterns with sidewalls angles controlled with 1° precision
are reported while maintaining a etched surface roughness of better than 20 nm rms. This approach is developed
to build compact 2D arrays in the THz frequency range.
Technical Track Key:
70
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
THURSDAY
I M S
T E C H N I C A L
TECHNICAL SESSIONS
P R O G R A M
1420 – 1600
TH3E: Advances in Communication, Positioning, and Direction Finding Systems
Thursday 9 June 2011
Time: 1420 – 1600
Room: 321-323
Chair: Arne F Jacob, TU Hamburg-Harburg
Co-Chair: Reinhard Knoechel, University of Kiel
The first two papers present integrated communication subsystems at 60 GHz and 220 GHz. Then a pulsed UWB
frequency modulated positioning system is described. An enhanced six-port network is applied for simultaneous
data reception and direction-of-arrival measurement. The last paper shows a new beam forming concept for angle
discrimination in automotive radar at 77 GHz.
TH3F: Accuracy Enhancement in Numerical Frequency Domain Techniques
Thursday 9 June 2011
Time: 1420 – 1600
Room: 324-326
Chair: Luca Perregrini, University of Pavia
Co-Chair: Abbas Omar, University of Magdeburg
The papers in this session address a number of numerical techniques for enhancing the accuracy of field simulations in the frequency domain. These techniques cover the mitigation of low-frequency breakdown in finite
element algorithms, the usage of meshless methods, the application of the self-adjoint sensitivity analysis, the
analysis of high contrast permittivity and permeability profiles, and the analysis of noisy electromagnetic fields.
TH3E-1
1420 – 1440
A System-on-Package Analog Synchronous QPSK Demodulator for Ultra-High Rate 60 GHz Wireless
Communications
C. A. Ulusoy, H. Schumacher, Ulm University, Ulm, Germany
A highly efficient QPSK demodulator module is presented, which synchronizes carrier phase and frequency in the
analog domain. By this, the need for high-precision ADCs is eliminated, which become especially problematic for
data rates exceeding several Gbit/s. Within the work, synchronous demodulation of up to 5 Gbit/s data rate is
demonstrated. Moreover, it is experimentally validated that through the presented receiver concept a substantial
amount of phase noise suppression can be achieved.
TH3F-1
1420 – 1440
A Rigorous Solution to the Low-Frequency Breakdown in Full-Wave Finite-Element-Based Analysis of
General Problems Involving Inhomogeneous Lossy Dielectrics and Non-ideal Conductors
J. Zhu, D. Jiao, Purdue University, West Lafayette, United States
A rigorous method is developed to fundamentally eliminate the low frequency breakdown problem for full-wave
finite-element based analysis of general 3-D problems involving inhomogeneous lossy dielectrics and non-ideal
conductors. In this method, the frequency dependence of the solution to Maxwell’s equations is explicitly derived
from DC to any high frequency. The proposed method has been validated by the analysis of realistic on-chip circuits
at frequencies as low as DC.
TH3E-2
1440 – 1500
Wireless DVB-C Transmission at 220 GHz Using Active Single-Chip Receive and Transmit MMICs
I. Kallfass1, A. Tessmann2, J. Antes1, D. Lopez-Diaz2, M. Kuri2, H. Massler2, A. Leuther2, T. Zwick1, 1Karlsruhe Institute
of Technology, Karlsruhe, Germany, 2Fraunhofer Institute for Applied Solid State Physics, Freiburg, Germany
Wireless transmission of DVB-C television signals with up to 256-QAM modulation at an RF frequency of 220 GHz
is presented. The RF frontend is employing active single-chip transmit and receive MMICs packaged into compact
waveguide modules. The measured uncorrected BER in the coherent transmission setup over a distance of 1 m is
1.7e-5 and 9.1e-4 for a 128- and 256-QAM modulation format, respectively, at an IF carrier frequency of 643 MHz.
TH3F-2
1440 – 1500
New Method for Exact Self-Adjoint Sensitivity Analysis of Metallic Shapes
M. S. Dadash, K. Moussakhani, N. K. Nikolova, L. Liu, McMaster University, Hamilton, Canada
A new sensitivity analysis method is proposed to compute the S-parameter Jacobian for metallic shapes. The
method is exact in the sense that it uses an analytical formulation, which is independent of the method of numerical full-wave analysis and the respective system matrix. It uses only the field solution on the surface of the metallic
objects. The field solution can be provided by any valid electromagnetic analysis.
TH3E-3
1500 – 1520
On the Performance of Pulsed Frequency Modulated UWB Local Positioning Systems
1
R. Ebelt , B. Waldmann2, G. Bauer1, A. Aleksieieva1, A. Esswein2, R. Weigel2, M. Vossiek1, 1Clausthal University of
Technology, Clausthal-Zellerfeld, Germany, 2University of Erlangen-Nuremberg, Erlangen, Germany
Recently, a novel ultra-wideband (UWB) local positioning concept based on pulsed frequency modulated (PFM)
signals was introduced. The current paper presents a detailed analysis of the performance of the proposed PFMUWB approach. It is shown that with PFM-UWB an excellent coverage / range can be achieved when a VCO with
good phase-noise performance is used. By simulations and measurements the theoretical findings are verified and
confirm that PFM-UWB allows for accuracy in the mm-range.
TH3F-3
1500 – 1520
A Comparison of Three Meshless Algorithms: Radial Point Interpolation, Non-Symmetric and Symmetric Kansa Method
T. Kaufmann2, C. Engström1, C. Fumeaux2, 1ETH Zurich, Zurich, Switzerland, 2The University of Adelaide, Adelaide,
Australia
A comparison of three meshless methods based on radial basis functions is performed for the numerical solution
of electromagnetic eigenvalue problems. The convergence rates of selected examples show surprisingly similar
performance despite different formulations. When compared to finite-element implementations, the meshless
methods appear more accurate and efficient. A combination with adaptive node refinement makes meshless
methods very promising for electromagnetic simulations.
TH3E-4
1520 – 1540
The Enhanced Six-Port Receiver: A New Concept for Simultaneous Data Reception and Direction of
Arrival Detection
A. Koelpin, G. Vinci, B. Laemmle, R. Weigel, University of Erlangen-Nuremberg, Erlangen, Germany
The key benefits of the six-port receiver architecture are its high bandwidth and low circuit complexity. This concept
has been known on the one hand for precise phase measurement applications and on the other hand for complex
valued data reception in the millimeter wave region. The work presented here shows a new approach for combining both principles in one six-port receiver. A method for simultaneous data reception and direction of arrival (DOA)
detection will be presented.
TH3F-4
1520 – 1540
Accurate Modeling of Dispersive Material Interfaces in High-Order Finite-Difference Methods
R. B. Armenta, C. D. Sarris, University of Toronto, Toronto, Canada
When solving Maxwell’s equations using high-order finite-difference methods in the presence of dispersion, it is
particularly important to have an appropriate procedure to model material interfaces that can handle nonuniform
grids. This paper shows that, if such procedure is in place, then is possible to achieve high-order convergence using
coarse discretizations even in the extreme case of analyzing a Lorentz material interfaced with vacuum and excited
at its plasma frequency.
TH3E-5
1540 – 1600
Beamforming Concepts for Angular Measurements in Azimuth and Elevation with 77 GHz Lens Based
Radar Sensors
K. Baur1, M. Mayer1, T. Walter1, T. Binzer2, 1University of Applied Sciences Ulm, Ulm, Germany, 2Robert Bosch GmbH,
Leonberg, Germany
With the development of highly integrated 77 GHz SiGe-Radar-MMICs, cost effective and reliable radar systems are
feasible to significantly increase driving safety in all vehicle classes. An important criterion in future radar systems
will be the exact measurement of angles in the azimuth and also in the elevation plane. A beamforming concept
for angular measurements in both directions with one single sensor, using a combination of series fed arrays and
a cylindrical dielectric lens is presented.
TH3F-5
1540 – 1600
An Efficient Method for Computer Aided Analysis of Noisy Electromagnetic Fields
J. A. Russer, P. Russer, Technical University Munich, Munich, Germany
This work presents an efficient method for the numerical simulation of noisy electromagnetic fields, accounting for
arbitrary correlations between the noise radiation sources. It allows us to compute the spatial distribution of the
spectral energy density. Method of moments is applied to model noisy electromagnetic fields by network methods
using correlation matrix techniques. The method can be combined with available electromagnetic modeling tools.
Technical Track Key:
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
71
I M S
T E C H N I C A L
THURSDAY
2
P R O G R A M
TECHNICAL SESSIONS
TH3G: Broadband/Multiband Power Amplifier Design Techniques
Thursday 9 June 2011
Time: 1420 – 1600
Room: 327-329
Chair: Debasis Dawn, Georgia Institute of Technology
Co-Chair: Anh-Vu Pham, University of California, Davis
The session is focused on the development of novel design techniques in implementing power amplifiers for
broadband and multiband applications. Various circuit topologies are exploited in achieving state-of the-art performances for LTE, WCDMA, m-WiMAX, UMTS applications.
TH3G-1
1420 – 1440
Linear Tapered Diplexing Distributed Power Amplifier for Mobile Phone Application
W. Wang1, G. Fischer1, L. van den Oever2, C. Korden3, R. Weigel1, 1Friedrich-Alexander-University, Erlangen, Germany, 2Radio Semiconductor Corporation B.V., Nijmegen, Netherlands, 3TDK-EPC Corporation, Munich, Germany
A new linear tapered diplexing power distributed amplifier based on composite right left handed transmission line
has been made. According to the input frequency, the amplified signal is directed to one of the two output ports
automatically. The linearity requirement of UMTS and WLAN can be satisfied until different power level, respectively. Furthermore, this circuit is capable of simultaneous ampification of 2 signals, which enables load balancing
and spectrum aggregation techniques.
TH3G-2
1440 – 1500
A Multimode/Multiband Envelope Tracking Transmitter with Broadband Saturated Power Amplifier
J. Moon, J. Son, J. Lee, J. Kim, S. Jee, S. Kim, B. Kim, Pohang University of Science and Technology, Pohang, Republic
of Korea
A multimode/multiband ET transmitter consisting of a hybrid switching amplifier (HSA) and a broadband saturated
PA is developed across 1.3 to 2.7 GHz. For the various standard signals, the HSA efficiently provides a supply signal
to the PA by changing the reference value of the hysteresis comparator. The broadband saturated PA, taking advantage of a nonlinear output capacitor to shape the voltage waveform, is implemented based on load/source-pull
methodology and SRFT technique.
TH3G-3
1500 – 1520
Concurrent Dual-band Power Amplifier with Different Operation Modes
R. Liu1, D. Schreurs1, W. De Raedt2, F. Vanaverbeke2, R. Mertens2, 1Katholieke Universiteit Leuven, Leuven, Belgium,
2
IMEC vzw, Leuven, Belgium
For the first time a simultaneous dual band PA with different operation modes for each frequency is presented. A
dedicated matching network is employed to synthesize Class-AB impedance condition at lower bands and Class-J
condition at upper bands. The measured results show a 47% and 52% PAE for an output power of 37.3dBm and
35.7dBm at 1.5GHz and 3.8GHz, respectively. Moreover, the PA exhibits 41% drain efficiency across 1.4–1.6 GHz
and 3.0–3.9 GHz with 36dBm average output power.
TH3G-4
1520 – 1540
1.6–2.1 GHz Broadband Doherty Power Amplifiers for LTE Handset Applications
D. Kang, D. Kim, Y. Cho, J. Kim, B. Park, C. Zhao, B. Kim, Postech, Pohang, Republic of Korea
A Doherty power amplifier (PA) for LTE applications is fully integrated on a 1.4mm x 1.4mm die using a 2-um
InGaP/GaAs HBT process. For a LTE signal with a 7.5-dB PAPR and a 10-MHz BW, the PA with a supply voltage of
4.5 V delivers a PAE of 36.3 %, and an ACLR of -32 dBc with an average output power of 27.5 dBm at a frequency of
1.85 GHz. Across 1.6-2.1 GHz, the PA performs a PAE of over 30 %, a gain of over 28 dB and an ACLR of below -31
dBc at an average output power of 27.5 dBm.
0
1
1
1420– 1720
CLOSING CEREMONY
With a special Presentation
“Cognitive Radar”
by Dr. Joseph Guerci
Thursday, 6 June 2011
1620 – 1720 Ballroom III - IV, Baltimore Convention Center
IMS2011 will hold a Closing Ceremony on Thursday afternoon between 1620 and 1720 in the Ballroom of the Baltimore Convention Center. In the same way that the Plenary Session formally opens IMS2011, the Closing Ceremony
will mark the official close of the contributed Technical Program. We invite all Microwave Week participants to join
us and enjoy some refreshments while we conclude IMS2011.
The highlight of the Closing Ceremony will be a presentation by Dr. Joseph Guerci entitled “Cognitive Radar”. We
think that Dr. Guerci’s knowledge and insight into future system needs will provide a great way to close IMS2011 as
it will give all of us incentive to push the state of the art in microwave materials, devices, components, and circuits
as we think ahead to Montreal where we will reconvene for IMS2012.
As you know, at IMS2011 we introduced a new student competition called the Graduate Student Challenge. Students competing will have been working all week and will have just finished making their presentation earlier
Thursday afternoon. The Closing Ceremony will be the venue for recognizing the winners of this challenge. It will
also be IMS2011’s opportunity to present a few other awards and recognition to deserving individuals.
The Closing Ceremony presents an opportunity for the IMS2011 Steering Committee to thank all the attendees for
their contributions to making IMS2011 a success. IMS2011 will also be introducing the IMS2012 Steering Committee so that they can tell you all about next year’s IMS2012 in Montreal.
Abstract: The continued “digitization” of radar front-ends and resultant transmit-receive flexibility, coupled with
advances in advanced knowledge-aided (KA) high performance embedded computing (HPEC), have afforded a
unique opportunity for a leap-ahead capability in a radar’s ability to adapt to complex target environment scenarios. This talk provides an overview of one of the latest adaptive radar architectures to emerge from the nascent
field of cognitive radar.
Biography of the Speaker: Dr. Guerci has over 25 years of experience in advanced technology research and development in government, industrial, and academic settings. His government service included a recent 7 year term with
the Defense Advanced Research Projects Agency (DARPA) in which he held the positions of Program Manager,
Deputy Office Director, and finally Director of the Special Projects Office (SPO). In these capacities, Dr. Guerci was
involved in the inception, research, development, execution, and ultimately transition of next generation multidisciplinary defense technologies.
Dr. Guerci is a recognized leader in the research and development of next generation sensor systems and adaptive signal processing. In particular, he has pioneered several advanced radar technologies including robust and
knowledge-aided space-time adaptive processing (STAP), and optimal and adaptive MIMO radar and waveform
design. In addition to authoring over 100 peer reviewed articles, he has several book chapters and is the author of
Space-Time Adaptive Processing for Radar (Artech House, 2003), and the recently published Cognitive Radar: The
Knowledge-Aided Fully Adaptive Approach, (Artech House, 2010). Dr. Guerci also recently received the IEEE Warren
D. White Award for “Excellence in Radar Adaptive Processing and Waveform Diversity”, and the IEEE/IEE Waveform
Diversity Person of the Year for 2010 for “For Scientific, Technical, and Executive Leadership Contributions in Making
Waveform Diversity a Fielded Technology“. He has also recently been appointed the General Chair for the 2015 IEEE
International Radar Conference.
A graduate of Polytechnic University with a Ph.D.E.E (System Engineering), Dr. Guerci has held adjunct professorships in engineering and applied mathematics at The City University of New York, Polytechnic University, The
Cooper Union for Advancement of Art and Science, and Virginia Tech. Additionally, he has held senior engineer and
scientist positions in industry and was recently Chief Technology Officer (CTO) for SAIC’s Research, Development,
Test & Evaluation (RDT&E) Group. A member of the IEEE Radar Systems panel, he is also a Fellow of the IEEE for
“Contributions to Advanced Radar Theory and its Embodiment in Real-World Systems”, holds eight US Patents, and
is a member of several industrial, academic, and government advisory boards.
Technical Track Key:
72
µwave Field & Circuit Techn.
Passive Components
Active Components
Systems & Applications
Emerging Technical Areas
General Interest
2
0
1
1
I M S
IMS STEERING COMMITTEE
IMS2011:Jeffrey M. Pond, Naval Research Laboratory, Chair
J. Douglas Adam, Northrop Grumman, Vice-Chair
Technical Program:
Ramesh Gupta, Lightsquared, Chair
Harvey S. Newman, Naval Research Lab., Vice Chair
Brian Sequeira, JHU Applied Physics Lab., Technical
Program Planning
Local Arrangements:
J. Greg Burns, Northrop Grumman, Chair
Publications:
John Upshur, JHU Applied Physics Lab., Chair
Randal J. Cacciola, Northrop Grumman, Vice Chair
Holly Ahlstrand, UMMC, Hospitality Suite
Robert Alongi, IEEE Boston, Finance
Kevin Asplen, K&L Microwave, MicroApps
Godfrey Augustine, Northrop Grumman, Workshops,
Registration
N. Scott Barker, Univ. of Virginia, Student Competitions
Charlotte Blair, AWR Corp., Virtual Presence, MicroApps
Nathan A. Bushyager, Northrop Grumman, Interactive
Forums
Elsie Cabrera, IEEE MCM, Conference Management
Tim Dolan, K&L Microwave, MicroApps
Shalini Gupta, Northrop Grumman, Local Arrangements
Andy Guyette, Naval Research Lab., Special & Focus
Sessions
Jon Hacker, Teledyne, ePaper Management
Jemal S. Hajibrahim, Northrop Grumman, Short Courses
Jeffrey Hartman, Northrop Grumman, Local Arrangements
George Heiter, retired, Advisor
Rafi Hershtig, K&L Microwave, MicroApps (Chair)
Sherry Hess, AWR Corp., WIM, MicroApps
Susie Horn, MP Associates, Exhibition Management
Jim Hwang, Lehigh Univ., International Coordinator
Tony Ivanov, Army Research Lab., Workshops
Doug Jachowski, Naval Research Lab., Short Courses (Chair)
Albert Jerng, Ralink, RFIC Technical Program Liason
Nannette Jordan, MP Associates, Registration
Roger Kaul, Army Research Lab. (retired), Advisor, Ham
Radio Reception
Steven W. Kirchoefer, Naval Research Lab., Website,
Mobile App
Fred C. Kuss, Northrop Grumman, Signs
Tim Lee, Boeing, PDA, Virtual Presence
Kevin Lepine, MP Associates, Exhibition Management
Bruce Levine, Scientific Research Corp., Protocol
Jessica Lotito, IEEE MCM, Conference Management
James Lyle, Lyle Photos, Photography
Tammy Lyle, Lyle Photos, Photography
Misoon Mah, AFOSR, International Liaison
Bettina Nechay, Northrop Grumman, Local Arrangements
Ed Niehenke, Niehenke Consulting, Advisor
David Ngo, RFMD, RFIC Liason
Dalma Novak, Pharad, Special & Focus Sessions
Michael D. Nueslein, MITRE, Workshops
Lee J. Phelps, Northrop Grumman, Interactive Forums
Roger Pollard, Univ. of Leeds, ePaper Management
Joe Qiu, Army Research Lab., Workshops (Chair)
Sanjay Raman, DARPA, Panel and Rump Sessions
Michel, A., Reece, Morgan State Univ., Student Volunteers
Mark Rosker, DARPA, Panel and Rump Sessions (Chair)
Jacques Rudell, Univ. of Washington, RFIC Technical
Program Liason
Amanda Scacchitti, Publications
Sanghoon Shin, Naval Research Lab., Interactive
Forums
Marc St. John, MITRE, Workshops
Steven Stitzer, Northrop Grumman, Historical Exhibit,
Advisor
Rich Sutton, SAIC, Short Courses
Chi Wang, Orbital Sciences, Interactive Forums
Denis Webb, Naval Research Lab. (retired), Advisor
Robert Weikle, Univ. of Virginia, Student Competitions
Lee Wood, MP Associates, Exhibition Management
Candi Wooldridge, MP Associates, Exhibition Coordinator
Karen K. Wright, Northrop Grumman, Guest Program
Alicia Zupeck, IEEE MCM, Conference Management
73
2
I M S
0
1
1
IMS2011 TECHNICAL PAPER REVIEW COMMITTEE (TPRC)
Field Analysis and Guided Waves
Tapan Sarkar, Chair
David Jackson
Linda Katehi
Paolo Lampariello
Jan Machac
Ingo Wolff
Frequency-Domain EM Analysis
Techniques
Luca Perregrini, Chair
Atef Elsherbeni
Abbas Omar
Poman So
Time-Domain EM Analysis Techniques
Costas Sarris, Chair
Zhizhang (David) Chen
Wolfgang J. R. Hoefer
Daniel van der Weide
CAD Algorithms and Techniques
Jose E. Rayas-Sanchez, Chair
Peter Aaen
John Bandler
Paul Draxler
Arvind Sharma
Roberto Sorrentino
Q.J. Zhang
Nonlinear Device Modeling
Yusuke Tajima, Chair
John Atherton
Thomas Brazil
Rhonda Franklin
Robert Leoni
Alexandros Margomenos
Matthias Rudolph
Christopher Snowden
Nonlinear Circuit and System
Simulation
Dominique Schreurs, Chair
Kevin Gard
Michel Nakhla
Jose-Carlos Pedro
David Root
Christopher Silva
Almudena Suarez
Transmission Line Elements
Tatsuo Itoh, Chair
George Eleftheriades
Branka Jokanovic
Reza Mahmoudi
Francisco Mesa
Barry Spielman
Ke Wu
Passive Circuit Elements
Nickolas Kingsley, Chair
Inder Bahl
Young-Kai Chen
Victor Fouad-Hanna
Roberto Vincenti Gatti
Kavita Goverdhanam
Rashaunda Henderson
Jesse Taub
74
Planar Passive Filters and Multiplexers
Chi Wang, Chair
Ali Atia
Christopher Galbraith
Jia-Sheng (Jason) Hong
Bo Pan
James Rautio
Magdalena Salazar-Palma
Non-planar Passive Filters and
Multiplexers
Vicente E. Boria-Esbert, Chair
Andrew Guyette
Giuseppe (Pino) Macchiarella
Richard Snyder
Huiwen Yao
Ming Yu
Kawthar Zaki
Active, Tunable and Integrated
Filters
Atsushi Sanada, Chair
Clark Bell
Roberto Gomez-Garcia
Ian Hunter
Douglas Jachowski
Raafat Mansour
Sanghoon Shin
Ferroelectric, Ferrite and Acoustic
Wave Components
Amir Mortazawi, Chair
Thomas Lingel
Josef Modelski
Clemens Ruppel
Steven Stitzer
Guoan Wang
Robert Weigel
MEMS Components and Technologies
Scott Barker, Chair
Pierre Blondy
John Ebel
Charles Goldsmith
Youngwoo Kwon
Joachim Oberhammer
Gabriel Rebeiz
Thomas Weller
Semiconductor Devices and Monolithic ICs
Ho Huang, Chair
James Buckwalter
Amin Ezzeddine
Bernard Geller
Dietmar Kissinger
Nicholas Kolias
Tim Lee
Kenjiro Nishikawa
Signal Generation
Amarpal (Paul) Khanna, Chair
Bhaskar Banerjee
Danny Elad
Bert Henderson
John Papapolymerou
Thomas Ussmueller
Scott Wetenkamp
Frequency Conversion and Control
Huei Wang, Chair
Deukhyoun Heo
Kenji Itoh
Mohammad Madihian
Brad Nelson
Hiroshi Okazaki
Quan Xue
Microwave Photonics
Bill Jemison, Chair
Tibor Berceli
Dieter Jaeger
Adil Karim
Yifei Li
Dalma Novak
Ronald Reano
HF/VHF/UHF Technologies and
Applications
Frederick Raab, Chair
Richard Campbell
Robert Caverly
John Heaton
Allen Katz
Frank Sullivan
Charles Weitzel
Signal Processing Circuits at GHz
speeds
Koichi Murata, Chair
Hermann Boss
Edward Gebara
Johann Luy
Shamsur Mazumder
Keh-Chung Wang
Andreas Weisshaar
Power Amplifier Circuits
Wayne Kennan, Chair
Debasis Dawn
Wolfgang Heinrich
Chang-Ho Lee
Raghu Mallavarpu
Anh-Vu Pham
Franco Sechi
Packaging, Interconnects, MCMs
and Integration
Bruce Kopp, Chair
Zaher Bardai
Morgan Chen
Rudy Emrick
Robert Jackson
Daniela Staiculescu
Eric Strid
C.-K. Tzuang
Power Amplifier Devices
Douglas Teeter, Chair
Peter Asbeck
P.C. Chao
Yi-Jan (Emery) Chen
Ali Darwish
Reynold Kagiwada
Aryeh Platzker
Ruediger Quay
High-Power Amplifiers
Paul Tasker, Chair
Fadhel Ghannouchi
Bumman Kim
James Komiak
Joe Qiu
James Schellenberg
John Wood
Low-Noise Components and
Receivers
Terry Cisco, Chair
Francois Danneville
William Deal
Marian Pospieszalski
Alfred Riddle
Phillip Smith
James Whelehan
Millimeter-Wave and THz Components and Technologies
Edward Niehenke, Chair
Debabani Choudhury
John Cunningham
H. Alfred Hung
John Kuno
Asher Madjar
Kenichi Maruhashi
Jae-Sung Rieh
Instrumentation and Measurement
Techniques
Andrea Ferrero, Chair
John Barr
Nuno Borges Carvalho
Leonard Hayden
Michael Janezic
Jon Martens
Kate Remley
Ken Wong
Biological Effects and Medical
Applications
Mohammad-Reza Tofighi, Chair
J-C. Chiao
Guglielmo d’Inzeo
Anand Gopinath
Usmah Kawoos
Natalia Nikolova
Arye Rosen
Claude Weil
RF Arrays as Antennas and Power
Combiners
Julio Navarro, Chair
Constantine Balanis
Nathan Bushyager
Glenn Hopkins
RongLin Li
Zoya Popovic
Chris Rodenbeck
Wayne Shiroma
Radar and Broadband Communication Systems
Reinhard Knoechel, Chair
Mohamed Abouzahra
Darren Goshi
Arne Jacob
Roger Kaul
Gregory Lyons
Ryan Miyamoto
Wireless and Cellular Communication Systems
Vijay Nair, Chair
Telesphor Kamgaing
Kyutae Lim
Mohamed Megahed
Shoichi Narahashi
Ethan Wang
Jonathan Wells
Sensors and Sensor Systems
Alan Jenkins, Chair
Ian Gresham
George Heiter
Kiki Ikossi
Hiroshi Kondoh
Krishna Naishadham
Lora Schulwitz
Yanzhu Zhao
RFID Technologies
Luca Roselli, Chair
George Duh
Apostolos Georgiadis
Gaetano Marrocco
Peter Staecker
Manos Tentzeris
Kazuya Yamamoto
High Power Microwave Industrial
Application
Malgorzata Celuch, Chair
Yoshio Nikawa
John Osepchuk
Monika Willert-Porada
Vadim Yakovlev
RF Nanotechnology
Luca Pierantoni, Chair
Christophe Caloz
Goutam Chattopadhyay
Fabio Coccetti
Stephen M. Goodnick
Mona Jarrahi
Imran Mehdi
Peter Russer
New Technologies and Applications
Madhu Gupta, Chair
Jerry Fiedziuszko
Stevenson Kenney
CP (Cheng) Wen
International Coordination
James Hwang
Misoon Mah
Regional Coordinators
Silvio Barbin- Central and Latin America
Ali Darwish- Africa and Middle East
Shiban Kaul- India and Indian Subcontinent
Jozef Modelski- Central and Eastern Europe
Quan Xue- Greater China Region
2
0
1
1
I M S
IEEE MTT-S ADMINISTRATIVE COMMITTEE
Officers
President
President Elect
Treasurer
Secretary
Richard Snyder
Nicholas Kolias
William Chappell
Alaa Abunjaileh
Elected Adcom Members
Term Expires in 2011:
Madhu Gupta
Joy Laskar
Jenshan Lin
Dominique Schreurs
Bela Szendreyi
Ke Wu
Yoshio Nikawa
Luciano Boglione
William Chappell
Mark Gouker
Shiban Koul
George Ponchak
Robert Weigel
Roberto Sorrentino
Tim Lee
Amir Mortazawi
Tom Brazil
Quan Xue
Mohammad Madihian
Term Expires in 2012:
Nicholas Kolias
Term Expires in 2013:
Vijay Nair
Immediate Past Presidents
2010
2009
2008
Samir El-Ghazaly
Barry Perlman
Jozef Modelski
Honorary Life Members
Tatsuo Itoh
Arthur Oliner
Peter Staecker
Kiyo Tomiyasu
Future IEEE MTT-S International Microwave Symposia Sites
2012: Montreal, Canada
17-22 June
Ke Wu, Chair
Ecole Polytechnique
ke.wu@ieee.org
2013: Seattle, WA
2-7 June
Tom Raschko, Chair
Sea-Port Technical Sales
tom.raschko@ieee.org
2014: Tampa, FL
1-6 June
Larry Dunleavy, Chair
Univ. of South Florida
dunleavy@eng.usf.edu
2015: Phoenix, AZ
15-22 May
Vijay Nair, Chair
Intel Corporation
v.nair@ieee.org
2016: San Francisco, CA
22-27 May
Paul Khanna, Chair
Phase Matrix, Inc.
apskhanna@ieee.org
2017: Honolulu, HI
4-9 June
Kevin Miyashiro, Chair
TeraSys
kmiyashi@ieee.org
2018: Philadelphia, PA
11-15 June
Mohammad Madihian, Chair
Institute of Microelectronics
madihian@ieee.org
2019: Boston, MA
3-7 June
Mark Gouker, & Larry Kushner, Co-Chairs
MIT Lincoln Lab. & Intersil
gouker@ll.mit.edu, kushner@intersil.com
75
2
I M S
0
1
1
IEEE MTT-S AWARDS
Microwave Career Award:
This award recognizes an individual for a career of meritorious achievement and outstanding technical contribution in the field of microwave
theory and techniques. This year’s recipient is Tatsuo Itoh.
“For a Career of Leadership, Meritorious Achievement, Creativity and Outstanding Technical Contributions in the Field of Microwave Theory
and Techniques”
Distinguished Service Award:
This award recognizes significant contributions and outstanding service to the MTT-S and the microwave profession over a sustained period
of time. This year’s recipient is Edward Rezek.
“In recognition of a distinguished record of service to the MTT Society and the microwave profession over a sustained period of time”
N Walter Cox Award:
This award was established in recognition of the qualities of N. Walter Cox and his service to the MTT-S prior to his untimely death in 1988. It is given to
a Society volunteer whose efforts on behalf of MTT-S best exemplify Walter’s spirit and dedication. This year’s recipient is Victor Fouad Hanna.
“In recognition of exemplary service to the MTT Society in a spirit of selfless dedication and cooperation”
Distinguished Educator Award:
This award was inspired by the untimely death of Prof. F.J. Rosenbaum (1937 – 1992), an outstanding teacher of microwave science and a
dedicated AdCom Member and contributor. The award recognizes a distinguished educator in the field of microwave engineering and
science who best exemplifies the special human qualities of Fred Rosenbaum who considered teaching a high calling and demonstrated his
dedication to the Society through tireless service. This year’s recipient is Michael B. Steer.
“For outstanding contributions as a teacher, mentor, and role model for students in the microwave profession”
Microwave Application Award:
This award recognizes an individual or team for outstanding application of microwave theory and techniques. This year’s recipient is
William W. Oldfield.
“In recognition of outstanding application of microwave theory and techniques for microwave and mm-wave network analysis and the
early demonstration of Ka band coaxial connectors”
Pioneer Award:
Recognizes a major, lasting contribution in the field of interest of MTT-S, published in an archival journal, at least 20 years prior to the
year of the award, by an individual or team of up to three (3). This year’s recipients are Wolfgang Hoefer1 and Wojciech Gwark2.
“For pioneering contributions to time domain computational methods, in particular the transmission line matrix (TLM) and finite-difference
time domain (FDTD) methods”
1
2
“In recognition of pioneering contributions to the development and practical use of the finite-difference time domain (FDTD) method”
Outstanding Young Engineer Award:
This award recognizes MTT-S members, who have distinguished themselves through technical achievements, service to the MTT-S, or a
combination of both. Nominees must not have reached their 39th birthday and must be an MTT-S member at the time of nomination. This
year’s recipients are Pierre Blondy, Anthony Grbic, Ilona Rolfes, and Jeremy B. Muldavin.
“For outstanding early career contributions to the microwave profession”
Microwave Prize:
This award recognizes on an annual basis the most significant contribution by a published paper within the MTT-S’s field of interest.
Papers under consideration are those published during the calendar year preceding the Fall meeting of the AdCom. This years recipients
are Reinhard Feger, Christoph Wagner, Stefan Schuster, Stefan Scheiblhofer, Herbert Jaeger, and Andreas Stelzer for their paper,
entitled: “A 77-GHz FMCW MIMO Radar Based on an SiGe Single-Chip Transceiver”, published in the IEEE Transactions on Microwave Theory
and Techniques, Vol. 57, No. 5, May 2009, pages 1020-1035.
Microwave Magazine Best Paper:
The IEEE Microwave Magazine Best Paper Award recognizes the peer-reviewed technical feature from the prior calendar year that
is judged to provide a clear overview of the state of the art in a given area of the MTT Society’s field of interest. This year’s recipient
is Rizwan Bashirullah from the University of Florida for his paper entitled, “Wireless Implants,” published in IEEE Microwave
Magazine, December 2010 Supplement, pp. 514-523.
76
2
0
1
1
M I C R O W AV E
W E E K
IEEE FELLOWS
The member grade of Fellow is conferred in recognition of unusual and outstanding professional distinction. It is awarded at the initiative of
the IEEE Board of Directors following a rigorous nomination and evaluation process. Individuals receiving this distinction have demonstrated
extraordinary contributions to one or more fields of electrical engineering, or related sciences. The total number of Fellows selected in any one year
does not exceed one tenth of one percent of the total voting Institute membership. Fourteen MTT-S members who were evaluated by our Society
were elevated to the grade of Fellow, effective 1 January 2011. The new IEEE Fellows are:
Mohamed Abouzahra
for leadership in passive planar microwave components in planar microwave circuits
Goutam Chattopadhyay
for contributions to development of sources, sensors, and coupling structures at terahertz frequencies
Debabani Choudhury
for contributions to millimeter wave enabling technologies
Steve Cripps
for contributions to broadband and high-efficiency radio frequency power amplifiers
Andrea Ferrero
for contributions to microwave vector network analyzer calibration techniques and active load-pull
metrology
Niels Kuster
for contributions to the area of near-field exposures and dosimetry for radiofrequency fields in
biomedical research
Michel Ney
for contributions to modeling in electromagnetics
Natalia Nikolova-Zimmerman
for contributions to computer-aided analysis of microwave systems
Ioannis (John) Papapolymerou
for contributions to flexible, microwave and wireless components and systems
Raymond Pengelly
for contributions to flexible, microwave and wireless components and systems
Joseph Staudinger
for contributions to wireless communications systems
Ke-Li Wu
for contributions to non-planar microwave filters and embedded radio frequency passive circuits
Quan Xue
for contributions to microwave transmission line structures and integrated circuits
Herbert Zirath
for contributions to microwave and millimeter wave integrated circuits and device technology
MTT-S members who were evaluated by another IEEE society are shown below; the other society is shown in parentheses.
Eric Mokole (AES)
for leadership and contributions to ultra-wideband radar, waveform diversity, and transionospheric
space radar
Kwok Leung (AP)
for contributions to the development of the dielectric resonator antenna
Rodney Waterhouse (AP)
for contributions to microwave photonic systems and printed antennas
Mark Allen (ED)
for contributions to micro and nanofabrication technologies for microelectromechanical systems
Paolo Lugli (ED)
for contributions to nanostructured materials and devices
Dirk Klaassen (ED)
for contributions to semiconductor device modeling and simulation
Sergey Kharkovsky (IM)
for contributions to microwave techniques for the evaluation of materials and structures
Amr Adly (MAG)
for contributions to multi-component magnetic hysteresis models
Jose Brandao Faria (PE)
for contributions to electric power system components
Pierre Berini (Photonics)
for contributions to surface plasmon photonics
Ken O (SSC)
for contributions to ultra-high frequency complementary metal-oxide semiconductor circuits
77
2
R F I C
0
1
1
MESSAGE FROM THE GENERAL CHAIR
On behalf of the Steering Committee, I would like to welcome you to the 2011 IEEE Radio
Frequency Integrated Circuits (RFIC) Symposium, which will take place in Baltimore, Maryland
5-7 June, 2011. Our Symposium, held in conjunction with the IEEE MTT-S International Microwave
Symposium, opens Microwave Week 2011, the largest world-wide RF/Microwave meeting of the
year.
The 2011 RFIC Symposium continues to build upon its heritage as one of the foremost IEEE
technical conferences, increasing each year its impact and reputation of excellence. By bringing
focus to the technical accomplishments in RF circuits, systems, and devices, the RFIC Symposium
has become essential to both the academic and the industrial communities. This year’s exciting
technical program will showcase the latest innovations in RF integrated circuit design with
sessions that cover a broad spectrum of topics from cellular and wireless-connectivity system
ICs, broadband wireless communications, digitally enhanced RF circuits, silicon millimeter-wave
ICs, and RF device technology, modeling, and characterization. Applications highlighted by
the technology include mobile phones, wireless communication systems, broadband access
modems, radar systems and intelligent transport systems.
Running in conjunction with the International Microwave Symposium and Exhibit, the RFIC Symposium adds to the excitement of
the Microwave Week with three days focused exclusively on RFIC technology and innovation.
The 2011 RFIC Symposium will start on Sunday with half-day and full-day workshops covering a wide array of topics. Some of the
topics include: New Architectures for Digitized Receivers, RFIC for Bio-Medical Applications, Imaging at mm-wave and beyond,
Cognitive Radios and Spectrum Sensing, Advancements in Linear Power Amplifiers, Efficiency Enhancement Techniques for Power
Amplifiers and Transmitters, Advancements and Challenges Toward Radio-in-package and Radio-on-chip, Re-configurability
Requirements for Multi-standard, Low-Power Operation, and EMI compliant product design practices.
The conference also includes a Plenary Session, which is held on Sunday evening. Keynote addresses will be given by two renowned
leaders from within the wireless industry. Both of them will share their views and insights on the direction and challenges that the RF
IC design community is facing. The first speaker is the Chief Technical Officer and Co-Founder of Telegent Systems, Dr. Sam Sheng,
who will discuss “RF Coexistence - Challenges and Opportunities.“ The second speaker is Mr. Ron Ruebusch, Vice President of R&D
of Wireless Semiconductor Division of Avago Technologies. He will discuss “3G to 4G Transition – Challenges and Opportunities.”
In addition to the keynote addresses, the conference holds a student paper competition to encourage the publication of innovative
research from university students. Consequently, best student paper awards are presented in the Plenary Session to acknowledge
these contributions. The highly anticipated RFIC Reception will follow immediately after the Plenary Session, providing a relaxing
time for all to mingle with old friends and catch up on the latest news.
On Monday and Tuesday, the conference will feature lunch-time panel sessions that traditionally draw strong debate between
panel members as well as stimulating interaction between attendees and panelists. The Monday panel session is entitled “Software
Defined Radios – Facts and Fantasies” while the Tuesday panel session is entitled “What is the limit of multi-radio integration… or
rather, is it ‘disintegration’?”. Be sure to attend these lively and entertaining forums.
Technical papers will be presented during oral sessions throughout Monday and Tuesday. There will be a total of 130 papers
presented in 23 technical focused sessions. On Tuesday’s afternoon, our Interactive Forum session will feature poster sessions and
give our attendee a chance to speak directly with authors regarding their work.
The RFIC organization is thankful to the IMS2011 team’s support in making this conference successful. Most of all, we are particularly
thankful to all the technical contributors to the RFIC Symposium. We look forward to your participation. Please continue to make this
conference so vibrant within the wireless industry!
I look forward to seeing you in Baltimore!
David Ngo
General Chairman
2011 RFIC Symposium
RFMD, Chandler, Arizona, USA
78
2
0
1
1
R F I C
WELCOME TO THE 2011 RFIC SYMPOSIUM
On behalf of the Technical Program Committee, welcome to the 2011 IEEE Radio Frequency
Integrated Circuits (RFIC) Symposium. This is a leading-edge IEEE technical conference
dedicated to the advancement of integrated circuits and sub-systems for RF, wireless,
broadband communications, and other emerging applications. The RFIC Technical Program
Committee has worked diligently to select the best papers and assemble an excellent
technical program this year.
This year the RFIC Symposium begins on Sunday, 5 June with workshops at the advanced and
tutorial level addressing topics which challenge today’s RF IC designers in advanced silicon
technologies, design and integration of ICs for emerging wireless applications, and the latest
developments in circuit and system simulation. The Plenary Session will be held on Sunday
evening, during which time the General Chair will present best paper awards to the top three
student papers of this year’s conference.
Two leading experts from the RFIC community will share their views during the plenary
session. The first speaker is Dr. Samuel Sheng, CTO and founder of Telegent Systems. Dr.
Sheng will discuss “RF Coexistence - Challenges and Opportunities” in his presentation. The
second speaker is Ron Ruebusch, Vice President R&D for the Wireless Semiconductor Division
of Avago Technologies. Mr. Ruebusch will present the topic “3G to 4G Transition – Challenges
and Opportunities.”
The RFIC Reception will follow immediately after the plenary session, providing a relaxing time
for all to mingle with old friends and catch up on the latest news. In addition to the technical
sessions on Monday and Tuesday, the RFIC Symposium also features lunch panel sessions
and an interactive forum poster session. Monday’s panel session is titled “Software Defined
Radios – Facts and Fantasies”, and includes expert panelists from both industry and academia
to debate the current state of the art in radio design and how software defined radio fits in.
Following that, Tuesday’s panel session will provide an interesting and interactive discussion
on the topic “What is the limit of multi-radio integration... or is it rather disintegration?”
The interest in RFIC technology, and the venue offered by the Symposium to showcase the
latest advancements continues to make the RFIC Symposium the technical forum of choice
for both industry and academia to meet, discuss results, and exchange ideas. The 2011 RFIC
Technical Program Committee will continue to work tirelessly toward the goal of strengthening
the technical quality and scope of the program, while maintaining and improving the legacy
left by previous Symposia. Of course the success of our conference would simply not be
possible without the many contributions of all the authors who put enormous effort each year to contribute both outstanding
presentations and excellent manuscripts. On behalf of the entire Steering and Technical Program Committees, we thank
everyone for attending the conference.
We hope you enjoy the 2011 RFIC Symposium!
Albert Jerng and Chris Rudell
Technical Program Chairs
2011 IEEE RFIC Symposium
79
2
R F I C
0
1
1
RFIC PLENARY SESSION
Sunday, 5 June 2011, 1740, Baltimore Convention Center, Ballroom III-IV
RF Coexistence – Challenges and Opportunities
Samuel Sheng — Chief Technical Officer and Co-Founder, Telegent Systems
Abstract: The explosion in wireless technologies over the past ten years has been nothing short of staggering. WiFi,
Bluetooth, ZigBee, ultrawideband, GPS, wireless HDMI, 60 GHz, and 2/3/4G cellular, along with mainstays such as FM
radio and broadcast television, have become ubiquitous in consumer devices. The presence of multiple RF transceivers
within a single device is now the norm, not the exception. Over the next ten years, the key challenge will shift from
simply enabling wireless connectivity to enabling multiple wireless technologies to coexist at the same time in the
same device, along with surviving the electromagnetic interference issues caused by the increasing complexity of such
devices. While today’s devices already feature several transceivers operating simultaneously, over the next ten years
the problem will grow exponentially. It is projected that up to 10 RF transceivers may be active at any one time in a
cellular handset, covering a frequency range anywhere from 100 MHz up to 3 GHz. This swath of spectrum is also broad
enough that these radios will need to reject interference from a plethora of sources, such as microprocessors, switching
regulators, LCD backlights, and touch panels. Likewise, the continuing march toward further integration will result in
RF coexistence issues not only within devices, but within a single piece of silicon.
To effectively deal with this issue, many techniques will need to be developed and deployed to attack the problem in a disciplined fashion. Active interference
mitigation, currently an area of research, will become a mainstay in devices and silicon. Time synchronization between radios of different standards, will become
standard practice. Local oscillator and frequency planning between multiple radios will become a necessary design methodology. While currently uncommon, such
techniques represent a major aspect in the development of RF technologies over the next decade.
Bio: Dr. Sheng received his BS, MS, and PhD degrees in electrical engineering, and the BA degree in applied mathematics, all from the University of California, Berkeley.
Over the past 15 years, he has been involved with architecting and designing leading-edge CMOS RF and DSP chips for silicon tuners, ADSL transceivers, and DVD RF/
servo technologies. In 2004, he co-founded Telegent Systems with the vision to develop complex next-generation RF SOC’s such as single-chip televisions, and Dr.
Sheng currently serves as Telegent’s Chief Technical Officer.
Prior to co-founding Telegent Systems, Dr. Sheng was at LSI Logic and responsible for architecting and implementing a series of silicon RF tuners for video-band
applications, targeted for cable modems, analog/digital video over cable, and voice over IP as well as highly integrated DVD front-end technologies. Before LSI Logic,
Dr. Sheng co-led the ADSL front-end (AFE) development effort at Datapath Systems, Inc. Dr. Sheng was awarded Inventor of the Year at LSI Logic in 2002 and 2003 and
was named the 2002 Distinguished Engineer at LSI Logic. He has authored numerous papers and publications on various topics such as low-power CMOS RF wireless
systems and low-power CMOS digital design. He has been awarded twelve patents in the areas of RF tuner and DSL modem design.
3G to 4G Transition – Challenges and Opportunities
Ron Ruebusch — Vice President of R&D of the Wireless Semiconductor Division, Avago Technologies
Abstract: The cell phone industry is starting the transition from 3G to 4G networks. In this process, the number of
bands that 4G radios have to accommodate is growing rapidly. As the suppliers of radio devices to the cell phone
industry, the RFIC world is being challenged to provide a proliferation of new designs in a timely fashion. Without
unlimited budgets, managers are being compelled to become more efficient in their product development. At the
same time, as the spectrum gets more crowded emerging co-existence issues are becoming apparent which further
complicate the RF front end. Lastly, our customers want increased functionality in ever smaller form factors which also
raises thermal challenges. How are the successful players in this business going to successfully navigate this transition,
what capabilities are required, and where are the opportunities for faster than market growth?
Bio: Mr. Ruebusch is the Vice President of R&D of the Wireless Semiconductor Division of Avago Technologies where he
has been working for the last seven years. In that capacity he manages all of the RFIC product development in Avago
with a team of several hundred engineers in four major locations around the world. He has over 35 years experience in
the communications industry, 30 of which are in semiconductors and the last 20 of which have been in the RF segment.
His background includes a broad range of executive responsibilities from division general management, marketing,
sales, and R&D.
80
2
0
1
1
R F I C
RFIC PANEL SESSIONS
Monday
1200 – 1320
Rooms: 307-308
Panel Session: Software-Defined Radios - Facts and Fantasies
Chairs/ Moderators:
1. Lawrence Kushner, Intersil Corp.
2. Timothy Hancock, MIT Lincoln Laboratory
Panelists:
1. Geoff Dawe, BWS Consulting
2. James Kimery, National Instruments
3. Larry Larson, UCSD
4. Kamal Sahota, Qualcomm
5. Bruce Fette, DARPA
6. Vanu Bose, Vanu, Inc.
Sponsor:RFIC
Abstract: The concept of Software Defined Radios (SDR) originated decades ago
in the defense sector, culminating in the development of a number of successful
SDR demonstrations and deployments. The flexibility of SDR, being able to serve
a wide variety of changing radio protocols offers the military interoperability and
maintainability not achievable with conventional radios.
Research in Software Defined Radios has accelerated during the past two decades, with
work in universities, industry, and government advancing the concepts. Simultaneously,
the relentless march of Moore’s Law has made digital processing almost free, shifting
more and more of the radio processing into the digital domain. The “Holy Grail” of SDR,
an antenna followed by an Analog-to-Digital Converter (ADC) and high-performance
Digital Signal Processor (DSP) now seems within reach. Similarly, one can conceive of
the transmit path consisting of a DSP followed by a DAC and a power amplifier. Do these
architectures make sense? Is SDR the best solution in terms of size, weight, power, cost,
and cost-of-ownership or is reconfigurable conventional RF hardware with a standard
software interface a better solution?
Our panel of experts will discuss and debate the current state-of-the-art of radio
design, and how SDR fits in. We will discuss what is a Software-Defined Radio, what
applications are best suited for SDR, and where future SDR research is heading. The
audience will be encouraged to participate as well, submitting questions for the panel,
engaging in the discussion, and voting, in real-time, “fact” or “fantasy” after each topic
of debate using an rf audience response system.
Tuesday
1200 – 1320
Rooms: 307-308
Panel Session: What Is the Limit of Multi-Radio Integration ... Or Rather, Is It
‘Disintegration’?
Panel Organizer:
R. Bogdan Staszewski, Delft University of Technology
Moderator:
Oren Eliezer, Xtendwave
Sponsor:RFIC/IMS
Panelists:
1. R. Bogdan Staszewski, Associate Professor, Delft University of Technology
2. Andre Hanke, Senior Principal RF System Engineer, Intel
3. Walid Ali-Ahmad, Technical Director, Mediatek
4. Keith Carter, WLAN Senior Manager, Broadcom
5. Fred Schindler, Director, RFMD
6. Thomas Kazior, Principal Engineering Fellow, Raytheon
Abstract: Only a decade ago, single-chip RF-SoC integration was universally thought to be
impossible or at least uneconomical. Nowadays, the pioneering days of single-chip radios are
largely over and the innovation efforts are applied to integrating multiple radio cores on the
same silicon die. This effort has already resulted in commercial offerings of multi-core wireless
connectivity and cellular radios from a few companies, but has revealed some interesting RF
co-existence issues: Integrating additional radio cores appears to exponentially increase the
overall design and productization complexity, more so than in the case of isolated radios.
Why is that and what can be done to address that? What is the ultimate limit of multi-core
radio integration?
As new wireless standards continue to emerge, it becomes necessary to support additional
frequency bands and wider modulation bandwidths, while maintaining backwards
compatibility with the existing standards. This puts enormous pressure on the complexity
and quality of RF front-end components (PA’s, T/R switches, band-pass filters and duplexers)
to the point that they predominate in both cost and occupied space, which might suggest the
reversal of the integration trend. Can the ever multiplying antenna-interfacing components
still be integrated? Do they follow a different integration path from that of RF-SoCs? Does the
optimal system partitioning suggest the RF-SoC ‘disintegration’?
The panel of distinguished experts, representing three camps (RF-SoC, RF module and
compound semiconductor integration), will deliberate this interesting topic with the
audience’s participation.
81
2
R F I C
MONDAY
TECHNICAL SESSIONS
0
1
1
0800 – 1140
RMO1A: Wireless Data Transceiver Architectures and
Techniques
Room: 337 - 338
Chair: Julian Tham, Broadcom
Co-Chair: Li Lin, Marvell
RMO1B: Digital to RF and Sigma-Delta Transmit
Modulators
Room: 339 - 340
Chair: Noriharu Suematsu, Tohoku University
Co-Chair: Gernot Hueber, NXP Semiconductors
RMO1C: Millimeter-wave Communication Systems
Room: 341 - 342
Chair: Luciano Boglione , SSSC/AFRL
Co-Chair: Georg Boeck, Berlin Institute of Technology
RMO1D: Frequency Synthesis: 60GHz and Beyond
Room: 343 - 344
Chair: Stefano Pellerano, Intel Corp.
Co-Chair: Jaber Khoja, Zoran
0800 – 0820
RMO1A-1: A 2.4GHz 2Mb/s Digital PLL-based Transmitter for 802.15.4 in 130nm CMOS
M. Ghahramani1, M. P. Flynn1, M. A. Ferriss2, 1University
of Michigan, Ann Arbor, United States, 2IBM T. J. Watson
Research Center, Yorktown Heights, United States
RMO1B-1: All-Digital Transmitter based on ADPLL
and Phase Synchronized Delta Sigma Modulator
J. Chen, L. Rong, F. Jonsson, L. Zheng, Royal Institute of
Technology (KTH), Stockholm, Sweden
RMO1C-1: Indoor and Outdoor Millimeter Wave
Systems and RF/BB SoCs (Invited)
A. Matsuzawa, K. Okada, Tokyo Institute of Technology,
Meguro-ku, Japan
RMO1D-1: A 60GHz Wideband Injection-Locked
Frequency Divider with Adaptive-Phase-Enhancing
Technique
H. Wang1, L. Zhang1, D. Yang1, D. Zeng2, L. Zhang1, Y.
Wang1, Z. Yu1, 1Tsinghua University, Beijing, China, 2Chinese
Academy of Sciences, Suzhou, China
0820 – 0840
RMO1A-2: Full-Duplex Crystal-less CMOS Transceiver
with an On-chip Antenna for Wireless Communication in Engine Controller Board of Hybrid Electric
Vehicles
K. Oh1, S. Sankaran1, H. Wu1, J. Lin1, M. Hwang1, K. O2, 1Silicon Microwave Integrated Circuits Research Group (SIMICS),
Gainesville, United States, 2Texas Analog Center of Excellence,
Richardson, United States
RMO1B-2: A 0.7-3GHz Envelope Delta-sigma
Modulator Using Phase Modulated Carrier Clock for
Multi-mode/band Switching Amplifiers
S. Hori, K. Kunihiro, K. Takahashi, M. Fukaishi, NEC Corporation, Kawasaki, Japan
RMO1C-2: A 60GHz RF IQ DAC Transceiver with on-Die
at-Speed Loopback
E. Laskin, A. Tomkins, A. Balteanu, I. Sarkas, S. P. Voinigescu, University of Toronto, Toronto, Canada
RMO1D-2: A 100GHz Phase-Locked Loop in 0.13µm
SiGe BiCMOS process
S. Kang, J. Chien, A. M. Niknejad, University of California at
Berkeley, Berkeley, United States
0840 – 0900
RMO1A-3: A Fully Integrated 802.11n Radio with
24GHz Harmonic LO Generation for Low-cost, Low
Power, Multi-standard Systems
R. Sadhwani1, O. Degani2, A. Ben-Bassat2, R. Banin2, H.
Shang1, B. Jann1, 1Intel Corporation, Hillsboro, United States,
2
Intel Corporation, Haifa, Israel
RMO1B-3: A 12-bit Resolution, 200-MSample/second
Phase Modulator for a 2.5GHz Carrier with Discrete
Carrier Pre-Rotation in 65nm CMOS
T. W. Barton, S. Chung, P. A. Godoy, J. L. Dawson, Massachusetts Institute of Technology, Cambridge, United States
RMO1C-3: A 60GHz Digitally Controlled RF
Beamforming Array in 65nm CMOS with Off-Chip
Antennas
S. Lin1, K. Ng2, H. Wong2, K. Luk2, S. Wong1, A. Poon1,
1
Stanford University, Stanford, United States, 2City University
of Hong Kong, Hong Kong, China
RMO1D-3: W-Band Frequency Synthesis Using a KaBand PLL and Two Different Frequency Triplers
Z. Chen, C. Wang, P. Heydari, University of California, Irvine,
Irvine, United States
0900 – 0920
RMO1A-4: Digital RF Receiver Front-end With
Wideband Operation Capability for m-WiMAX
H. Seo1, I. Choi1, C. Park2, J. Yoon2, B. Kim1, 1Pohang University of Science and Technology, Pohang, Republic of Korea,
2
Samsung Electronics, Suwon, Republic of Korea
RMO1B-4: A Direct Sampling Mixer with Complex
Coefficient Transfer Function in 65nm CMOS
Y. Morishita1, T. Morita1, N. Saito1, K. Araki2, 1Panasonic
Corporation, Yokohama, Japan, 2Tokyo Institute of Technology, Tokyo, Japan
RMO1C-4: A 60dB Gain and 4dB Noise Figure CMOS
V-Band Receiver Based on Two-Dimensional Passive
Gm-Enhancement
N. Wang1, H. Wu1, J. Y. Liu1, J. Lu1, H. Hsieh2, P. Wu2, C.
Jou2, M. F. Chang1, 1University of California Los Angeles, Los
Angeles, United States, 2Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
RMO1D-4: 60GHz CMOS Divide by 5 Injection-Locked
Frequency Divider with an Open-Stub-Loaded
Floating-Source Injector
M. Li, H. Kuo, T. Huang, H. Chuang, National Cheng Kung
University, Tainan, Taiwan
0920 – 0940
RMO1A-5: A QPLL-Timed Direct-RF Sampling
Band-Pass ΣΔ ADC with 1.2GHz Tuning Range in
0.13μm CMOS
S. Gupta1, D. Gangopadhyay1, H. Lakdawala2, J. C. Rudell1,
D. J. Allstot1, 1University of Washington, Seattle, United
States, 2Intel Corporation, Hillsboro, United States
RMO1B-5: Multiband Mixed-Signal Vector Modulator IC
U. Mayer, M. Wickert, R. Eickhoff, F. Ellinger, Technische
Universitaet Dresden, Dresden, Germany
RMO1C-5: A 220GHz Subharmonic Receiver Front
End in a SiGe HBT Technology
E. Ojefors1, B. Heinemann2, U. R. Pfeiffer1, 1University of
Wuppertal, Wuppertal, Germany, 2IHP GmbH, Frankfurt
(Oder), Germany
RMO2A: WiMedia UWB and IR-UWB Receivers and
Transmitters
Room: 337 - 338
Chair: Domine Leenaerts, NXP Semiconductors
Co-Chair: Yann Deval , IMS Lab
RMO2B: Cellular RF
Room: 339 - 340
Chair: Didier Belot, ST-Microelectronics
Co-Chair: Marc Tiebout, Infineon Technologies
RMO2C: Advanced Architecture PA’s
Room: 341 - 342
Chair: Eddie Spears, RFMD
Co-Chair: Jeffrey Walling, Rutgers University
RMO2D: Emerging RFIC Device Technologies
Room: 343 - 344
Chair: Aditya Gupta, Northrop Grumman
Co-Chair: Eli Reese, Triquint
1000 – 1020
RMO2A-1:
A 65nm CMOS Low-Noise Three Band Group WiMedia
UWB Receiver
W. Ko, H. Shin, S. Ko, J. Yim, B. Kang, T. Kim, I. Ryu, S.
Yang, J. Bae, H. Park, Samsung Electronics Co., Ltd, Yongin,
Republic of Korea
RMO2B-1:
Single-Chip Multi-band SAW-less LTE WCDMA and
EGPRS CMOS Receiver with Diversity
H. Xie, P. Rakers, R. Fernandez, T. Mccain, J. Xiang, J. Parkes,
J. Riches, R. Verellen, M. Rahman, E. Shimoni, V. Bhan, D.
B. Schwartz, Fujitsu Semiconductor Wireless Products Inc.,
Tempe, United States
RMO2C-1:
A W-band Current Combined Power Amplifier with
14.8dBm Psat and 9.4% Maximum PAE in 65nm
CMOS
Z. Xu1, Q. J. Gu2, M. F. Chang3, 1HRL Laboratories, Malibu,
United States, 2University of Florida, Gainesville, United
States, 3University of California, Los Angeles, Los Angeles,
United States
RMO2D-1:
Non-invasive Monitoring of CMOS Power Amplifiers
Operating at RF and mmW Frequencies using an
On-chip Thermal Sensor
J. Gonzalez1, B. Martineau2, D. Mateo1, J. Altet1, 1Universitat
Politècnica de Catalunya, Barcelona, Spain, 2ST Microelectronics, Grenoble, France
1020 – 1040
RMO2A-2:
A WiMedia UWB Transmitter up to 9GHz in 65nm
CMOS and Wafer-level Fabricated Package
J. Yim, B. Kang, T. Kim, W. Ko, H. Shin, S. Ko, I. Ryu, S. Yang,
J. Bae, H. Park, Samsung electronics, Yongin, Republic of
Korea
RMO2B-2:
An L-Band Receiver-Frontend-Architecture using
Adaptive Q-Enhancement Techniques in 65nm CMOS
as Enabler for Single-SAW GPS Receivers
C. Schultz1, H. Doppke1, M. Hammes1, R. Kreienkamp1, L.
Lemke1, S. van Waasen2, 1Infineon Technologies AG, Duisburg, Germany, 2Forschungszentrum Jülich, Jülich, Germany
RMO2C-2:
X-to-K band Broadband Watt-level Power Amplifier
Using Stacked-FET Unit Cells
Y. Park, Y. Kim, W. Choi, J. Woo, Y. Kwon, Seoul National
University, Seoul, Republic of Korea
RMO2D-2:
High-Power Digital Controlled Artificial Dielectric
GaN Reconfigurable Transmission Lines for Digitally
Assisted RFICs
M. K. Watanabe, T. R. LaRocca, Northrop Grumman
Aerospace Systems, Redondo Beach, United States
1040 – 1100
RMO2A-3:
Low Power, Fully Differential SiGe IR-UWB Transmitter and Correlation Receiver ICs
D. Lin, A. Trasser, H. Schumacher, Ulm University, Ulm,
Germany
RMO2B-3:
Reconfigurable Wide-band Receiver with Positive
Feed-back Translational Loop
C. Izquierdo1, A. Kaiser2, F. Montaudon1, P. Cathelin1, 1ST
Ericsson, Crolles, France, 2IEMN, Lille, France
RMO2C-3:
Wideband High Efficiency Envelope Tracking Integrated Circuit for Micro-Base Station Power Amplifiers
M. Kwak1, D. F. Kimball1, C. D. Presti2, A. Scuderi3, C. A.
Santagati3, J. J. Yan1, P. M. Asbeck1, L. E. Larson1, 1University of
California at San Diego, La Jolla, United States, 2Qualcomm Inc.,
San Diego, United States, 3STMicroelectronics, Catania, Italy
RMO2D-3:
A New Method to Achieve RF Linearity in SOI
Nanowire MOSFETs
A. Razavieh1, N. Singh 2, A. Paul1, G. Klimeck1, D. B. Janes1,
J. Appenzeller1, 1Purdue University, West Lafayette, United
States, 2Agency For Science, Technology And Research
(A*STAR), Singapore, Singapore
1100 – 1120
RMO2A-4:
A 520pJ/pulse IR-UWB Radar for Short Range Object
Detection
Y. Shim, S. Yuwono, S. Kim, J. Kim, S. Han, S. Lee, KAIST,
Daejeon, Republic of Korea
RMO2B-4:
A Digital Calibration Enhanced GSM/GPRS Transmitter
P. Wang, C. Wang, W. Lee, T. Yu, Mediatek, Hsin-Chu, Taiwan
RMO2C-4:
A Power-Combined Switched-Capacitor Power
Amplifier in 90nm CMOS
S. Yoo1, J. S. Walling2, E. Woo1, D. J. Allstot1, 1University of
Washington, Seattle, United States, 2Rutgers University, New
Brunswick, United States
RMO2D-4:
Nano Crossbar Electrostatic Discharge Protection
for RF ICs
J. Liu1, L. Zhang2, X. Wang1, L. Lin1, Z. Shi1, A. Wang1, R.
Huang2, Y. Cheng2, 1University of California, Riverside,
Riverside, United States, 2Peking University, Beijing, China
1120 – 1140
RMO2A-5:
A Self-Synchronized, Crystal-Less, 86μW, Dual-Band
Impulse Radio for Ad-Hoc Wireless Networks
X. Y. Wang, R. K. Dokania, Y. Zhuang, C. I. Dorta-Quinones ,
W. Godycki, M. Lyons, A. B. Apsel, Cornell University, Ithaca,
United States
RMO2B-5:
High Efficiency Envelope Tracking Power Amplifier
with Very Low Quiescent Power for 20MHz LTE
M. Hassan3, M. Kwak3, V. W. Leung2, C. Hsia3, J. J. Yan3,
D. F. Kimball3, L. E. Larson3, P. M. Asbeck3, 1University of
California at San Diego, La Jolla, United States, 2Qualcomm
Inc., San Diego, United States, 3University of California at San
Diego, La Jolla, United States
RMO2C-5:
A 1.8GHz Wide-Band Stacked-Cascode CMOS
Power Amplifier for WCDMA Applications in 65nm
Standard CMOS
S. Leuschner1, J. Mueller2, H. Klar1, 1Technical University
of Berlin, Berlin, Germany, 2Infineon Technologies AG,
Neubiberg, Germany
RMO2D-5:
An All-Graphene Radio Frequency Low Noise
Amplifier
S. Das1, J. Appenzeller2, 1Purdue University, West Lafayette,
United States, 2Purdue University, West Lafayette, United
States
82
2
0
1
1
MONDAY
R F I C
TECHNICAL SESSIONS
1420 – 1720
RMO3A-2:
A 1900MHz-Band GSM-Based Clock-Harvesting
Receiver with -87dBm Sensitivity
J. K. Brown, D. D. Wentzloff, University of Michigan, Ann
Arbor, United States
RMO3B-2:
A 1.5V, 140μA CMOS Ultra-Low Power CommonGate LNA
C. Jeong1, W. Qu2, Y. Sun1, D. Yoon1, S. Han1, S. Lee1, 1Korea
Advanced Institute of Science and Technology (KAIST),
Daejeon, Republic of Korea, 2Silicon Works Company Ltd,
Daejeon, Republic of Korea
RMO3C-2:
Millimeter-Wave Modeling of Isolated MOS substrate Network through Gate-Bulk Measurements
B. Dormieu2, C. Charbuillet1, F. Danneville2, N. Kauffmann1,
P. Scheer1, 1STMicroelectronics, Crolles, France, 2IEMN,
Villeuneuve d’Ascq, France
RMO3D-2:
118GHz fundamental VCO with 7.8% tuning range
in 65nm CMOS
W. Volkaerts, M. Steyaert, P. Reynaert, Katholieke Universiteit Leuven, Leuven, Belgium
RMO3A-3:
A CMOS Spectrum Sensor Using Injection Locking
of Two Voltage-Controlled Oscillators for Cognitive
Radio System
F. Wang1, C. Chen1, J. Tsai1, T. Horng1, K. Peng2, J. Je-Kuan3,
J. Li3, C. Chen3, 1Department of Electrical Engineering,
Kaohsiung, Taiwan, 2Department of Computer and Communication Engineering, Kaohsiung, Taiwan, 3Info. and Comm.
Research Lab., Hsinchu, United States
RMO3B-3:
Effects of the Nonlinearity of the Common-Gate
Stage on the Linearity of CMOS Cascode Low Noise
Amplifier
C. Cui, T. Kim, S. Kim, B. Kim, Sungkyunkwan University,
Suwon, Republic of Korea
RMO3C-3:
A Unified Model for On-chip CPWs with Various
Types of Ground Shields
H. Wang1, D. Zeng2, D. Yang1, L. Zhang1, L. Zhang1, H.
Qian1, Y. Wang1, Z. Yu1, 1Tsinghua University, Beijing, China,
2
Chinese Academy of Sciences, Suzhou, China
RMO3D-3:
Fully Monolithic 18.7GHz 16Ps GaAs Mode-Locked
Oscillators
O. Yildirim, D. Ha, D. Ham, Harvard University, Cambridge,
United States
RMO3A-4:
A Wirelessly-Powered Passive RF CMOS Transponder
with Dynamic Energy Storage and Sensitivity
Enhancement
Z. Safarian, H. Hashemi, University of Southern California,
Los Angeles, United States
RMO3B-4:
A 1.3mW 20dB Gain Ultra Low Power Inductorless
LNA with 4dB Noise Figure for 2.45GHz ISM Band
F. Belmas2, F. Hameau2, J. Fournier1, 1IMEP, Grenoble, France,
2
CEA, Grenoble, France
RMO4A: RF characterization and modeling of
advanced CMOS
Room: 337 - 338
Chair: Bumman Kim, Pohang University of Science and
Technology
Co-Chair: Tzung-Yin Lee, Skyworks Solutions
RMO4B: Integrated Front-End RFIC - LNA, Mixers,
Filters
Room: 339 - 340
Chair: Frank Henkel , IMST GmbH
Co-Chair: Reynold Kagiwada, Northrop Grumman
RMO4C: High performance CMOS Power Amplifiers
Room: 341 - 342
Chair: Jyoti P. Mondal, Northrop Grumman
Co-Chair: Leon van den Oever, Radio Semiconductor Corp.
RMO4D: Frequency Synthesis: Mixed Signal
Techniques
Room: 343 - 344
Chair: Bertan Bakkaloglu, Arizona State University
Co-Chair: Chun-Ming Hsu, IBM
RMO4A-1:
Aging of 40nm MOSFET RF Parameters under RF
conditions From Characterization to Compact
Modeling for RF Design
L. Negre1, D. Roy1, F. Cacho1, P. Scheer1, S. Boret1, A. Zaka1,
D. Gloria1, G. Ghibaudo2, 1STMicroelectronics, Crolles, France,
2
Imep Lahc, Grenoble, France
RMO4B-1:
A 280MHz CMOS Intra-symbol Intermittent RF
Front End for Adaptive Power Reduction of Wireless
Receivers
M. Nakamura, M. Ugajin, M. Harada, Nippon Telegraph and
Telephone Corporation, Atsugi-shi, Japan
RMO4C-1:
A 550-1050MHz +30dBm Class-E Power Amplifier
in 65nm CMOS
R. Zhang1, M. Acar2, M. P. van der Heijden2, M. Apostolidou2, L. C. de Vreede1, D. M. Leenaerts2, 1Delft University
of Technology, Delft, Netherlands, 2NXP Semiconductors,
Eindhoven, Netherlands
RMO4D-1:
A Phase-Adjustable Delay-Locked Loop Utilizing
Embedded Phase Interpolation
S. W. Callender, A. M. Niknejad, Berkeley Wireless Research
Center, University of California at Berkeley, Berkeley, United
States
RMO4A-2:
Small signal and HF Noise performance of 45nm
CMOS technology in mmW range
L. Poulain2, N. Waldhoff1, D. Gloria2, F. Danneville1, G.
Dambrine1, 1Institut d’Electronique de Microélectronique et
de Nanotechnologie, Villeneuve d’Ascq, France, 2STMicroelectronics, Crolles, France
RMO4B-2:
A 7dB NF 60GHz-Band Millimeter-Wave Transconductance Mixer
Y. Jin, J. R. Long, M. Spirito, Delft University of Technology,
Delft, Netherlands
RMO4C-2:
Digital Polar Transmitter Using a Watt-Class
Current-Mode Class-D CMOS Power Amplifier
T. Nakatani2, J. Rode3, D. F. Kimball4, L. E. Larson1, P. M.
Asbeck1, 1University of California, San Diego, La Jolla, United
States, 2Panasonic Corporation of North America, Cupertino,
United States, 3ZIVA Corporation, San Diego, United States,
4
MaXentric Technologies, LLC, San Diego, United States
RMO4D-2:
Dynamic Bandwidth Adjustment of an RF All-Digital
PLL
R. B. Staszewski1, I. Bashir2, 1Technische Universiteit
Delft, Delft, Netherlands, 2University of Texas at Dallas,
Richardson, United States
RMO4A-3:
Analytical Model for RF Power Performance of
Deeply Scaled CMOS Devices
U. Gogineni1, J. del Alamo1, A. Valdes-Garcia2, 1Massachusetts Institute of Technology, Cambridge, United States, 2IBM
T.J Watson Research Center, Yorktown Heights, United States
RMO4B-3:
A 100-3000MHz, Up/Down-Convert, +29dBm IIP3,
+13dB NF, Active Mixer with Integrated FractionalN PLL and VCO
I. Fujimori-Chen1, B. Walker2, R. Broughton-Blanchard2,
E. Balboni2, 1Analog Devices, Inc., Somerset, United States,
2
Analog Devices, Inc., Wilmington, United States
RMO4C-3:
A Fully-Integrated K-band CMOS Power Amplifier
with Psat of 23.8dBm, PAE of 25.1 %
Y. Kawano, T. Suzuki, A. Mineyama, M. Sato, T. Hirose, K.
Joshin, Fujitsu Ltd., Atsugi, Japan
RMO4D-3:
Process compensated low power LO divider chain
with asynchronous odd integer 50% duty cycle
CML dividers
E. P. Coleman, S. Chakraborty, W. Budziak, T. R. Blank, P. T.
Roine, Texas Instruments Incorporated, Dallas, United States
RMO4A-4:
CMOS Digital Tunable Capacitance with tuning
ratio up to 13 and 10dBm linearity for RF and Millimeterwave Design
R. Debroucke1, A. Pottrain1, D. Titz3, F. Gianesello1, D. Gloria1, C.
Luxey3, C. Gaquiere2, 1STMicroelectronics, Crolles, France, 2IEMN,
Villeneuve d’ascq, France, 3LEAT, Vallbone, France
RMO4B-4:
A 1 to 5GHz Adjustable Active Polyphase Filter for LO
Quadrature Generation
M. Kaltiokallio, J. Ryynänen, Aalto University, Espoo,
Finland
RMO4C-4:
A Fully-Integrated Efficient CMOS Inverse Class-D
Power Amplifier for Digital Polar Transmitters
D. Chowdhury, S. V. Thyagarajan, L. Ye, E. Alon, A. M.
Niknejad, University of California at Berkeley, Berkeley,
United States
RMO4D-4:
A CMOS Auto-Calibrated I/Q Generator for Sub-GHz
Ultra Low-Power Transceivers
C. M. Ippolito, A. Italia, G. Palmisano, Università di Catania,
Catania, Italy
RMO3D-4:
A 77GHz CMOS VCO with 11.3GHz Tuning Range,
6dBm Output Power, and Competitive Phase Noise
in 65nm Bulk CMOS
V. P. Trivedi, K. To, W. Huang, Freescale Semiconductor, Inc.,
Tempe, United States
1700 – 1720
RMO3D-1:
A V-band Voltage Controlled Oscillator with Greater
than 18GHz of Continuous Tuning range based on
Orthogonal E mode and H mode control
A. Jooyaie, F. Chang, University of California at Los Angeles,
Los Angeles, United States
1640 – 1700
RMO3C-1:
A Layout Technique for Millimeter-Wave PA
Transistors
C. Liang, B. Razavi, UCLA, Los Angeles, United States
1620 – 1640
RMO3B-1:
A 60µW LNA for 2.4GHz Wireless Sensors Network
Applications
T. Taris, J. Begueret, Y. Deval, University of Bordeaux,
Talence, France
1600 – 1620
RMO3A-1:
A 30MHz-2.4GHz CMOS Receiver with Integrated RF
Filter and Dynamic-range-scalable Energy Detector
for Cognitive Radio
M. Kitsunezuka1, H. Kodama1, N. Oshima1, K. Kunihiro1, T.
Maeda2, M. Fukaishi1, 1NEC Corporation, Kawasaki, Japan,
2
Renesas Electronics Corporation, Kawasaki, Japan
1520 – 1540
RMO3D: Millimeter Wave VCOs
Room: 343 - 344
Chair: Timothy Hancock, MIT Lincoln Laboratory
Co-Chair: Fred Lee, SiTime
1500 – 1520
RMO3C: Millimeter-wave modeling of parasitics
and passives
Room: 341 - 342
Chair: Kevin McCarthy, University College Cork
Co-Chair: Francis Rotella, Peregrine Semiconductor
1440 – 1500
RMO3B: Low Power LNA Design Techniques
Room: 339 - 340
Chair: Danilo Manstretta, University of Pavia
Co-Chair: Jean-Baptiste Begueret, University of Bordeaux
1420 – 1440
RMO3A: Low Power Wireless Sensor Techniques
Room: 337 - 338
Chair: Glenn Chang, MaxLinear
Co-Chair: Ali Afsahi, Broadcom
83
2
R F I C
TUESDAY
TECHNICAL SESSIONS
0
1
1
0800 – 1140
RTU1A: Devices and circuits for silicon based mmWave ICs
Room: 337 - 338
Chair: Oren Eliezer, Xtendwave
Co-Chair: Li-Wu Yang, Shanghai Jiao-Tong University
RTU1B: Broadband and Low-Noise Amplifiers
Room: 339 - 340
Chair: Madhukar Reddy, MaxLinear
Co-Chair: Eric Klumperink, University of Twente
RTU1C: Millimeter-wave Imagers
Room: 341 - 342
Chair: Paul Blount, Custom MMIC Design Services Inc.
Co-Chair: Brian Floyd, North Carolina State University
0800 – 0820
RTU1A-1:
60GHz Antenna Integrated on High Resistivity Silicon Technologies
Targeting WHDMI Applications
D. Titz1, R. Pilard2, F. Ferrero1, F. Gianesello2, D. Gloria2, C. Luxey1, P. Brachat3,
G. Jacquemod1, 1Université de Nice-Sophia-Antipolis, Valbonne, France, 2ST
Microelectronics, Crolles, France, 3Orange Labs, La Turbie, France
RTU1B-1:
A CMOS Distributed Amplifier with Active Input Balun Using GBW and
Linearity Enhancing Techniques
A. Jahanian, P. Heydari, University of California, Irvine, Irvine, United States
RTU1C-1:
A Fully Integrated 96GHz 2x2 Focal-Plane Array with On-Chip Antenna
C. Wang, Z. Chen, H. Yao, P. Heydari, University of California, Irvine, Irvine, United
States
0820 – 0840
RTU1A-2:
A High-Isolation 60GHz CMOS Transmit/Receive Switch
C. Kuo1, H. Kuo1, H. Chuang1, C. Chen2, T. Huang1, 1National Cheng Kung University, Tainan, Taiwan, 2National University of Tainan, Tainan, Taiwan
RTU1B-2:
60GHz High-Gain Low-Noise Amplifiers with a Common-Gate Inductive
Feedback in 65nm CMOS
H. H. Hsieh1, P. Y. Wu1, C. P. Jou1, F. L. Hsueh1, G. W. Huang2, 1Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan, 2National Nano Device Laboratories,
Hsinchu, Taiwan
RTU1C-2:
A CMOS Fully Differential W-Band Passive Imager with 2K NETD
Q. J. Gu1, Z. Xu2, H. Jian3, M. F. Chang3, 1University of Florida, Gainesville, United
States, 2HRL Laboratories, Malibu, United States, 3University of California, Los
Angeles, Los Angeles, United States
0840 – 0900
RTU1A-3:
Integration of Antenna-on-Chip and Signal Detectors for Applications
from RF to THz Frequency Range in SiGe Technology
S. Wane1, R. van Heijster2, S. Bardy1, 1NXP-Semiconductors, Caen, France, 2TNO
Defence, Security and Safety, The Hague , Netherlands
RTU1B-3:
1.9-2.6GHz Tuning Range Variable Gain Low-Noise Amplifier with
Digital Assisted Automatic Tuning Loop
X. Wang, C. Dong, S. Cao, N. Yan, X. Tan, H. Min, Fudan University, ShangHai,
China
RTU1C-3:
Lens-Integrated THz Imaging Arrays in 65nm CMOS Technologies
H. Sherry2, R. Al Hadi1, J. Grzyb1, E. Oejefors1, A. Cathelin2, A. Kaiser3, U. R.
Pfeiffer1, 1University of Wuppertal, Wuppertal, Germany, 2STMicroelectronics,
Crolles, France, 3ISEN, Lille, France
0900 – 0920
RTU1A-4:
On-Chip Vertically Coiled Solenoid Inductors and Transformers for RF
SoC Using 90nm CMOS Interconnect Technology
H. Namba, T. Hashimoto, M. Furumiya, Renesas Electronics Corporation,
Kawasaki, Japan
RTU1B-4:
Wideband Common-Gate Low-Noise Amplifier with Dual-Feedback for
Simultaneous Input and Noise Matching
R. Ye1, T. Horng1, J. Wu2, 1National Sun Yat-Sen University, Kaohsiung, Taiwan,
2
National Kaohsiung Normal University, Kaohsiung, Taiwan
RTU1C-4:
Low Power Wideband Receiver and Transmitter Chipset for mm-Wave
Imaging in SiGe Bipolar Technology
M. Tiebout1, H. Wohlmuth2, H. Knapp2, R. Salerno1, M. Druml1, J. Kaeferboeck1,
M. Rest2, J. Wuertele2, S. S. Ahmed3, A. Schiessl3, R. Juenemann3, 1Infineon
Technologies Austria, Villach, Austria, 2Infineon Technologies, Munich, Germany,
3
Rohde & Schwarz, Munich, Germany
0920 – 0940
RTU1C-5:
A 90GHz Pulsed-Transmitter with Near-Field/Far-Field Energy Cancellation using a Dual-Loop Antenna
A. Arbabian, S. Kang, S. Callender, B. Afshar, J. Chien, A. M. Niknejad, UC
Berkeley, Berkeley, United States
RTU2A: Wideband Receivers and Building Blocks
Room: 337 - 338
Chair: Eric Fogleman, MaxLinear
Co-Chair: Pierre Busson, STMicroelectronics
RTU2B: Wide Tuning Range Oscillators
Room: 339 - 340
Chair: Waleed Khalil, Ohio State University
Co-Chair: Nobuyuki Itoh, Okayama Prefectural University
RTU2C: mm-Wave Power Amplifiers
Room: 341 - 342
Chair: Freek van Straten, NXP Semiconductors
Co-Chair: Joseph Staudinger, Freescale Semiconductor
1000 – 1020
RTU2A-1:
A Broadband Self-Healing Phase Synthesis Scheme
H. Wang1, K. Dasgupta2, A. Hajimiri2, 1Intel Corporation, Hillsboro, United States,
2
California Institute of Technology, Pasadena, United States
RTU2B-1:
A 5.6GHz to 11.5GHz DCO for digital dual loop CDRs
W. S. Titus, J. G. Kenney, Analog Devices, Somerset, United States
RTU2C-1:
A V-band Self-Healing Power Amplifier with Adaptive Feedback Bias
Control in 65 nm CMOS
J. Liu1, A. Tang1, N. Wang1, Q. J. Gu2, R. Berenguer3, H. Hsieh4, P. Wu4, C. Jou4,
M. F. Chang1, 1University of California, Los Angeles, Los Angeles, United States,
2
University of Florida, Gainesville, Gainesville, United States, 3University of
Navarra, Navarra, Spain, 4Taiwan Semiconductor Manufacturing Company,
Hsin-Chu, Taiwan
1020 – 1040
RTU2A-2:
Double Quadrature Harmonic Rejection Architecture Insensitive to
Gain and Phase Mismatch for Analog/Digital TV Tuner IC
J. Ryu, S. Cho, J. Lee, J. Kim, Y. Ku, K. Kwon, H. Kang, Samsung Electronics, Suwon,
Republic of Korea
RTU2B-2:
A 3.16–12.8GHz Low Phase Noise N-Push/M-Push Cyclic Coupled Ring
Oscillator
M. M. Abdul-Latif, E. Sánchez-Sinencio , Texas A&M University, College Station,
United States
RTU2C-2:
A Fully Integrated 60GHz Distributed Transformer Power Amplifier in
Bulky CMOS 45nm
J. Essing, R. Mahmoudi, Eindhoven University of Technology, Eindhoven,
Netherlands
1040 – 1100
RTU2A-3:
A Dual-band Digital TV Tuner for CMMB application SoC
H. Kim, S. Kang, J. Choi, T. Kim, B. Lee, J. Bae, W. Choo, H. Park, B. Park, Samsung
Electronics, Yongin, Republic of Korea
RTU2B-3:
A 11.5-22GHz Dual-Resonance Transformer-coupled Quadrature VCO
S. Saberi, J. Paramesh, Carnegie Mellon University, Pittsburgh, United States
RTU2C-3:
A 94GHz Differential Power Amplifier in 45nm LP CMOS
N. Deferm1, J. F. Osorio2, A. de Graauw2, P. Reynaert1, 1K.U.Leuven, Leuven,
Belgium, 2NXP Semiconductors, Eindhoven, Netherlands
1100 – 1120
RTU2A-4:
A 130nm CMOS 100Hz–6GHz Reconfigurable Vector Signal Analyzer and
Software-Defined Receiver
A. Goel1, B. Analui2, H. Hashemi3, 1MediaTek USA Inc., San Jose, United States,
2
University of Southern California, Los Angeles, United States, 3University of
Southern California, Los Angeles, United States
RTU2B-4:
A 25MHz-6.44GHz LC-VCO Using a 5-port Inductor for Multi-band
Frequency Generation
W. Deng, K. Okada, A. Matsuzawa, Tokyo Institute of Technology, Tokyo, Japan
RTU2C-4:
A 55-67GHz Power Amplifier with 13.6% PAE in 65 nm standard CMOS
T. Wang, T. Mitomo, N. Ono, O. Watanabe, Toshiba Corporation, Saiwai-ku,
Kawasaki, Japan
1120 – 1140
RTU2A-5:
Sub-THz Beam-forming using Near-field Coupling of Distributed Active
Radiator Arrays
K. Sengupta, A. Hajimiri, California Institute of Technology, Pasadena, United
States
RTU2B-5:
A Single-LC-Tank 5-10GHz Quadrature Local Oscillator for Cognitive
Radio Applications
J. Lu, N. Wang, M. F. Chang, University of California, Los Angeles, United States
RTU2C-5:
A 60GHz-band 20dBm Power Amplifier with 20% Peak PAE
Y. Zhao, J. R. Long, M. Spirito, Delft University of Technology, Delft, Netherlands
84
2
0
1
1
TUESDAY
R F I C
INTERACTIVE FORUM
1200 – 1400
RTUIF: Interactive Forum
Room: Hall A, Baltimore Convention Center
Chair: Jeffrey Walling, Rutgers University
Co-Chair: Haolu Xie, Fujitsu
RTUIF-1: A 144GHz 2.5mW Multi-Stage
Regenerative Receiver for mm-Wave Imaging
in 65nm CMOS
A. J. Tang1, Z. Xu2, Q. Gu3, Y. Wu4, M. Chang1, 1University
of California, Los Angeles, Los Angeles, United States,
2
HRL Laboratories, LLC., Malibu, United States, 3University of Florida, Gainesville, United States, 4Northtrop
Grumman Corperation, Los Angeles, United States
RTUIF-9: A Large-Signal Blocker Robust
Transimpedance Amplifier for Coexisting Radio
Receivers in 45nm CMOS
A. Pérez-Carrillo1, S. S. Taylor2, J. Silva-Martinez1, A.
I. Karşılayan1, 1Texas A&M University, College Station,
United States, 2Intel Corporation, Hillsboro, United
States
RTUIF-17: Closed-Loop Spurious Tone Reduction
for Self-Healing Frequency Synthesizers
F. Bohn, K. Dasgupta, A. Hajimiri, California Institute of
Technology, Pasadena, United States
RTUIF-25: Highly-Linear FM Transmitter for
Mobile Applications in 65nm CMOS
B. P. Ginsburg1, K. Nagaraj1, N. Nayak1, M. Ozgun3,
K. Subburaj2, S. Murali2, F. Ledesma1, 1Texas Instruments, Dallas, United States, 2Texas Instruments,
Bangalore, India, 3Icera, Richardson, United States
RTUIF-2: A 9.5mW Analog Baseband RX Section
for 60GHz Communications in 90nm CMOS
S. D’Amico1, A. Spagnolo1, A. Donno1, P. Wambaq3, A.
Baschirotto4, 1University of Salento, Lecce, Italy, 2IMEC,
Leuven, Belgium, 3Vrije Universiteit Brussel, Brussel,
Belgium, 4University of Milano-Bicocca, Milan, Italy
RTUIF-10: Ultra-Low Power FSK Wake-up
Receiver Front-End for Body Area Networks
M. Lont1, D. Milosevic1, G. Dolmans2, A. H. Roermund1,
1
Eindhoven University of Technology, Eindhoven,
Netherlands, 2Imec-nl, Eindhoven, Netherlands
RTUIF-18: A Triple Band Travelling Wave VCO
Using Digitally Controlled Artificial Dielectric
Transmission Lines
N. Buadana, E. Socher, Tel Aviv University, Tel Aviv,
Israel
RTUIF-26: A Class-C Power Amplifier/Antenna
Interface for Wireless Sensor Applications
K. Natarajan1, J. S. Walling2, D. J. Allstot1, 1University
of Washington, Seatle, United States, 2Rutgers
University, Piscataway, United States
RTUIF-3: A Direct Conversion Quadrature Transmitter with Digital Interface in 45nm CMOS for
High-Speed 60GHz Communications
M. Abbasi1, T. Kjellberg1, A. J. de Graauw2, R. Roovers2,
H. Zirath1, 1Chalmers University of Technology,
Gothenburg, Sweden, 2NXP Semiconductors, Eindhoven,
Netherlands
RTUIF-11: Low-Voltage Low-Power Combined
LNA-Single Gate Mixer for 5GHz Wireless
Systems
M. A. Abdelghany1, R. Pokharel2, H. Kanaya1, K.
Yoshida1, 1Kyushu University, Graduate School of Information Science and Electrical Engineering, Nishi-ku,
Japan, 2E-JUST Center, Nishi-ku, Japan
RTUIF-19: A Spur-Frequency-Boosting PLL with
a -74dBc Reference-Spur Rejection in 90nm
Digital CMOS
M. M. Elsayed, M. Abdul-Latif , E. Sánchez-Sinencio,
Texas A&M University, College Station, United States
RTUIF-27: A 19 dBm 0.13μm CMOS Parallel
Class-E Switching PA with Minimal Efficiency
Degradation under 6 dB Back-off.
N. Singhal, N. Nidhi, A. Ghosh, S. Pamarti,
University of California Los Angeles, Los Angeles,
United States
RTUIF-4: 94GHz Power-Combining Power Amplifier with +13dBm Saturated Output Power in
65nm CMOS
D. Sandström1, B. Martineau2, M. Varonen1, M. Kärkkäinen1, A. Cathelin2, K. A. Halonen1, 1Aalto University,
Espoo, Finland, 2STMicroelectronics, Crolles, France
RTUIF-12: A 1.2V 0.1-3GHz Software-Defined
Radio Receiver Front-End in 130nm CMOS
M. Cao, B. Chi, C. Zhang, Z. Wang, Institute of Microelectronics, Tsinghua University, Beijing, China
RTUIF-20: A Simple, Unified Phase Noise Model
for Injection Locked Oscillators
S. Kalia, M. Elbadry, B. Sadhu, S. Patnaik, R. Harjani,
University of Minnesota, Minneapolis, United States
RTUIF-28: Large-Signal Characterization and
Modeling of MOSFET for PA Applications
S. Lee, T. Lee, Skyworks Solutions, Inc., Irvine, United
States
RTUIF-5: Temperature-Dependent Scalable
Large Signal CMOS Device Model Developed for
Millimeter-Wave Power Amplifier Design
N. Mallavarpu1, D. Dawn2, J. Laskar3, 1Georgia Institute
of Technology, Atlanta, United States, 2Georgia Institute
of Technology, Atlanta, United States, 3Samsung,
Atlanta, United States
RTUIF-13: A low noise amplifier simultaneously
achieving input impedance and minimum noise
matching
B. Kim, D. Im, J. Choi, K. Lee, KAIST, Daejeon, Republic
of Korea
RTUIF-21: A 22μW, 2.0GHz FBAR Oscillator
A. Nelson1, J. Hu1, J. Kaitila2, R. Ruby2, B. Otis1, 1University of Washington, Seattle, United States, 2Avago
Technologies, San Jose, United States
RTUIF-29: Towards Cognitive Built-in-SelfTest (BIST) for Reconfigurable On-Chip
Applications
S. Wane, B. Elkassir, C. Kelma, NXP-Semiconductors,
Caen, France
RTUIF-6: A Transceiver Chipset for Automotive
LRR and SRR Radar System at 76-81GHz in SiGe
BiCMOS Technology
S. Trotta, Freescale Semiconductor, Munich, Germany
RTUIF-14: Differential Source-Pull on the
WCDMA Receiver
C. H. Guan, C. Liu, Broadcom, Irvine, United States
RTUIF-22: DCO with Built-In Compensation for
TBF Mismatch
O. E. Eliezer1, B. R. Staszewski2, S. K. Vemulapalli3,
1
Xtendwave, Dallas, United States, 2Delft University of
Technology, Delft, Netherlands, 3Texas Instruments,
Dallas, United States
RTUIF-30: An active filter achieving 43.6dBm
OIP3
H. H. Kim, M. Green, B. A. Miller, A. Bolstad, D. D.
Santiago, MIT Lincoln Laboratory, Lexington, United
States
RTUIF-7: A Compact-Size Dual-band (Tri-mode)
Receiver Front-end with Switched Harmonic
Mixer and Technology Scaling
H. Chen1, K. Lin2, T. Wang3, S. Lu4, 1National Taiwan
University, Taipei, Taiwan, 2National Taiwan University,
Taipei, Taiwan, 3Chang-Gung University, Tao-Yuan,
Taiwan, 4National Taiwan University, Taipei, Taiwan
RTUIF-15: A 50% Duty Cycle Wide-Locking Range
Divide-By-3 Divider up to 6GHz
C. Y. Zhou, L. Zhang, L. Zhang, Y. Wang, Z. P. Yu, H.
Qian, Tsinghua University, Beijing, China
RTUIF-23: A 1Mb/s 3.2-4.4GHz Reconfigurable
FM-UWB Transmitter in 0.18μm CMOS
B. Zhou1, H. Lv1, M. Wang1, J. Liu1, W. Rhee1, Y. Li1, D.
Kim2, Z. Wang1, 1Tsinghua University, Beijing, China,
2
Samsung Advanced Institute of Technology, Suwon,
Republic of Korea
RTUIF-31: A 3.5-4.5-GHz Ultra compact
0.25mm2 Reflection-type 360 degree Phase
Shifter
W. Li1, J. Tsai2, M. Huang1, T. Huang1, 1National
Taiwan University, Taipei, Taiwan, 2National Taiwan
Normal University, Taipei, Taiwan
RTUIF-8: An 8GHz, 0.45dB NF CMOS LNA Employing Noise Squeezing
W. Lee, E. Afshari, Cornell University, Ithaca, United
States
RTUIF-16: A Multi-GHz 130ppm Accuracy FLL for
Duty-Cycled Systems
X. Wang, B. Busze, J. Romme, R. M. Vinella, C. Zhou, K.
Philips, H. de Groot, Holst Centre, IMEC-NL , Eindhoven,
Netherlands
RTUIF-24: A 23μA RF-Powered Transmitter for
Biomedical Applications
F. Zhang, M. A. Stoneback, B. P. Otis, University of
Washington, Seattle, United States
85
2
R F I C
0
1
1
Steering Committee
David Ngo, RFMD, General Chair
Larry Kushner, Intersil Corp., Panel Sessions Chair
Albert Jerng, Ralink, TPC Chair
Georg Boeck, TU Berlin, Transactions/Guest Editor
Jacques C. Rudell, Univ. of Washington, TPC Co-Chair
Yuhua Cheng, SHRIME Peking Univ., Student Papers Chair
Bertan Bakkaloglu, Arizona State Univ., Finance Chair
Freek van Straten, NXP Semiconductors, Secretary
Brian Floyd, North Carolina State Univ., Workshops Chair
Noriharu Suematsu, Tohoku Univ., Asia Pacific Liaisons
Albert Wang, UC Riverside, Workshops Co-Chair
Jenshan Lin, Univ. of Florida, Submission Website Administrator
Kevin Kobayashi, RFMD, Publications Chair
Takao Inoue, Univ. of Texas, Austin, Website Chair
Kevin McCarthy, University College Cork, Publicity Chair
Tina Quach, Conference Manager
Advisory Board
Natalino Camilleri
Fazal Ali
Reynold Kagiwada
Sayfe Kiaei
David Lovelace
Joseph Staudinger
Stefan Heinen
Luciano Boglione
Jenshan Lin
David Ngo
Executive Committee
Yann Deval
Technical Program Committee
Ali Afsahi, Broadcom Corp.
Aditya Gupta, Northrop Grumman
Tzung-Yin Lee, Skyworks Solutions
Carlos Saavedra, Queen’s University, Canada
Fazal Ali, Qualcomm
Timothy Hancock, MIT Lincoln Lab
Domine Leenaerts, NXP Semiconductors
Derek Shaeffer, InvenSense, Inc.
Walid Ali-Ahmad, MediaTek Inc.
Andre Hanke, Infineon Technologies AG
Donald Y.C. Lie, Texas Tech. University
Osama Shana’a, MediaTek Corporation
Bertan Bakkaloglu, Arizona State University
Hossein Hashemi, USC
Fujiang Lin, IME Singapore
Eddie Spears, RFMD, Inc.
Jean-Baptiste Begueret, University of Bordeaux, IMS Lab
Gary Hau, Anadigics
Jenshan Lin, University of Florida
Robert Staszewski, Delft University of Technology
Didier Belot, ST Microelectronics
Stefan Heinen, RWTH Aachen University
Li Lin, Marvell Semiconductor
Joseph Staudinger, Freescale Semiconductor Inc.
Paul Blount, Custom MMIC Design
Mona Hella, Rensellaer Polytechnic Institute
Ting-Ping Liu, Nuvoton Technology
Bob Stengel, Motorola
Georg Boeck, Berlin Institute of Technology
Frank Henkel, IMST GmbH
David Lovelace, ON Semiconductor
Freek van Straten, NXP Semiconductor
Luciano Boglione, University of
Massachusetts Lowell
Chun-Ming Hsu, IBM
Danilo Manstretta, University of Pavia
Noriharu Suematsu, Tohoku University
Pierre Busson, ST Microelectronics
Tian-Wei Huang, National Taiwan University
Kevin McCarthy, University College Cork
Julian Tham, Broadcom
Natalino Camilleri, Alien Technology
Gernot Hueber, Infineon Technologies
Srenik Mehta, Atheros Communications
Bruce Thompson, Motorola Labs
Sudipto Chakraborty, Texas Instruments
Nobuyuki Itoh, Okayama Prefectural University
Jyoti Mondal, Northrop Grumman
Mark Tiebout, Infineon Technologies
Glenn Chang, MaxLinear
Waleed Khalil, Ohio State University
Kenjiro Nishikawa, NTT Network Innovation
Laboratories
Leon Van den Oever, Radio Semiconductor
Corporation
Jing-Hong Chen, Analog Devices
Jaber Khoja, Microtune, Inc.
Sule Ozev, Arizona State University
Jeffrey Walling, Rutgers University
Nick Cheng, Skyworks Solutions
Sayfe Kiaei, Arizona State University
Stefano Pellerano, Intel Corporation
Albert Wang, University of California, Riverside
Yuhua Cheng, Shrime Peking University
Bumman Kim, Pohang University of Science and Tina Quach, Consultant
Technology
Haolu Xie, Fujitsu Microelectronic
Guang-Kaai Dehng, Mediatek
Eric Klumperink, University of Twente
Sanjay Raman, Virginia Tech
Li-Wu Yang, SMIC
Yann Deval, University of Bordeaux
Kevin Kobayashi, RFMD, Inc.
Madhukar Reddy, MaxLinear
Patrick Yue, University of California, Santa
Barbara
Oren Eliezer, XtendWave
Larry Kushner, Intersil Corp.
Bill Redman-White, NXP Semiconductor
Gary Zhang, Skyworks Solutions
Brian Floyd, North Carolina State University
Youngwoo Kwon, Seoul National University
Eli Reese, TriQuint Semiconductor
Herbert Zirath, Chalmers University
Eric Fogleman, MaxLinear
Chang-Ho Lee, Samsung
Mark Ruberto, Intel Corporation
Ranjit Gharpurey, University of Texas, Austin
Fred Lee, SiTime
Francis Rotella, Peregrine Semiconductor
86
2
0
1
1
A R F T G
77TH ARFTG MICROWAVE MEASUREMENT CONFERENCE
Welcome to the 77th Automatic RF Techniques Group (ARFTG)
Microwave Measurement Conference being held at the
Hilton Baltimore Convention Center Hotel on Friday, 10 June 2011.
From:
Mohamed Sayed - Chair, 77th ARFTG Conference
The conference will include technical presentations, an interactive forum, and an exhibition;
all to give you ample opportunity to interact with your colleagues in the RF and microwave test
and measurement community. The conference theme is “Design and Measurement of Microwave
Systems” and opens with an invited talk on the challenges and promises of modular microwave
measurement systems. The contributed conference papers focus on nonlinear measurement systems,
calibration issues, on-wafer measurements, uncertainty, broadband and millimeter-wave measurements, and other areas of RF
and microwave measurement. Also, be sure to check out the joint ARFTG/IMS workshops on “Practical IMD, P1dB, Load Pull
and Behavioral Modeling Measurements”, “The Design Flow of Microwave Power Amplifiers: Challenges and Future Trends”,
and “Laboratory Class: Wafer-Level S-Parameter Calibration Techniques.” An important part of any ARFTG Conference is the
opportunity to interact one-on-one with colleagues, experts and vendors in the RF and microwave test and measurement
community. Whether your interests include high-throughput production or one-of-a-kind metrology measurements, complex
systems or simple circuit modeling, small to large signal measurements, phase noise or noise figure, DC to lightwave, you will
find similarly interested technologists and maybe an expert. Starting with the continental breakfast in the exhibition area,
continuing through the two exhibition/interactive forum sessions and the luncheon, there will be ample opportunity for
discussion with others facing similar challenges. Attendees find that these interactions are often the best source of ideas and
information for their current projects. So come and join us.
ARFTG STEERING COMMITTEE
EXECUTIVE COMMITTEE
Conference Chair
Mohamed Sayed
MMS
Technical Program Chair
Jon Martens
Anritsu
Local Host
John Gregory Burns
Lockheed Martin
Executive Secretary
Jim L. Taylor
President
Ronald Ginley
NIST
Vice President & Publicity
Nick Ridler
National Physical Lab
Secretary
Jon Martens
Anritsu
Treasurer
Ken Wong
Agilent Technologies
Publications
David Blackham
Agilent Technologies
Sponsorship
Joe Gering
RF Micro Devices
Technical
Mohamed Sayed
MMS
Education
Dominique Schreurs
K.U. Leuven
Workshops
Jean-Pierre Teyssier
University of Limoges XLIM
Standards
Uwe Arz
PTB
Sponsorship
Rusty Myers
Maury Microwave
Electronic Communications
Mitch Wallis
NIST
MTT-S Liaison
Leonard Hayden
Cascade Microtech
Exhibits
Brett Grossman
Intel
Technical
Patrick Roblin
Ohio State University
ARFTG TECHNICAL PROGRAM COMMITTEE
John Barr
Retired
Dave Blackham
Agilent Technologies
Nuno Borges Carvalho
University of Avierno
Gayle Collins
Freescale Semiconductor
Leonard Hayden
Cascade Microtech
Nick Ridler
NPL
Mohamed Sayed
MMS
John Wood
Freescale Semiconductor
Masahiro Horibe
AIST
Patrick Roblin
The Ohio State University
Dominique Schreurs
K. U. Leuven
Jon Martens (chair)
Anritsu
Yves Rolain
Vrije Universiteit Brussel
Bela Szendrenyi
Verigy
Karam Noujeim
Anritsu
Andrej Rumiantsev
Cascade Microtech
Mitch Wallis
NIST
Find out more about ARFTG and the latest conference updates at www.arftg.org
87
2
A R F T G
0
1
1
ARFTG TECHNICAL SESSIONS HOLIDAY 1-3, HILTON HOTEL
0800 – 0940
Session 1: Measurement systems
Chair: Jon Martens, Anritsu
1040 – 1200
Session 2: System calibration issues and
techniques
Chair: Uwe Arz, PTB
1310 – 1410
Session 3: On-wafer methods and uncertainty
analysis
Chair: Leonard Hayden, Cascade Microtech
1450 – 1550
Session 4: Broadband and mm-wave
techniques
Chair: Nick Ridler, NPL
Session 1-1: The Increasing Power of SW-Defined
Modular Measurement Systems for RF &
Microwave Applications (Invited)
0800 – 0840
J. Bains, National Instruments, Santa Rosa, United
States
Session 2-1: Fabrication of Calibration Standards
for the Millimeter- and Submillimeter-Wave
Ring-Centered Waveguide Flange
1040 – 1100
Q. Yu1, J. Hesler3, A. Kerr2, H. Li1, R. Weilke II1, N.
S. Barker 1, 1University of Virginia, Charlottesville,
United States, 2National Radio Astronomy
Observatory, Charlottesville, United States, 3Virginia
Diodes, Inc., Charlottesville, United States
Session 3-1: Wideband Relative Permittivity
Extraction Based on CPW Phase Constant
Measurements
1310-1330
U. Arz1, M. Janezic 2, W. Heinrich 3, 1PTB,
Braunschweig, Germany, 2NIST, Boulder, United
States, 3FBH, Berlin, Germany
Session 4-1: An improved stability broadband/
mm-wave VNA structure
1450-1510
J. Martens, K. Noujeim, T. Roberts, Anritsu
Company, Morgan Hill, United States
Session 1-2: Hybrid active tuning load pull
0840-0900
G. Simpson, Maury Microwave Corporation, Ontario,
United States
Session 2-2: New Calibration Technique
for Coaxial Network Analyzer Reflection
Measurements at Millimeter-wave Frequencies
1100-1120
M. Horibe1, N. Ridler2, M. Salte 2, C. Eio 2, 1National
Institute of Advanced Industrial Science and
Technology, Tsukuba, Japan, 2National Physical
Laboratory, Tedington, United Kingdom
Session 3-2: MOS-16: A New Method
for In-Fixture Calibration and Fixture
Characterization
1330-1350
M. Schramm1, M. Hrobak1, J. Schür 1, L. Schmidt1, M.
Konrad 2, 1University Erlangen-Nuremburg, Erlangen,
Germany, 2Konrad Technologies, Radolfzell, Germany
Session 4-2: Measurements of Micromachined
Waveguide Devices at WR-3 Band using a T/R-T
Module Based Network Analyzer
1510-1530
Y. Wang, M. J. Lancaster, University of Birmingham,
Birmingham, United Kingdom
Session 1-3: High-Speed Device Characterization
Using an Active Load-Pull System and Waveform
Engineering Postulator
0900-0920
V. Carrubba, A. L. Clarke, S. P. Woodington, M.
Akmal, J. Lees, S. C. Cripps, W. McGenn, P. J. Tasker,
J. Benedikt, Cardiff University, Cardiff, United
Kingdom
Session 2-3: Second-Order Waveguide
Calibration of a One-Port Vector Network
Analyzer
1120-1140
R. H. Judaschke, Physikalisch-Technische
Bundesanstalt, Braunschweig, Germany
Session 3-3: Uncertainty in Multiport
S-parameters Measurements
1350-1410
A. Ferrero1, M. Garelli 3, B. Grossman 2, S. Choon 2, V.
Tepatti1, 1Politecnico di Torino, Torino, Italy, 2Intel,
Hillsboro, United States, 3High Frequency Engineering
Sagl, San Vittore, Switzerland
Session 4-3 Modification of Waveguide
Flange Design for Millimeter and
Submillimeter-wave measurements
1530-1550
M. Horibe1, K. Noda 2, 1Advanced Industrial Science
and Technology, Tsukuba, Japan, 2Oshima Prototype
Engineering Co., Musashino-shi, Japan
Session 1-4: High Speed Non-linear Device Characterization and Uniformity Investigations at
X-Band Frequencies exploiting Behavioral Models
0920-0940
R. S. Saini, J. W. Bell, T. A. Canning, S. P.
Woodington, D. FitzPatrick, J. Lees, J. Benedikt, P.
J. Tasker, Cardiff University, Cardiff, United Kingdom
Session 2-4: Calibration Residual Error
Propagation Analysis Using Conformal
Mapping
1140-1200
F. Lenk1, R. Doerner2, A. Rumiantsev3, M. Rudolph 4,
1
Hochschule Lausitz (FH), Senftenberg, Germany,
2
Ferdinand-Braun-Institut (FBH), Berlin, Germany,
3
Cascade Microtech Dresden GmbH, Sacka, Germany,
4
Brandenburg University of Technology Cottbus,
Cottbus, Germany
ARFTG INTERACTIVE FORUM HOLIDAY 4-5, HILTON HOTEL
Chair: Brett Grossman, Intel
Poster-1: Evaluation of Complex Residual Error in
Vector Network Analyzer Measurement System in
the Range of Millimeter-wave and Submillimeterwave frequencies
0940-1040 and 1410-1450
R. Kishikawa, M. Horibe, M. Shida, National Institute of
Advanced Industrial Science and Technology, Tsukuba,
Japan
Poster-2: A Simple Procedure for Characterizing
Line-Stretcher Phase Shifters
0940-1040 and 1410-1450
J. E. Zuñiga-Juarez1, J. A. Reynoso-Hernandez1, M. C.
Maya-Sanchez1, J. R. Loo-Yau2, 1Centro de Investigación
Científica y de Educación Superior de Ensenada (CICESE),
Ensenada, Mexico, 2Centro de Investigación y Estudios
Avanzados del I. P. N. Unidad Guadalajara, Zapopan,
Mexico
Poster-3: Traceable GMSK vector modulation
error based on analog PM
0940-1040 and 1410-1450
Z. Rui, Z. Feng, G. Long-Qing, L. Yao-Hua, China Academy of Telecommunication Research of Ministry of Industry
and Information Technology(CATR), BeiJing, China
Poster-4: Contactless distance measurement
method
0940-1040 and 1410-1450
K. Hoffmann, Z. Skvor, Czech Technical University in
Prague , Prague 6, Czech Republic
Poster-5: Comparison of different methods for
calculating uncertainties in the electrical properties of planar waveguides
0940-1040 and 1410-1450
K. Kuhlmann, U. Arz, Physikalisch-Technische Bundesanstalt (PTB), Braunschweig, Germany
Poster-6: Design Study of a Thermocouple Power
Sensor as a Monolithic Fin-line
0940-1040 and 1410-1450
M. Jones, J. Scott, University of Waikato, Hamilton,
New Zealand
Poster-7: An Automatic Measurement Setup for
Outer Diameter of Inner Conductor in Coaxial
Air Lines
0940-1040 and 1410-1450
H. Huang1, M. X. Liu1, X. Lv2, 1National Institute of
Metrology,China, Beijing, China, 2Beijing Institute of
Technology, Beijing, China
Poster-8: Analyses of RF impedance analyzer and
LCR meter readout noise
0940-1040 and 1410-1450
X. M. Liu2, H. Huang1, H. Xu1, 1National Institute of
Metrology, Beijing, China, 2Beijing Institute of Technology,
Beijing, China
Poster-9: Adapter Evaluation Using Three-adapter
Technique with ‘Thru-Line’ Two-tier Calibration in
One-port Measurements
0940-1040 and 1410-1450
J. Kim, J. Kang, J. Kwon, D. Kim, Korea Research Institute of
Standards and Science, Daejeon, Republic of Korea
Poster-10: attoF MOS Varactor RF Measurement
VNA coupled with interferometer
0940-1040 and 1410-1450
R. Debroucke2, D. Gloria1, D. Ducatteau2, D. Theron2,
H. Tanbakuchi3, C. Gaquiere2, 1STMicroelectronics,
Crolles, France, 2IEMN, Villeneuve d’ascq, France, 3Agilent
Technologies, Santa Rosa, United States
Poster-11: Measurement and Evaluation of the
WR28 Calorimeter
0940-1040 and 1410-1450
X. Cui, Y. Li, X. Gao, M. Dai, D. Zhu, National Institute of
Metrology of China, Beijing, China
Poster-12: Real-Time Non-Linear De-embedding
0940-1040 and 1410-1450
F. Vanaverbeke1, W. De Raedt1, D. Schreurs2, M. Vanden
Bossche3, 1Imec, Heverlee, Belgium, 2KULeuven, Heverlee,
Belgium, 3nmdg NV, Bornem, Belgium
Poster-13: Vector-Network-Analyzer Calibration
Using Line and Multiple CPW Offset Short and
Offset Open Circuits
0940-1040 and 1410-1450
A. Lewandowski1, W. Wiatr1, D. Gu2, N. Orloff 2, P. Kabos2,
1
Warsaw University of Technology, Warsaw, Poland,
2
National Institute of Standards and Technology, Boulder,
United States
Poster-14: A Superior Solution to Control the Pin
Gap and Coupling of Coaxial Airline Impedance
Standards
0940-1040 and 1410-1450
T. Roberts, Y. Lee, Anritsu Company, Morgan Hill,
United States
Poster-15: Application of Multimode TRL
Technique for Accurate Balun Characterization
and Estimation of its Impact on Measurement of
Differential Devices
0940-1040 and 1410-1450
V. E. Issakov, M. Wojnowski, G. Sommer, Infineon
Technologies AG, Neubiberg, Germany
Poster-16: Characterizations of non-symmetrical
fixtures with a two-gate approach
0940-1040 and 1410-1450
J. Dunsmore1, N. Cheng2, Y. Zhang2, 1Agilent Technologies,
Inc., Santa Rosa, United States, 2Agilent Technologies, Inc.,
Beijing, China
88
2
0
1
1
WORKSHOPS & SHORT COURSES
WORKSHOPS AND SHORT COURSES
Workshops and Short courses are offered on Sunday, Monday and Friday of Microwave week. Please see daily handout on Sunday, Monday,
and Friday in the registration area and from volunteers through out the meeting floors to confirm room location.
SUNDAY WORKSHOPS 5 June 2011
WSA
Introduction to GaN MMIC Design
Sunday, 0800 – 1720
Sponsors: MTT-6, MTT-5, MTT-16
Organizers: Bernie Geller, Vadum, Incorporated
Ed Niehenke, Niehenke Consulting
Rüdiger Quay, Fraunhofer Institute
Tim Lee, The Boeing Company
Abstract: In the morning this workshop will provide an overview of GaN MMIC
design, focusing on similarities and differences in the design process between
GaAs and GaN MMICs. This will be followed by talks addressing specific designs for
radar and communications systems, millimeter-wave applications, and “passive”
applications such as high-power RF switches. The afternoon session will discuss
issues related to the design and layout of passives and GaN MMICs at millimeter
–wave frequencies, followed by a discussion of GaN MMIC processing options and
circuit simulation techniques. The final presentation will provide an overview of
current non-linear models for GaN devices, including similarities (and differences)
with GaAs models and areas where new models are needed. There will be an open
panel session at the end of the day in which all of the speakers will participate and
answer questions from the audience. We encourage attendees to bring questions,
design issues, and design hints that they would like to share.
1. “ An Overview of GaN MMIC Design”
Thomas Winslow, M/A-COM Technology Solutions
2. “GaN MMIC Design for Radar and Communications Applications”
Bill Pribble, Cree RF and Microwave Products
3. “Millimeter-Wave GaN Power MMICs: Design and Status”
James Schellenberg, QuinStar Technology, Inc.
4. “High Power Switch MMIC Design with Gallium Nitride Transistors”
Charles F. Campbell, TriQuint Semiconductor
5. “Design, Layout, and Modeling of mm-Wave GaN Passives and MMICs”
Rüdiger Quay, Fraunhofer Institute of Applied Solid-State Physics (IAF)
6. “GaN MMIC Technology and Circuit Simulation Techniques”
Harris (Chip) Moyer, HRL Laboratories
7. “Status of Linear and Nonlinear GaN Modeling for MMICs”
Walter R. Curtice, W. R. Curtice Consulting
WSB
Sunday, 0800 – 1720
Advancements and Challenges Toward Radio-in-Package and Radio-onChip
Sponsors: MTT-12, RFIC, MTT-23, MTT-6
Organizers: Kenjiro Nishikawa, NTT Corporation
Noriharu Suematsu, Tohoku University
Pierre Busson, ST Microelectronics
Eric Kerherve, IMS Laboratory
Abstract: Advanced wireless communication systems require multi-functionality
and miniaturization of their modules, irrespective of frequency or system. A recent
development issue is the problem of how we can integrate full-functions on a chip
or in a package to achieve a real “Radio-in-package” or “Radio-on-chip”. The design
challenge of integrated antennas is the most interesting issue. This workshop will
focus on design innovations for Radio-in-package/Radio-on-chip and evaluation
techniques for highly integrated System-in-package/System-on-chip with
antennas. Presentation topics will cover integrated antenna design techniques,
measurement and evaluation techniques for fully-integrated millimeter-wave
System-in-package/System-on-chip modules, reduction of crosstalk and
interference, power management techniques of chip/package for phased array
systems, and thermal management techniques for chip/package intended for
phased array systems.
1.“Millimeter-Wave Wafer-scale Phased Arrays and System-on-Chip”
Gabriel Rebeiz, University of California, San Diego
2.“D-Band Radio and Imaging Transceivers with On-die Antennas”
Sorin Voinigescu, University of Toronto
3.“Large-scale Radiating ICs”
Aydin Babakhani, Rice University
4.“The Role of Wireless Inter- and On-chip Links in Future SoC”
Byunghoo Jung, Purdue University
5.“Fully-integrated Transmitters with Embedded Antenna for On-wafer
Wireless Testing”
C. Patrick Yue, University of California, Santa Barbara
6.“New Developments in On-chip Antennas and Antenna-in-package for 60
GHz Applications”
Yongxin Guo and Fujiang Lin, National University of Singapore
7.“A 60 GHz Ultra-Small RF Module with Antenna using 3-D SiP Technology”
Noriharu Suematsu, Tohoku University
8.“Millimeter-wave System-in-Package Technology”
Tomohiro Seki, NTT Corporation
9.“System-in-Package Integrated Antennas in Industrial and Prospective
Packages for MMW Applications”
Romain Pilard, STMicroelectronics France
10.“A Ceramic Package with Antenna for 60 GHz WPAN Applications”
Yoshimasa Sugimoto, Kyocera Corp.
11.“Low-Cost Antenna-in-Package Solutions for 60 GHz Phased-Array Systems”
Dong G. Kam and Scott Reynolds, IBM
89
WORKSHOPS & SHORT COURSES
WSC
Imaging at Millimeter-Wave and Beyond
Sunday, 0800 – 1720
Sponsors: MTT-6, MTT-7, RFIC, MTT-23
Organizers: Arun Natarajan, IBM T. J. Watson Research Center
Fujiang Lin, University of Science and Technology of China
Payam Heydari, University of California, Irvine
Abstract: Advances in device technologies are making millimeter-wave imagers
both technologically viable and commercially attractive. These imagers are now
targeting exciting applications that capitalize on the unique characteristics of
the millimeter-wave spectrum. This workshop will present recent developments
in millimeter-wave imaging, focusing on imagers for security, spectroscopy and
clinical diagnosis. Speakers will address the different specifications, architectures
and technology tradeoffs for such applications and present passive and active
imagers in III-V as well as CMOS device technologies.
1.“The History and Challenges of Passive Millimeter-Wave Imaging”
Albert Pergande, Lockheed Martin
2.“III-V Based W-band Sensors for Passive Millimeter-Wave Imaging”
Jonathan Lynch, Hughes Research Lab.
3.“Passive and Active Sub-millimeter-wave Imaging for Stand-off Security
Screening Applications”
Arttu Luukanen, VTT Technical Research Centre of Finland
4.“Electronic Approaches to Sensor Applications in the THz Spectral Region:
The Intersection of Physics and Technology”
Frank De Lucia, Ohio State University
5. “Millimeter and Sub-Millimeter Wave Imaging and Spectroscopy in CMOS”
Kenneth K. O, University of Texas, Dallas
6. “Advantages of CMOS Receivers for Millimeter and Sub-Millimeter Wave
Imaging”
Adrian Tang and Frank Chang, University of California, Los Angeles
7.“Clinical Systems for Microwave Imaging and Diagnostics and Treatment”
Mikael Persson, Chalmers University of Technology
WSD
Sunday, 0800 – 1720
Re-configurability Requirements for Multi-standard Low-power Operation
Sponsors: RFIC, MTT-23, MTT-20
Organizers: Gernot Hueber, NXP Semiconductor
Robert Bogdan Staszewski, TU Delft
Stefan Heinen, RWTH Aachen University
Abstract: Advances in fabrication technology have enabled the use of (Bi) CMOS in
today’s RF transceivers for wireless communications. Multi-band and multi-mode
radios covering the diversity of communication standards from 2G GSM, 3G UMTS,
to 4G LTE and LTE-advanced as well as WLAN, BT, and GPS impart unique challenges
on RF transceiver design due to limitations of reconfigurable components that
must meet demanding cellular performance criteria at costs that are attractive for
mass market applications. For base-stations’ first steps towards reconfigurability,
the excessive usage of compound technologies needs to be changed into the
use of Si-based circuit technologies to enable integration. Although integration
features the possibility for implementing a significant computational power and
complex functionality directly on a single IC, it shows poor performance in RF
circuits compared to other technologies. The focus of this workshop will be on the
challenges and requirements that the wireless standards place on future multiradio operation, along with a thorough discussion of advanced techniques for
receivers and transmitters leading towards integration in a low-power multi-radio
SoC or SiP for terminals and base-stations. Approaches include novel architectures,
highly configurable analog circuitry, digitally assisted and enhanced analog/RF
90
2
0
1
1
modules and the integration of digital signal processing into the traditionally purely
analog front-end.
1.“SAW-less Radio Transceivers in 40nm CMOS”
Jan Cranickx, IMEC
2.“Unifying PA Approaches for Multi-standard Low-power Operation”
Earl McCune, Highspeed and Wireless
3.“High Performance Digitally Reconfigurable RF Front Ends”
Art Morris, WiSpry
4.“Low-power Multi-standard Transmitters in Nanoscale CMOS”
Larry Larson, University of California, San Diego
5.“Base stations: The First Steps Towards Re-Configurability”
Domine Leenarts, NXP
6.“Open-Loop Polar Transmitters for Cellular Application”
Michael Youssef, Broadcom Corporation
7.“Recent Advancements and Future Directions in Digital RF and DigitallyAssisted RF”
R. Bogdan Staszewski, TU Delft
8.“Linearity Enhancement Techniques for Multi-standard Radio Systems”
Ranjit Gharpurey, University of Texas
9.“Towards reconfigurable multi-standard multi-band radios: key system
issues and architecture concepts”
Walid Ali-Ahmad, MediaTek
WSE
Sunday, 0800 – 1720
Advancements in Linear Power Amplifiers for Cellular Infrastructure
Sponsors: MTT-5, RFIC, MTT-23
Organizers: Joseph Staudinger, Freescale Semiconductor, Inc.
David Runton, RFMD
Freek van Straten, NXP
Abstract: Cellular infrastructure equipment market forces demand improvements
in power amplifier performance in terms of power, efficiency and linearity for
increasingly wider bandwidth signals. This challenge is being addressed with research
and advancements on several fronts, including device technology (Si LDMOS, GaAs
HBT, GaN), PA circuit architecture (Doherty circuits and high power ICs), and more
effective PA linearization techniques. This workshop will feature experts detailing
their solutions to these complex issues and sharing their insight of future research
activities.
1.“High Performance Amplifier Solutions for LTE and Beyond”
Bill Vassilakis, Empower RF Systems Inc.
2.“Circuit Models for High-Power RF Transistors”
John Wood and Peter H. Aaen, Freescale Semiconductor, Inc.
3.“Recent Advances in Doherty Power Amplifiers”
John Gajadharsing, NXP
4.“Advances in High Power LDMOS Integrated Circuit Amplifiers”
Margaret Szymanowski, Freescale Semiconductor, Inc.
5.“High Power GaAs HVHBT Power Amplifiers”
Craig Steinbeiser and Oleh Krutko, TriQuint Semiconductor
6.“Advancements in GaN Technology”
Christopher Burns, RFMD
7.“Linearization Trends for Wireless Infrastructure Pas”
Roland Sperlich, Texas Instruments
2
0
1
1
WSF
WORKSHOPS & SHORT COURSES
Sunday, 0800 – 1720
EMI-Compliant Product Design Practices: Interference Analysis, Floorplanning,
Grounding Strategies, Chip-Package-Board Co-Design
Sponsors:RFIC
Organizers: Rick Janssen, NXP Semiconductors
Vivek Bhan, Fujitsu Microelectronics America, Inc.
Oren Eytan Eliezer, Xtendwave
Abstract: With the integration of RF, mixed signal and digital building blocks on a single
die, combined with the trend to go to higher frequencies to accommodate higher data
rates, it is essential to consider various on-chip coupling effects in the early design phases
of the RFIC. Additionally, provisions should be made at the application level by reducing
the impact of peripheral interactions (between chip, package, board, antenna, etc.), as
well as the potential for self-interference, such that these are either eliminated or can be
resolved on the fabricated product in order to reduce the number of re-spins. The focus
of this interactive workshop will be on preventing EMI problems by applying a number
of measures in the early design phase: interference analysis, coupling-aware RFIC floorplanning, grounding strategies, chip-package-board co-design practices, frequency
planning, clock generation strategies, and modeling and CAD/EDA capabilities to address
coupling effects. Recognized experts in the semiconductor industry will present actual
issues encountered in their designs and the solutions/design practices that can be used
to address such issues in the early design phase.
1.“Signal Isolation in a 2G/3G/4G Multimode Cellular Transceiver with Digital
Interface”
Vivek Bhan, Fujitsu Microelectronics America, Inc.
2.“Simulation of Electromagnetic Interference from ICs Experienced during System
Level EMC Tests”
Christian Stockreiter, austriamicrosystems AG
3.“Optimal RFIC Floorplanning and Grounding Strategies”
Jan Niehof, NXP Semiconductors
4.“Fundamentals of Self-Interference Analysis and Prevention in Complex RF SoCs”
Oren Eliezer, Xtendwave
5.“The Effect of Digital Noise on RF Receiver Sensitivity in Modern Smart-Phones
Applications”
HeeSoo Lee1, Yi Cao2, Wenjun Shi2, 1Agilent Technologies, 2RIM
6.“Electromagnetic Interference Reduction on an Automotive Microcontroller”
Davide Pandini, STMicroelectronics
7.“Radio Co-existence Challenges in Multi-Comm SoCs”
Ram Sadhwani, Intel
WSG
WSG: New Architectures for Digitized Receivers
Sunday, 0800 – 1720
Sponsors: MTT-20, RFIC, MTT-23, MTT-9
Organizers: Didier Belot, ST Microelectronics - Crolles
Julien Ryckaert, IMEC
Abstract: The recent growth of circuit techniques that leverage the high-speed
capabilities of deep submicron CMOS devices is gradually renovating the architectures
of RF communication systems. The high-speed resources of digital circuits allow a
redistribution of wireless transceiver functionality among the analog and digital
domains. In a receiver, this trend leads to a complete repositioning of the analog
to digital conversion and a reassessment of the classical analog signal conditioning
that precedes it. Aiming at highly digitized receiver architectures, several disruptive
techniques have recently been proposed to reach this paradigm shift as will be presented
in this workshop. Today, most techniques use RF bandpass quantization noise shaping
inside the ADC to improve its resolution at RF. They use either LC bandpass filter stages,
VCOs or ZIF mixing to achieve this. Other techniques revisit the analog signal conditioning
and optimize the position of the sampling operation in the receive chain. Finally some
split the high speed ADC in multiple subconverters and use a DSP intensive back-end to
recover the signal. All these techniques have in common the attempt to bring the ADC as
close as possible to the antenna as was envisioned by Mittola. Leading to highly digitized
architectures, not only the benefits of scaled technologies are better exploited but also
the large reconfiguration capabilities of the DSP are optimally utilized.
1.“Time-based ADCs using VCOs for Digital Intensive RF Receivers”
SeongHwan Cho, KAIST
2.“Digital Radio Architectures: Where and How Sampling Can be Done”
Andre Mariano, Atlantic Innovation ES and University of Bordeaux
3.“Direct DS Receivers for Cellular Communications”
Kimmo Koli, Nokia
4.“Digitally Assisted RF-to-Digital Bandpass Converters for Broadband
Communication Systems”
Jose Silva-Martinez, Texas A&M University
5.“Delta-Sigma Digital Receivers with Millimeter-wave Sampling Clocks”
Theodoros Chalvatzis, Broadcom
6.“Wideband Sampling by Decimation in Frequency”
Martin Snelgrove, Kapik
7.“RF LC Bandpass Sigma-Delta ADCs with Finite Impulse Response Feedback
DACs”
Hassan Aboushady and Nicolas Beilleau, University of Pierre and Marie Curie, Paris
VI and Federal University of Rio Grande do Norte, Natal
8.“RF Bandpass Full Delta-Sigma Converters in Scaled CMOS”
Julien Ryckaert, IMEC
WSH
Sunday, 0800 – 1720
Design for Manufacturability and Self-Testability of RFICs
Sponsors:RFIC
Organizers: Jaber A. Khoja, Zoran
Oren Eytan Eliezer, Xtendwave
Bogdan Robert Staszewski, Delft University of Technology
Abstract: With the increased level of integration in today’s mixed signal SoCs, it has
become crucial for RFIC designers to minimize their production-testing costs, while
also guaranteeing high production yields, by applying design-for-manufacturability
(DfM) approaches early in the design phase. This is achieved through a combination of
design-for-testability (DfT) strategies and in particular built-in self-testing (BiST). The
DfT approach must allow for the various functions to be self-calibrated/compensated
in accordance with the expected process variations, thus eliminating costly parametric
tests that are prone to result in yield loss. The workshop will present recent approaches to
design and productization of RF circuitry, developed by industry leaders and academia,
including many examples demonstrating the implementation of these principles in highvolume products.
1.“The Need for Testing: Reliability Screening and Yield Enhancement”
Jaber A. Khoja, Zoran
2.“Production RF Testing”
Mustapha Slamani, IBM
3.“DFT and the IEEE 1149.4 Mixed-Signal Test Bus Standard”
Mani Soma, University of Washington
4.“Emerging Test Paradigms for Low-cost Production Testing of Analog/RF
Circuits”
K.T. Tim Cheng, Univ. of California, Santa Barbara
5.“On-Chip Calibration and Novel Performance Monitoring of RF Circuits”
Jose Silva-Martinez, Texas A&M University
6.“Design-for-Manufacturability in Low-Cost Mass-Volume RF SoCs”
Oren Eliezer, Xtendwave
7.“Production Tests and BIST for ADCs and DACs”
91
WORKSHOPS & SHORT COURSES
Stephen Sunter, Mentor Graphics
8.“RF-BIST in the RF-SoC Environment”
Robert Bogdan Staszewsk, Delft University of Technology
WSI
RF Bio-Medical Electronics and Sensors
Sunday, 0800 – 1720
Sponsors:RFIC
Organizers: Sayfe Kiaei, Arizona State University
Brian Otis, University of Washington
Abstract: RFIC & mixed-signal integrated devices have found significant and multifarious
applications in the broad bio-electronics and bio-medical domains over the past 30
years. Today, RFIC and mixed-signal systems are indispensable to the development of
many imaging applications, sensors, actuators, and related products. RF sensors and
analog/digital processing are critical to numerous bio-medical components, which
include medical implanted devices, embedded wireless sensors in the human body, and
external bio-marker sensors. This workshop will focus on the development of emerging
fully integrated sensors, RF medical implanted devices, neural sensors, and relevant
biomedical applications. This workshop will emphasize the latest innovations in RF and
mixed-signal processing in bio-electronics. The topics covered will focus on:
• Medical implanted communication system (MICS)
• Bio, molecular, and bio-chemical sensing
• RF bio-imaging
• Bio sensors and actuators
1.“System-Level Issues in Wireless Biomedical Systems”
Ben Calhoun, University of Virginia
2.“Wearable Sensor Electronics for Monitoring the Effects of Aging”
Patrick Chiang, Oregon State University
3.“Wireless Integrated Systems for Chemical and Electrical Neural Recording:
Challenges in High-Site-Density Brain Monitoring”
Pedram Mohseni, Case Western University
4.“Ultra-Low Power Miniaturized RF IC for Bio-Medical Applications”
Brian Otis, University of Washington
5.“Low-Power (1 mW) Multi-Purpose Sensor Node”
Julien Penders, Holst Centre/IMEC
6.“Harvesting Energy and Bidirectional Wireless Data Transmission for Implantable
BMI Applications”
Mohamad Sawan, Ecole Polytechnique de Montreal
7.“Micro-W Transceivers for Bio-Medical Application”
Thierry Taris, University of Bordeaux
8.“Wireless Power and Data Transfer for Implantable Medical Devices”
Stephen O’Driscoll, University of California, Davis
9.“Overview of Wireless Medical Implanted Devices”
Sayfe Kiaei, Arizona State University
WSJ
Sunday, 0800 – 1720
Systems & Circuits for Sensing, Co-Existence, and Interference Mitigation in
SDR and Cognitive Radios
Sponsors: RFIC, MTT-23, MTT-16, MTT-9
Organizers: Ramesh Harjani, University of Minnesota
Brian Sadler, Army Research Laboratory
Hossein Hashemi, University of Southern California
Jacques C. Rudell, University of Washington
Abstract: Cognitive Radios (CR) provide a new paradigm to improve spectrum efficiency
by enabling Dynamic Spectrum Access. In CR, spectrum holes that are unoccupied by
92
2
0
1
1
primary users can be assigned to appropriate secondary users as long as the interference
introduced by secondary users is not harmful to the primary users. The design of
cognitive radio networks is a complicated cross-layer procedure. The morning session
will motivate shared spectrum access and the necessary sensing algorithms that are
suitable for portable devices. The rest of the morning will focus on the many system
aspects of cognitive radios and Dynamic Spectrum Analysis. The afternoon presentations
focus on potential implementation issues surrounding highly-integrated CMOS
transceivers characterized by a high degree of programmability, low noise, high dynamic
range receivers and transmitters for CR applications, exploring topics ranging from
implementation of very wideband front ends to transceiver systems that are particularly
immune to interference.
1.“Introduction to the Workshop and Signal Sensing”
Ramesh Harjani, University of Minnesota
2.“Interference Tolerance as a New Principle for Spectrum Policy and Technology”
Preston Marshal, University of Southern California
3.“Shared Spectrum: An Overview of Sensing and Processing”
Brian Sadler, Army Research Laboratory
4.“Dynamic Spectrum Access Software Design”
Mark McHenry, Shared Spectrum
5.“Signal Processing Approaches for Spectrum Sensing: Theory and
Implementation”
Danijela Cabric, University of California, Los Angeles
6.“Mixed-Signal Parallel Compressive Spectrum Sensing for Cognitive Radios”
Sebastian Hoyos, Texas A&M University
7.“Joint Intro to the Topic of Co-Existence”
Chris Rudell and Hossein Hashemi, University of Washington and University of
Southern California
8.“RF Circuit Implementation Issues of Cognitive and Software Defined Radios”
Larry Larson, University of California, San Diego
9.“Wideband and Interferer Robust Software Defined Radio in CMOS”
Bram Nauta, University of Twente
10.“Transceiver Design for Interference-Robust Software-Defined Radios”
Jan Craninckx, IMEC
11.“Design Challenges in Radios for Emerging Broadband Wireless Systems”
Ranjit Gharpurey, University of Texas, Austin
WSK
Sunday, 1320 – 1720
Efficiency Enhancement Techniques of Power Amplifiers and Transmitters for
Mobile Applications
Sponsors: RFIC, MTT-23, MTT-5, MTT-6
Organizers: Youngwoo Kwon, Seoul National University
Nick Cheng, Skyworks Solutions
Abstract: With the proliferation of data services and smart phones, mobile phone
manufacturers are faced with unprecedented demands from mobile operators and
consumers. In particular, transmitter thermal issues and battery life are two major
challenges. Excessive current consumption of the power amplifiers often results in the
overheating, which may even affect the industrial design of the phones. The added
features in smart phones and extensive data usage call for frequent recharges. Thermal
and battery issues will become increasingly difficult to solve at the phone level as the
industrial design gets more complex and the data rates continue to increase. Efficiency
improvement of power amplifiers and transmitters is the only solution. This workshop
will explore novel design techniques and front-end topologies that enhance the
efficiencies of transmitters and power amplifiers, and move on to the topical issue of
“envelop tracking”, which has the potential for proliferation into handset applications.
Growing interest in CMOS PAs will also be covered, focusing on design techniques that
overcome the linearity and efficiency limitations of CMOS devices. Both wireless LAN and
2G/3G applications will be covered.
2
0
1
1
WORKSHOPS & SHORT COURSES
1.“Front End Topologies and PAE Enhancement Techniques”
James Young, Skyworks Solutions
2.“Highly Efficient RF Front End Using Envelope Tracking Techniques”
Don Kimball, University of California, San Diego
3.“Design of Si-Based High-Efficiency RF Power Amplifiers and Polar Transmitters
for Mobile Broadband Wireless Communications”
Donald Lie, Texas Tech University
4.“RF CMOS PAs for Mobile Communications”
Songcheol Hong, KAIST, Korea
5.“High Power, Highly Linear CMOS Power Amplifier for WLAN Applications” Ali
Afsahi, Broadcom
SUNDAY SHORT COURSES 5 June 2011
SC-1
Sunday, 0800 – 1720
Techniques and Realizations of Microwave and RF Filters
Instructors: Pierre Jarry, Bordeaux University
Jacques Beneat, Norwich University
Topics: • Fundamental principles of filter synthesis and design
• Synthesis methods (minimum phase, non-­minimum phase with
symmetrical and asymmetrical responses, and phase/group delay
oriented filters)
• Design and realizations of minimum-­phase filters (capacitive gap,
interdigital, combline, straight and folded evanescent-­mode waveguide
filters)
• Design and realizations of non-­minimum phase filters with symmetrical
responses (generalized interdigital, TE011 cavity filters, group delay
optimized filters)
• Design and realizations of non-­minimum phase filters with asymmetrical
responses (CGCL, dual mode cylindrical, dual mode rectangular, building
block filters and genetic optimization)
• Design and realizations of diplexers and multiplexers
• Design of dual-­band and triple-­band filters
Drawing from research work sponsored by the European Space Agency, French
government agencies, and several international corporations, this course provides
modern methods of design, synthesis, and realization for over 25 different types of
microwave filters and multiplexers operating over a frequency range from 1 GHz to 35
GHz. Students will learn the fundamentals of filter design, as well as modern techniques
for the synthesis and practical realization of an assortment of high performance
minimum-­and non-­minimum-­phase filters, dual-­and triple-­band filters, diplexers, and
contiguous-­band multiplexers. A variety of planar and non-­planar technologies will be
discussed, including suspended-­substrate stripline, single-­and multi-­mode cavities, and
evanescent-­mode waveguide. Students of the course will be eligible for a significant
discount from Wiley-­IEEE on the purchase of the instructors’ recent book “Advanced
Design Techniques and Realizations of Microwave and RF Filters,” on which this course
is based.
The course starts with a concise recall of the fundamental principles that can be applied
to the synthesis and design of microwave and RF filters. It then goes into the complete
analysis, modeling, and design methodology for several microwave structures used for
filtering. Summarized design steps and sample filter realizations as well as measured
responses are provided so that RF and microwave engineers can have an appreciation for
each filter in view of their needs. This approach produces a coherent, practical and real-­
life treatment of the subject. The course is therefore theoretical but also experimental
with over 20 microwave filter realizations. In addition, the complexity of the filters and
design techniques increases as the course progresses so that students with various
backgrounds can benefit from this short course.
Pierre Jarry is a Senior Member of the IEEE and has over 30 years of research experience
with microwave filter and amplifier design. He received Master (Physics, 1969) and
Doctorate (Electronics, 1974) degrees from University of Limoges and began microwave
research at University of Limoges and Dublin University. He was later appointed
Professor at the University of Brest, where he directed the Laboratory of Electronics and
Telecommunication Systems (LEST) affiliated with the French National Science Research
Center (CNRS). He is currently a Research Professor at the University of Bordeaux and the
CNRS laboratory IMS (Intégration du Matériau au Système).
Jacques Beneat is an Associate Professor of Electrical & Computer Engineering at Norwich
University. He received the PhD degree in electrical and computer engineering from
Worcester Polytechnic Institute (WPI) in 1993 with a focus on advanced microwave
structures for satellite communications and received the Doctorate degree from
University of Bordeaux in 1994 with Mention Très Honorable avec Félicitations du Jury.
From 1997 to 2002, he was a research scientist at the Center for Wireless Information
Network Studies at WPI, working on projects in indoor radio propagation measurements
and modeling for emerging wireless networks.
SC-2
Sunday, 0800 – 1720
Nonlinear Dynamics and Stability Analysis/Design of Microwave Circuits
Instructors: Almudena Suárez, University of Cantabria
Christopher Silva, The Aerospace Corp.
Topics: • Why this course? Impact of instabilities on circuit design
• Traditional microwave circuit stability analysis and its limitations
• Introduction to classical dynamical systems, bifurcation, and stability
concepts
• Fundamentals of harmonic-­balance and time-­domain analysis, special
problem of oscillations
• Survey of local stability analysis in the time and frequency domain
• Large-­signal stability analysis and parametric oscillations
• Global stability analysis: hysteresis, noisy precursors, noise sideband
amplification, chaos
• Stabilization techniques with applications to power amplifiers and
oscillators
• The flip side – Exploitation of nonlinear dynamics: chaos and bifurcation
engineering
• Live demonstration of spectrum-­analyzer-­based diagnostics for
bifurcations and unstable behaviors
• Hands-­on simulation exercises on nonlinear stability analysis
This course will help designers reconcile the discrepancies between simulations and
measurements of nonlinear microwave circuits. These discrepancies often increase
production cycles and final costs and can result in mission critical anomalies. In addition
to studying nonlinear circuits, students will learn to distinguish between different types
of steady-­state solutions, identify instability problems through small-­and large-­signal
stability analysis, and understand the dynamical mechanisms responsible for instabilities.
Stability analysis techniques will be presented and compared. The course will center on
the fundamental phenomenon of an abrupt change in the solution, termed a bifurcation,
observed for a microwave circuit when a parameter, such as a bias voltage, is continuously
modified. Types of bifurcations will be presented and classified, so that the designer
may identify the bifurcation phenomena in measurement and simulation. Examples of
instability will be presented for power amplifiers, frequency multipliers and dividers, and
voltage-­controlled oscillators. The stability analysis procedure, the impact of instability on
measured performance, and techniques for stabilization will be demonstrated.
Lectures will be accompanied by hands-­on simulation exercises in which stability
analysis and control techniques will be demonstrated using commercial software, as
well as by hardware demonstration using a spectrum analyzer. An important advantage
of these techniques is that they can be readily implemented and applied by current
93
WORKSHOPS & SHORT COURSES
users of commercial harmonic balance simulation tools. Specifically, these techniques
can be used in a supplementary manner without requiring a customized program,
only the evaluation of some functions extracted from the commercial simulation tool.
The attendee will acquire the formal knowledge and set of systematic and practical
techniques to develop robustly stable nonlinear designs. Students of the course will be
eligible for a significant discount from Wiley-­IEEE on the purchase of Prof. Suárez’s recent
book “Analysis and Design of Autonomous Microwave Circuits,” on which this course is
primarily based.
Almudena Suárez received a PhD in electronic physics from University of Cantabria in
1992 and PhD in electronics from University of Limoges in 1993. She is currently a Full
Professor in University of Cantabria’s Communications Engineering Department. She was
an IEEE Distinguished Microwave Lecturer on the topic of “Global Stability Analysis and
Stabilization of Power Amplifiers” from 2006 to 2008. She specializes in the development
of nonlinear simulation tools, stability analysis in small-­and large signal regimes, and
phase-­noise analysis of oscillator circuits.
Christopher P. Silva is a Fellow of IEEE and a Senior Member of AIAA. He received the B.S.,
M.S., and Ph.D. degrees in electrical engineering from University of California, Berkeley,
in 1982, 1985, and 1993, respectively. He joined the Electronics Research Laboratory
of The Aerospace Corporation in 1989 and is now a Senior Engineering Specialist in
the Communication Electronics Department, where he has led projects on nonlinear
microwave CAD, private/secure communications and radar by means of chaos, stability
analysis of nonlinear circuits, and the measurement, modeling, and compensation of
nonlinear satellite communications channels.
2
0
1
1
MONDAY WORKSHOPS 6 June 2011
WMA
Monday, 0800 – 1720
High Efficiency, Linear Power Amplifier Technology: Ka-, Q-band and Beyond
Sponsors: MTT-5, MTT-6
Organizers: Ed Viveiros, Army Research Laboratory
Joe Qiu, Army Research Laboratory
Allen Katz, Linearizer Technology Inc. / College of NJ
Abstract: Modern communications systems, both commercial and military, place
stringent requirements on power amplifier output power, efficiency, and linearity as well
as size, weight, and power (SWaP). Today’s systems typically have to back off the output
power to achieve a desired linearity sacrificing efficiency. This workshop will present
technologies and techniques aimed at achieving simultaneous high power, efficiency,
and linearity at Ka-, Q-band and above. Advances in power amplifier technology will be
presented including the latest developments in GaAs, GaN, Si, and vacuum electronics.
Power and efficiency enhancement techniques such as novel power-combining and
linearization will also be included.
1.“Linearity and Efficiency Requirements for Transmitters in Millimeter-Wave
Communications”
H. Alfred Hung, Army Research Laboratory
2.“Vacuum Electronics Power Amplifiers at Ka-Q-band and Beyond”
Joe Qiu, Army Research Laboratory
3.“High Efficiency Ka/Q Band PHEMT Power Amplifier MMICs”
James Komiak, BAE Systems
4.“Si-based mmW Power Amplifiers and DARPA ELASTx Program”
Peter Asbeck, UCSD
5.“Spatial Power Combining Techniques for Millimeterwave Power Amplifiers”
Mike Delisio, Wavestream
6.“Q/V-Band Linear Power Amplifiers using Envelope Tracking and Digital
Predistortion”
James Shellenberg, Quinstar
7.“Advances in Power Amplifier Linearization for Ka-, Q-band and Beyond” Allen
Katz, Linearizer Technology Inc. / College of NJ
8.“Linearity Improvement of GaN MMIC Power Amplifiers at Reduced Output
Power Backoff”
Paul Blount, Custom MMIC Design Services Inc.
WMB
Monday, 0800 - 12:00
Nanotechnologies for Microwave Interconnects and Packaging
Sponsors: MTT-12, MTT-25
Organizers: Karl Varian, Raytheon Company
Anh-Vu Pham, University of California, Davis
Abstract: Nanotechnologies have become increasingly important to the next
generation electronic hardware and systems. The goal of this workshop is to present
specific examples of nanotechnologies that have tremendous impact on microwave
interconnects and packaging. In this workshop, we will present techniques to fabricate
carbon nanotubes for microwave applications. We will discuss the challenges in
measuring electrical properties of carbon nanotubes from DC to 40 GHz and the
understanding of the transport characteristics. We will then cover various applications
including microwave inkjet-printed interconnects, RFID tags, gas sensors, smart skins,
and die-attachment materials for microwave power transistors.
1.“Printed CNT RF Network and Interconnects”
Sebastien Pacchini, LAAS-CNRS, France
94
2
0
1
1
WORKSHOPS & SHORT COURSES
2.“Inkjet-Printed Interconnects, 3 D Packages and Ultrasensitive Wireless Sensor”
Manos Tentzeris, Georgia Institute of Technology
3.“Printed Nanoelectronics for RF and Microwave Applications,”
Peter Burke, University of California, Irvine
4.“Process and Reliability Advantages of Room Temperature Power Amplifier”
Seth Homer, Indium Corporation
WMC
Monday, 0800 – 1720
Practical Compression, IMD, Load Pull and Behavioral Modeling Measurements
Sponsors: MTT-11, MTT-5, ARFTG
Organizers: Jon Martens, Anritsu
Nuno Borges Carvalho, IT – Universidade de Aveiro
Abstract: While non-linear measurements and modeling are an increasingly important
part of modern RF/microwave design practice, there are many practical subtleties that
are rarely covered in the literature and often not well understood. In this workshop,
aimed at those with some microwave measurement experience but looking to expand
their knowledge, the main focus will be on the use and understanding of measurement
instrumentation for nonlinear circuit characterization and modeling. The talks will cover
basic measurement methodology (including practical issues and pitfalls) and will explore
more complex measurements for nonlinear circuit evaluation and characterization.
Several instrumentation problems applied to intermodulation distortion (IMD)
characterization, load pull measurements and behavioral modeling schemes will be
discussed with practical tips and live measurements.
1.“Practical AM/AM, AM/PM and IMD Measurement Issues”
Jon Martens, Anritsu
2.“Measurements for Nonlinear Circuit Characterization”
Nuno Borges Carvalho, IT – Universidade de Aveiro
3.“Nonlinear Models – Parameter Extraction Problems”
Dominique Schreurs and Marc Van den Bossche, Leuven University
4.“Load Pull Systems: Active and Passive Tuners and Multiharmonic Issues”
Andrea Ferrero, Politecnico di Torino
5.“Practical High Power Load Pull Measurements and Challenges”
Basim Noori, NXP Semiconductor
WMD
Monday, 0800 – 1720
Laboratory Class: Wafer-Level S-Parameter Calibration Techniques
Sponsors: MTT-11, MTT-7, ARFTG
Organizers: Andrej Rumiantsev, Cascade Microtech, Germany
Abstract: The main objective of this workshop is to build a foundation of theoretical
knowledge and practical experience in state of the art wafer-level S-parameter
calibration and measurement techniques. We will re-create the laboratory environment
in the workshop classroom. The attendees will have a possibility to exercise off-line
calibration, error correction, and data post processing using their own laptops and
data sets provided by lectures. The workshop attendees will leave with a practical
and in-depth understanding of the calibration theory and the best-known practices in
operating calibration software packages. The workshop will address two-port, multiport
and differential measurements. It will also cover specifics of calibrating on silicon wafers,
statistical calibration approaches and error analysis. The analysis will be presented
from both metrological and engineering perspectives. Special attention will be given
to the common misconceptions and errors. We will focus on creating a laboratory-like
environment with enough time to do practical exercises, supported with theory.
2.“On-wafer Interconnect Measurements on Silicon”
Uwe Arz, PTB
3.“S-parameter Calibration of Two-port Setup: How to Choose the Optimal
Calibration Method”
Andrej Rumiantsev, Cascade Microtech
4.“Wafer-level Calibration for Multiport and Differential Measurements”
Leonard Hayden, Cascade Microtech
WME
Monday, 0800 – 1720
Simulation- and Surrogate-Driven Microwave Design Technology
Sponsors: MTT-1, MTT-15
Organizers: John W. Bandler, Bandler Corporation
Slawomir Koziel, Reykjavik University
Abstract: This workshop addresses rapid advances in the related arts of simulation- and
surrogate-driven microwave CAD technology for effective modeling and optimization
of microwave and associated circuits. The new directions exploit adjoint sensitivity
information available from advanced electromagnetic simulators, sophisticated
modeling algorithms and software systems, multilevel co-simulation strategies, space
mapping, and more. Our objective is to assist the microwave engineer in achieving
convergent design solutions while avoiding computationally expensive simulations, all
without sacrificing the simulation accuracy available from high-fidelity electromagnetic
simulations. We illustrate the material through RF and microwave designs, antenna
designs, photonic device designs, and inverse problems for industrial and biomedical
applications. We examine surrogate modeling, design closure, port tuning, and perfectly
calibrated ports. We review advances in artificial neural network modeling and spacemapping-based modeling and optimization. We address macromodeling, mixed linear/
nonlinear simulation, and multilevel field/circuit co-simulation. We review approaches
to image reconstruction through microwave tomography and demonstrate the power of
adjoint sensitivity analysis in detecting electrically small scatterers (tumors) as well as
full image reconstruction. We review the latest developments for enhancing full-wave
electromagnetics-based design through adjoint response sensitivities, and consider
gradient-based optimization in both the frequency and time domains.
1.“A Framework for Implementing Various Techniques for EM Based Optimization”
Peter Thoma, CST
2.“Fast and Memory Efficient Time Domain Adjoint Sensitivities and Their
Applications”
Mohamed Bakr, McMaster University
3.“Microwave CAD Using Surrogate Optimization and Space Mapping”
John Bandler, Bandler Corporation
4.“Fast Accurate Microwave Filter Design Using a New EM Tuning Method”
Jeff Kahler, NuHertz
5.“Antenna Design through Simulation-Driven Optimization”
Slawomir Koziel, Reykjavik University
6.“EM-based Design Optimization of RF and Microwave Circuits Using Functional
Surrogate Models”
José E. Rayas-Sánchez, ITESO
7.“Exploiting Electromagnetic Simulations in Real-time Imaging and Detection
Algorithms”
Natalia K. Nikolova, McMaster University
8.“Surrogate-based Microwave Modeling and Design”
Tom Dhaene, Ghent University
9.“Neural Network Based Parametric EM Modeling and Optimization”
Qi-Jun Zhang, Carleton University
1.“NIST wafer-level S-parameter Calibration Techniques and Software
Demonstration”
Dylan Williams, NIST
95
WORKSHOPS & SHORT COURSES
WMF
Monday, 0800 – 1720
Challenges and Techniques of Magnetic Resonance Imaging (MRI) Systems
Sponsors: MTT-17, MTT-10
Organizers: Robert Caverly, Villanova University
Abbas Omar, University of Magdeburg
William E. Doherty , Microsemi-Lowell
Anand Gopinath, University of Minnesota
J. Thomas Vaughan, University of Minnesota
Abstract: This workshop will focus it on current problems and developments in the
MR Imaging field. The workshop offers attendees who have not been involved in MRI
before an outstanding opportunity to understand its concept and contribute with their
expertise to the improvement and optimization of high-field MRI systems. Better image
resolution and higher tissue contrast are achieved by increasing the strength of the
static magnetic field B0. Scanners with B0 > 4 Tesla (fL > 160MHz) are categorized as
“High Field”. Arrangements for excitation and reception of the B1 field become now real
RF structures with propagation effects and the involvement of an appreciable electric
field. The tutorial will present material covering the RF design practice used in Magnetic
Resonance Scanners with a focus on circuit design issues involved in developing
components used within the high magnetic field (7 Tesla and higher in research magnets)
encountered in the bore of the MRI magnet.
1.“Overview on the Fundamentals of Magnetic-Resonance Imaging (MRI)”
Abbas Omar, University of Magdeburg
2.“RF Technology and Techniques for Highest Field MR”
J. Thomas Vaughan, University of Minnesota
3.“Detecting and Minimizing Implant Antenna Reactions with Multi-Transmit MRI”
Greig Scott, Stanford University
4.“Simulations for and of Magnetic Resonance Imaging”
Christopher Collins, PSU College of Medicine
5.“Continuous Antenna Structures for Parallel MRI”
Yudong Zhu, New York University
6.“B1+ Inhomogeneity in High Field Magnetic Resonance Imaging (MRI)”
Anand Gopinath, University of Minnesota
7.“Electronics for NMR/MRI Transceivers”
Matthew Cummings, Cummings Electronics Labs, Inc
8.“The Role of Magnetic Compatible Electronics in Cost Reduction, Space Reduction, and
Improved Image Quality in Magnetic Resonance Imaging Systems”
Ronald Watkins and William Doherty, Stanford University and Microsemi-Lowell
WMG
Monday, 0800 – 1720
Recent Developments in Microwave Imaging and Detection
Sponsors: MTT-10, MTT-15, MTT-11
Organizers: Natalia K. Nikolova, McMaster University
Aly Fathy, University of Tennessee
Abstract: Microwaves have been used successfully for target detection and for imaging
of dielectric bodies. The relatively long wavelength allows for penetration into optically
opaque materials such as living tissue, clothing, wood, ceramics, concrete, soil, etc.
Alongside long-range radar techniques, important recent developments focus on shortrange applications in biomedical imaging, through-wall imaging, concealed weapon
detection, and non-destructive testing. The possibility of using the ultra-wide band from
3.1 GHz to 10.6 GHz and the advent of a new generation of high-speed oscilloscopes and
waveform generators spurred new wave of activity in this research area. This workshop
brings together researchers and designers involved in near- to medium-range imaging
and detection using microwaves. The applications of microwave imaging are various and
seemingly disjoint; however, the mathematical methods and the test instrumentation
have much in common.
96
2
0
1
1
1.“Microwave Near-field Imaging of Human Tissue: Hopes, Challenges, and
Outlook”
Natalia K. Nikolova, McMaster University
2.“Microwave Imaging of the Breast: Recent Experience with Patient Studies at the
University of Calgary”
Elise Fear, University of Calgary
3.“Design, Construction and Validation of a UWB Multi-static Imaging System for
Breast Cancer Detection”
Ian Craddock, University of Bristol
4.“Microwave Imaging Techniques for Ground Penetrating Radar”
Abbas Omar and B. Panzner, Otto-von-Guericke University Magdeburg
5.“Sub-wavelength Focusing and Imaging Using Shifted-beam and
Superoscillation Structures”
George V. Eleftheriades, University of Toronto
6.“A Complete Simulation Platform for UWB Through Wall Imaging System”
Aly Fathy and Yazhou Wang, The University of Tennessee
7.“Wall Mitigation, Subsurface Tomography, and Polarimetric Imaging Techniques
in Through-the-Wall Imaging Radar”
Ahmad Hoorfar, Villanova University
8.“Radar Polarimetry and Time-frequency Analysis of Walking Bodies”
Kamal Sarabandi and Mehrnoosh Vahidpour, University of Michigan
9.“Impulse SAR and its Application for Through-the-Wall Imaging”
James Tatoian, Eureka Aerospace Corporation
WMH
Monday, 0800 – 1720
Flexible, Autonomous RFID-Enabled Sensors: Novel Applications, Energy
Harvesting and Integration Challenges
Sponsors: MTT-24, MTT-16
Organizers: Apostolos Georgiadis, Centre Tecnologic Telecomunicacions de Catalunya
Emmanouil Tentzeris, Georgia Institute of Technology
Li Yang, Texas Instruments
Luca Roselli, University of Perugia
Gerald DeJean, Microsoft
Abstract: Emerging communication systems point towards the internet of things, a
new era of ubiquitous sensor networks where RFID tags and sensor circuitry are used
to interconnect numerous objects able to constantly provide useful information to the
network users. Such nodes, consisting of RFIDs and RFID enabled sensors are being used
in an increasing number of applications including identification, tracking, monitoring,
logistics and security. As a result, there is a growing need for low power, energy efficient,
self-sustainable and environmental friendly nodes. Within this context, the design of
an energy harvesting unit that provides autonomy to the sensor nodes is a critical issue.
Low cost, low profile and conformal nodes are being sought that utilize novel flexible
substrate materials such as paper and textiles. This workshop addresses the challenges
and application of flexible substrate materials such as paper, PET and textiles, and novel
technologies such as inkjet printing, towards the design of low cost, high performance,
conformal RFIDs and sensors. Fundamental energy harvesting technologies such as
solar, piezoelectric and electromagnetic will be reviewed and design methodologies
and implementation challenges of harvesting units will be presented. Novel systems
and emerging applications will be reported such as RFDNA, bio-monitoring, monitoring
of patients in hospital and home care and of rescue workers and soldiers during
interventions.
1.“Towards Energy Autonomous RFID Enabled Sensors: State of the Art and
Challenges”
Apostolos Georgiadis, Centre Tecnologic Telecomunicacions de Catalunya
2.“Integrated design of RF Energy Harvesting Systems and Converters for Wearable
Applications”
Alessandra Costanzo, University of Bologna
2
0
1
1
WORKSHOPS & SHORT COURSES
3.“Hybrid Electromagnetic / Solar Energy Harvesters on Flexible Substrates for
Autonomous Sensors and RFIDs”
Ana Collado, Centre Tecnologic Telecomunicacions de Catalunya
4.“Wearable Battery-free Active RFID Tag with Piezoelectric Energy Harvester”
Li Yang, Texas Instruments
5.“Inkjet-printed RFID-enabled Sensors for Cognitive, Positioning and
Biomonitoring Applications”
Emmanouil Tentzeris, Georgia Institute of Technology
6.“Towards Robust Wearable Antenna Systems for Reliable and Energy-efficient
Offbody Communication”
Hendrik Rogier, Ghent University
7.“Towards the Design of Paper-based Physically-Secure RFIDs”
Gerald DeJean, Microsoft
8.“3D Paper Printed Harmonic RFID-enabled Sensor Concept”
Luca Roselli, University of Perugia
WMI
Monday, 0800 – 1720
Current state of Hexaferrite Materials and Their Applications
Sponsors: MTT-13, MTT-8, MTT-20
Organizers: Karen Kocharyan, Anaren Microwave, Inc.
Thomas Lingel, Anaren Microwave, Inc.
Abstract: The principal interest in hexaferrites has been for use as a self-biased
ferrite medium in nonreciprocal microwave devices (circulators, isolators, and phase
shifters), for which the elimination of permanent magnets reduces the size and
weight. Unfortunately, higher insertion losses have prevented large scale commercial
introduction of this material for devices. What are the prospects for the ferrite industry
to fully exploit these materials? Are we limited by modeling, design, materials science,
or simply a resistance to new products? This workshop will discuss whether hexaferrite
materials will always remain the “material of the future” or whether the time for broadly
based applications is “today”. The speakers will cover historical aspects as well as new
developments in the area of hexaferrite materials and their applications. We will identify
which materials have found their way into actual commercial applications and also
what prevented certain implementations. Throughout the workshop the potential of a
commercial use of hexaferrite material will be emphasized.
1.“Introduction and Review of Hexaferrite Materials”
Karen Kocharyan, Anaren Microwave, Inc.
2.“M- and Z-Type Hexaferrites for Microwave Application”
Andrey V. Nikiforov, Ferrite Domen Company, St.Petersburg, Russia
3.“The Effect of Minor Additives and Powder Processing Techniques on the
Permeability Spectrum of Sintered Hexagonal Ferrite Ceramics for Antenna
Applications”
Michael Hill, Trans-Tech Inc.
4.“Advances in Technological Applications of Hexagonal Ferrites”
Marina Y. Koledintseva, Missouri University of Science & Technology
5.“Hexaferrite Materials and Devices for Millimeter-wave and Sub-millimeter-wave
Applications”
Duncan A. Robertson, University of St Andrews
6.“On the Determination of the Permeability Tensor of Magnetized Materials:
Application to the Design of Nonreciprocal Microwave Devices”
Patrick Quéffélec, University of Brest, France
7.“The Design, Processing, and Integration of Self-biased Hexaferrites into
Microwave and mm-wave Circulators”
Vincent G. Harris, Northeastern University
8.“Hexaferrite Circulator Analysis and Design: Challenges and Opportunities”
Jeffrey L. Young, University of Idaho
9.“Novel Planar Millimeter Wave Filters and Phase Shifters Based on Barium
Hexagonal Ferrite Thin Films”
Mingzhong Wu, Colorado State University
WMJ
Monday, 1320 – 1720
Compact Equivalent Circuits and Table Based FET Models - Is There One Winner
for Circuit Designers and Foundries?
Sponsors: MTT-1, MTT-7, MTT-5
Organizers: Mike Golio, Golio Consulting
Iltcho Angelov, Chalmers University of Technology
Abstract: Nonlinear models can be separated into three categories: physical models,
table based models (TBM) and empirical compact models (ECM). Today, the bulk of
nonlinear modeling for circuit design applications is accomplished using ECMs, but recent
developments of more robust TBMs could impact that dominance. This workshop will
examine the strengths and weaknesses of both TBM and ECM approaches in terms of
measurement requirements, computation complexity, model accuracy, required expertise,
and cost. The speakers will discuss how these strengths and weaknesses lead to favored
applications of each modeling approach. They will discuss both the current and future
demand for each modeling approach, presenting recent results on modeling different
devices. Discussion of automated extraction of small signal models, importance of statistical
estimation of FET small signal model parameters, covariance of model parameters, compact
modeling of switch devices, and the role of LSVNA for characterization and modeling will be
included. Some examples of mixed modeling approaches will also be presented.
1.“Current and Future Demands for Nonlinear Modeling for Microwave Applications”
Iltcho Angelov, Chalmers University of Technology
2.“High Efficiency Power Amplifier Design Using Switch Mode Optimized Transistor
Models”
Christian Fager, Chalmers University of Technology
3.“Commercial Model Development and Customer Modeling Requirements”
Larry Dunleavy, Modelithics
4.“Applications on how Table Based Models Complement Compact Device
Modeling”
Dave Halchin, Joe Gering and Bill Clausen, RFMD
5.“Nonlinear Modeling Challenges for High-power RF Transistors – Modeling
Perspective”
John Wood, Freescale Semiconductor
6.“Nonlinear Modeling Challenges for High-power RF Transistors – Design
Perspective”
Gayle Collins, Freescale Semiconductor
WMK
Monday, 0800 – 1720
High Power Effects on Passive Microwave Components
Sponsors: MTT-8, MTT-5
Organizers: Vicente E. Boria, Technical University of Valencia
Ming Yu, COM DEV
Abstract: Presently, many communication systems are increasingly demanding
higher power transmission levels. For instance, on-board satellite payloads must deal
with larger bandwidth channels operated at higher frequency carriers, as well as
being integrated by more compact and lighter passive components, thus increasing
the risks of undesired RF discharge effects (such as multipaction and corona), passive
intermodulation (PIM) and thermal related issues. Moreover, radar systems can also face
such problems (i.e. corona at ambient pressure) due to increased power transmission
levels. High power effects such as PIM should be carefully considered at the front ends
of present mobile communication systems, which must operate in multiple frequency
bands and support many carriers without compromising the performance of the involved
passive equipment. This workshop will cover recent advancements in the areas of
theory, modeling and experimental verification of high power effects (i.e. multipaction
and ionization breakdown, PIM and power handling related issues) present at passive
microwave equipment widely used in several modern communications systems.
97
WORKSHOPS & SHORT COURSES
1.“Introduction to High Power Effects on Passive Microwave Components”
Ming Yu, COM DEV
2.“The European High Power RF Laboratory: A Successful European Partnership
between ESA and VSC”
David Raboso, ESTEC-ESA, European Space Agency
3.“RF Breakdown Prediction by 3D Electromagnetic Software Tools”
Carlos P. Vicente and Vicente E. Boria, Aurora Software and Testing, S.L., Technical
University of Valencia
4.“Microwave Multipactor Breakdown in Open Two-Wire Transmission Systems”
Joel Rasch and Dan Anderson, Chalmers University of Technology, RUAG Space AB,
Institute of Applied Physics (Russian Academy of Sciences)
5.“Numerical Modeling and Experimental Characterization of Microwave
Breakdown in Waveguide Filters”
Kamel Frigui and Dominique Baillargeat, XLIM, Université de Limoges
6.“Wide Passband, Wide Stopband, High Power Bandpass Filters”
Dick Snyder and W. Fathelbab, RS Microwave, Inc.
7.“New Developments in Designing Helical Resonator Filters with Improved Power
Handling Capabilities for Space Applications”
Savvas Kosmopoulos and George Goussetis, Space Engineering S.p.a., Queen’s
University Belfast
8.“Distributed PIM Phenomena in Printed Circuits: Mechanisms, Characterization
and Measurements”
Alexander Schuchinsky, Queen’s University Belfast
9.“High Power Issues on ESA Deep Space Ground Stations”
Salvador Martí, ESOC-ESA, European Space Agency
2
0
1
1
MONDAY SHORT COURSES6 June 2011
SC-3
Noise in Electromagnetic Circuits and Systems
Monday, 0800 – 1200
Instructors: Peter Russer, Technische Universität München
Andreas Cangellaris, University of Illinois, Urbana-­Champaign
Topics: • Signal representation in time and frequency
• Fundamentals of electromagnetic fields
• Representation of stochastic signals and fields
• Propagation of stochastic fields
• Network methods in noisy electromagnetic field computation
• Methods for numerical simulation of noisy fields
• Near-­field characterization of noise emiiters
• Near-­to-­far-­field transformation and environmental modeling of noisy
electromagnetic systems
• Noise in antennas, antenna systems, and wireless communications
• Radiated electromagnetic interference in electronic systems
• Measurement techniques
There is a growing importance of comprehending the impact of electromagnetic noise
and interference in the robust design of highly-­integrated, mixed-­signal electronics for
modern computing, communication, and sensing systems. The objective of this course
is to provide an understanding of basic theoretical concepts and of the application of
modeling tools and measurement capabilities in the noise-­aware design and integration
of state-­of-­the-­art electronic systems. In particular, students will gain a working
knowledge of the modeling of radiated noise and electromagnetic interference of devices
and systems using numerical and analytic network methods and network modeling
tools. Theoretical concepts, measurement techniques, and applications (antennas and
antenna systems, wireless communications, and general electronic systems) will be
presented and numerical examples will be discussed.
Peter Russer was Professor and head of the Institute for High Frequency Engineering at
the Technische Universität München (TUM), Germany, from 1981 to 2008. He has been
Professor Emeritus since 2008 and has been with the Institute for Nanoelectronics of the
Technische Universität München since 2010. He received the 1979 NTG best paper award,
the IEEE MTT Society’s 2006 Distinguished Educator Award, and the 2009 Distinguished
Service Award from the European Microwave Association. In 1994 he was elected to the
grade of Fellow of the IEEE for “Fundamental Contributions to Noise Analysis and Low-­
Noise Optimization of Linear Electronic Circuits with General Topology”. In 2007 he co-­
founded GAUSS Instruments, which produces systems for time domain electromagnetic
interference systems.
Andreas Cangellaris is a Fellow of the IEEE and is M. E. Van Valkenburg Professor and
Head of the Department of Electrical and Computer Engineering (ECE) at the University
of Illinois, Urbana-­Champaign. His awards include the ECE Department‘s Outstanding
Teaching Award and the Alexander von Humboldt Research Award from the Alexander von
Humboldt Foundation for contributions to applied and computational electromagnetic
field theory. He is currently a Distinguished Microwave Lecturer for the IEEE Microwave
Theory and Techniques Society and serves as a consultant to the electronics industry
on RF/microwave circuit design; electronic CAD tools; component-­and system-­level
electromagnetic compatibility; and noise-­aware design, integration and packaging of
high-­speed/high-­frequency integrated circuits.
98
2
0
1
1
SC-4
Low Phase Noise Oscillators: Theory and Design
WORKSHOPS & SHORT COURSES
Monday, 0800 – 1200
Instructor: Jeremy Everard, University of York
Topics: • Feedback and negative resistance oscillator phase noise theory
• Optimum operating conditions
• Flicker noise effects: modeling, measurement and reduction
• Oscillator tuning and its effect on phase noise
• Generic design rules for low noise oscillators
• Oscillator designs: LC, crystal, helical, coplanar, SAW, CRO, DRO
• Phase noise measurements: phase detector, cross correlation and direct
digital measurement
This half-day course will present the theory, underlying principles, and latest techniques
for the design of state-of- the-art low-noise oscillators. Detailed design discussions will
cover oscillators with exceptional performance operating from 10MHz to 10GHz using
using a variety of different resonators: LC, crystal, SAW, helical, printed- helical, coplanar,
ceramic transmission line (CRO), and dielectric (DRO). Material new to this year’s course
includes simplified accurate phase noise theory for negative resistance oscillators and a
longer description of measurement systems and a cross correlation system with a noise
floor below -200dBc. Students will be provided with a copy of the presentation and a
disk containing the specific complete software required for simulation of the resonator
(including parasitics) and simulation of phase noise. Students of the course will be
eligible for a significant discount from Wiley on the purchase of Prof. Everard’s book
“Fundamentals of RF Circuit Design: with Low Noise Oscillators,” on which much of this
course is based.
Jeremy K. A. Everard obtained his PhD from the University of Cambridge in 1983 and
currently holds the BAE Systems/Royal Academy of Engineering Research Professorship
in Low Phase Noise Signal Generation at the University of York. He has been designing
low noise oscillators for over 30 year at Marconi Research Laboratories, Philips Research,
MA-COM, the Universities of London and York. His group has now developed a number
of oscillator designs offering some of the best performance available in the world. For
example: 10MHz SC cut crystal oscillators with -123dBc/Hz at 1Hz and -149dBc/Hz at
10Hz and L-band (1.25GHz) DR oscillators with -173dBc/Hz at 10kHz, -180dbc/Hz at
50kHz offset and a noise floor below -186dBc/Hz.
SC-4A
Monday, 0800 – 1720
Low Phase Noise Oscillators: Theory, Design, and Laboratory
Instructor: Jeremy Everard, University of York
Jeffrey Pawlan, Pawlan Communications
Min Xu, University of York
Topics: This full-­day theory and laboratory course was so popular in 2009 and 2010 that it is
being offered this year with new material. In the morning the theory, underlying
principles, and latest techniques for the design of state-­of-­the-­art low-­noise oscillators
are presented. Five experiments using a battery-­powered kit will apply the morning’s
theory and techniques in the afternoon laboratory. The number of students is limited,
so please register early.
Detailed design discussions will cover oscillators with exceptional performance
operating from 10MHz to 10GHz using a variety of different resonators: LC, crystal, SAW,
helical, printed-­helical, coplanar, ceramic transmission line (CRO), and dielectric (DRO).
Material new to this year’s course includes simplified accurate phase noise theory for
negative resistance oscillators, new demonstrations of other types of oscillators using
the laboratory kit including a phase-­locked loop (PLL), and a longer description of
measurement systems and a cross correlation system with a noise floor below -­200dBc.
Students will be provided with a copy of the presentation and a disk containing the
specific complete software required for simulation of the resonator (including parasitics)
and simulation of phase noise. Students will also be provided with a battery-­powered
lab kit enabling them to design, simulate, build, and measure 100MHz fixed-­frequency
and tunable-­frequency low-­noise oscillators. The lab kit includes a low noise regulator, a
discrete transistor low residual flicker noise amplifier and a directional coupler to enable
accurate measurement of the RF power in the oscillator and a biased potentiometer for
the VCO. External connection of the lab kit to a PLL will be demonstrated and the phase
noise inside and outside the PLL loop bandwidth will be shown. Students should bring a
laptop computer (with a recent Java Runtime installed) to the afternoon lab class to do
designs and simulations in class. Students will measure the performance of their designs
using the latest equipment from Agilent, Rohde & Schwarz, and Symmetricom. Students
of the course will be eligible for a significant discount from Wiley on the purchase of Prof.
Everard’s book “Fundamentals of RF Circuit Design: with Low Noise Oscillators,” on which
much of this course is based.
Jeremy K. A. Everard obtained his PhD from the University of Cambridge in 1983 and
currently holds the BAE Systems/Royal Academy of Engineering Research Professorship
in Low Phase Noise Signal Generation at the University of York. He has been designing
low noise oscillators for over 30 year at Marconi Research Laboratories, Philips Research,
MA-­COM, the Universities of London and York. His group has now developed a number
of oscillator designs offering some of the best performance available in the world. For
example: 10MHz SC cut crystal oscillators with -­123dBc/Hz at 1Hz and -­149dBc/Hz at
10Hz and L-­band (1.25GHz) DR oscillators with -­173dBc/Hz at 10kHz, -­180dbc/Hz at
50kHz offset and a noise floor below -­186dBc/Hz.
Jeffrey Pawlan, of Pawlan Communications, and Min Xu, a graduate student at the
University of York, will assist Prof. Everard with the laboratory portion of the course in
the afternoon.
• Feedback and negative resistance oscillator phase noise theory
• Optimum operating conditions
• Flicker noise effects: modeling, measurement and reduction
• Oscillator tuning and its effect on phase noise
• Generic design rules for low noise oscillators
• Oscillator designs: LC, crystal, helical, coplanar, SAW, CRO, DRO
• Phase noise measurements: phase detector, cross correlation and direct
digital measurement
• Lab class using provided lab kit to perform five experiments
• non-­contact measurement of unloaded Quality Factor, Qo
• resonator design for correct QL/Qo
• simulate and measure the open loop resonator on PCB
• close oscillator loop, measure phase noise, compare with theory
• convert to VCO and measure phase noise
99
WORKSHOPS & SHORT COURSES
SC-5
Frequency Synthesizer Design Techniques
Monday, 0800 – 1720
Instructors: Lama Dayaratna, Lockheed Martin Commercial Space Systems
Peter White, Applied Radio Labs
Cicero S. Vaucher, NXP Semiconductors
Dean Banerjee, National Semiconductor Corporation
Ron Reedy, Peregrine Semiconductors Corporation
Topics: • Frequency synthesizer design
• Voltage controlled oscillators (VCOs)
• Phase detector circuitry
• Loop filter design
• Phase-locked loop design, simulation, and analysis
• Loop characterization
• Fractional-N loops
• Direct digital synthesis (DDS)
• Multi-loop synthesis
• Composite DDS / PLL solutions.
Technological achievements and manufacturing innovations during the last decade or so
have led to the widespread use of low-cost, high-performance frequency synthesizers.
The objective of this course is to provide a state of the art review of frequency synthesizer
design with special reference to low noise techniques. Taught in a series of presentations
by a team of industry experts, this hands-on course will provide a state-of-the-art review
of frequency synthesizers and phase-locked loops from a design and development
perspective. It is designed to be rigorous where appropriate, while remaining accessible
to engineers without a specific background in frequency synthesizer design. Examples
will be used to enhance understanding of frequency-synthesizer concepts, including realtime presentations of a variety of issues relevant to the design of frequency-synthesizer
circuitry, and will be supported by live hardware and software demonstrations. Recent
and emerging developments in frequency- synthesizer-related technologies will also be
addressed.
The afternoon hands-on portion of the class will consist of three to four stations consisting
of a spectrum analyzer, fully-assembled frequency-synthesizer demo boards and
software from National Semiconductor, Peregrine Semiconductor, and Analog Devices,
and a phase noise measurement utility from COMSTRON. Participants will characterize
the synthesizer devices, measure and understand phase noise and spurious issues,
measure the effects of reference quality on phase noise, and experience measurement
capabilities and limitations. There will also be a live demonstration of how to calculate
and optimize loop filter values for optimum performance.
SC-6
Monday, 1320 – 1720
National and International Spectrum Regulation for Microwave Professionals
Instructors: Nelson Pollack, Spectrum Analytics, LLC
Michael Marcus, Marcus Spectrum Solutions
Laura Stefani, Goldberg, Godles, Wiener & Wright
Topics: • US and international wireless spectrum allocation and regulation
• World Radiocommunication Conference processes
• Federal Government spectrum management
• Non-government/FCC spectrum management
• Federal spectrum access: hot issues
• Technical considerations
• Useful spectrum-related tools
• Working with the FCC: introducing new technologies
This half-day course will teach practicing microwave engineers and professionals how to
anticipate spectrum- related issues early in the research and development cycle so they
100
2
0
1
1
can be addressed in a timely way to allow new technology to reach market. Participants
will be able to confidently design, implement, and purchase wireless microwave systems
compliant with national and international spectrum policies.
Mr. Nelson Pollack retired from 35 years of federal service as the Technical Director of the
Air Force Frequency Management Agency. He represented the US Air Force in many DoD,
national, and international spectrum management technical and policy organizations.
He was also a member of the US delegation to the 2003 World Radio Conference (WRC03) and contributed to the DoD and US preparations for WRC-07. Currently, Mr. Pollack’s
company, Spectrum Analytics, LLC, provides specialized spectrum regulatory and
technical consulting services to Department of Defense agencies and the US defense
industry.
Dr. Michael Marcus, an IEEE Fellow, served in the Air Force (where he was involved in
underground nuclear test detection research), analyzed electronic warfare issues at
the Institute for Defense Analyses, and worked at the FCC proposing and developing
policies for cutting edge radio technologies such as spread spectrum/CDMA, Wi-Fi,
and ultra-wideband. After retirement from the FCC, he was appointed Special Advisor
the European Commissioner for Information Society & Media. An adjunct professor of
Electrical and Computer Engineering at Virginia Tech, he has also taught at MIT and
George Washington University.
Ms. Laura Stefani, a graduate of George Washington University School of Law, is an
attorney with Goldberg, Godles, Wiener & Wright, where her focus is on the regulation
and licensing of new technologies, wireless and mass media regulation, and satellite
law. She has advised clients on domestic and international regulatory strategy and
represented clients in rulemaking proceedings, waiver requests, FCC auctions, responses
to Enforcement Bureau inquiries, spectrum leasing, and equipment certification issues,
and is Co-Chair of the Federal Communications Bar Association’s Engineering and
Technical Practice Committee.
2
0
1
1
WORKSHOPS & SHORT COURSES
FRIDAY WORKSHOPS 10 June 2011
WFB
WFA
Sponsors: MTT-21, MTT-8
Wireless Power Transmission
Friday, 0800 – 1720
Sponsors: MTT-15, MTT-24, MTT-5
Organizers: Zhizhang (David) Chen, Dalhousie University
Naoki Shinohara, Kyoto University
Peter Russer, Technische Universität München
Abstract: Ever increasing demands for mobility/wireless connectivity and green energy
have made a great impact and presented great promises in the healthy development of
human society. In particular, research and development of efficient use and delivery of
electric power have intensified. Wireless power transmission (WPT) is one of them and
has recently emerged as one of the most active areas for electric energy transmission over
long, medium and short distances. This workshop presents an overview of the state-ofthe-art, an investigation and testing of various WPT theories, and example applications
of WPT technologies to charging systems of electric automobiles and biomedical devices
and systems. This workshop will be beneficial to IMS attendees who wish to learn about
the current status of WPT theory and technology and who want to follow and understand
its advanced applications and future directions.
1.“Microwave Wireless Power Transmission System Engineering & Applications”
Richard M. Dickinson, OFF EARTH-WPT
2.“Microwave Power Transmission (MPT): Past, Present and Future”
Joshua Le-Wei Li, University of Electronic Science & Tech of China
3.“Enhanced Mid-Range Wireless Energy Transfer Using Strongly-Coupled
Resonance”
Morris Kesler, WiTricity Corporation
4.“Multiple Resonator-coupled Wireless Power Transfer System”
Ikuo Awai, Ryukoku University
5.“Wireless Resonant Power Transfer in the LF and HF Bands: a Network Approach”
Mauro Mongiardo, University of Perugia
6.“Wireless Charging System of Electric Vehicle with GaN Schottky Diodes”
Naoki Shinohara, Kyoto University
7.“Wireless Power Transmission to Noninvasive and Implantable Devices in the
Human Body”
Mingui Sun, University of Pittsburgh
8.“Wireless Power Transfer for RFID and Medical Implants”
Brian W Flynn, University of Edinburgh
Friday, 0800 – 1200
Piezoelectric RF MEMS for Communication and Defense Applications
Organizers: Ronald G. Polcawich, US Army Research Laboratory
Tony Ivanov, US Army Research Laboratory
Abstract: The unmatched range of displacement and forces achievable with low
activation voltages has drawn a great deal of attention in piezoelectric MEMS. With
aluminum nitride film bulk acoustic resonators becoming mainstream in many RF
applications, there has been a wave of related research in harnessing the power of
piezoelectric materials for a variety of RF MEMS applications. Other material systems,
such as lead zirconate titanate and zinc oxide, are also promising for many RF applications.
Actuation voltages of less than 1V have been demonstrated for piezoelectric switches,
and piezoelectric MEMS resonators have demonstrated low loss, high quality factor
performance in the low GHz frequency range. This workshop will present the state of
the art in piezoelectric RF MEMS and their applications in RF/microwave systems. Results
for different material systems will be presented and their comparative advantages/
disadvantages will be discussed. Researchers will present their latest results and present
a comprehensive picture of the piezoelectric RF MEMS field.
1.“Aluminum Nitride Microresonator Filters and Oscillators for Defense and
Consumer Radio Frequency Electronics”
Roy H. Olsson III, Sandia National Laboratory
2.“AlN Micromechanical Reconfigurable RF Front-Ends”
Gianlucca Piazza, University of Pennsylvania
3.“PZT MEMS, Low Voltage Switches and Filters for RF Applications”
Jeffrey S. Pulskamp, US Army Research Laboratory
4.“Impact of Piezoelectric Micro-Resonators on Communications Systems”
Robert Newgard, Rockwell Collins
WFC
Friday, 0800 – 1720
The Design Flow of Microwave Power Amplifiers: Challenges and Future Trends
Sponsors: MTT-11, MTT-5
Organizers: Dominique Schreurs, Katholieke Universiteit Leuven
Antonio Raffo, University of Ferrara
Abstract: The workshop will focus on the different phases involved in the design
flow of microwave power amplifiers: measurement, modeling and design. The latest
measurement techniques, which allow characterizing the transistor behavior under
actual operation, could theoretically bypass the need of exploiting nonlinear models in
the design flow. On the other hand, nonlinear models, by exploiting the new measurement
techniques, are becoming more and more accurate also under severe operating conditions
(e.g., switching regime). As a matter of fact, “hybrid” design techniques, founded on
both CAD analysis and experimental characterization, are actually adopted by designers,
that are called to interpret two incomplete sets of information provided by laboratory
and CAD data. The different talks, besides discussing the state of the art reached in the
different fields, will give particular emphasis to their interactions in order to provide an
exhaustive scenario on the design flow of microwave power amplifiers.
1.“CAD vs. Measurement Techniques in RF Power Amplifier Design”
J.C. Pedro and Pedro Miguel Cabral, Institute of Telecommunications – University
of Aveiro
2.“Nonlinear Measurement Techniques oriented to Power Amplifier Design:
Waveform Measurement and Engineering”
P. Tasker, Cardiff University and MESURO
3.“End-to-End Design and Simulation of Handset Modules”
P. Zampardi, Skyworks
4.“Thermal Effects and Reliability Issues in Microwave Devices for PAs”
101
WORKSHOPS & SHORT COURSES
R. Menozzi, University of Parma
5.“Compact Nonlinear Modeling for GaN-Based Power Amplifier Design”
C. Gaquiere, IEMN
6.“Evolving from Modeling Based to Measurement Based PA Design”
W. De Raedt, R. Liu, F. Vanaverbeke, D. Schreurs, IMEC
7.“Measurement-based Behavioral Modeling in a Power Amplifier Design Context”
Marc Van Den Bossche, NMDG
8.“Robust Power Amplifier Design for Telecom Application”
F. Palomba, TriQuint Semiconductor
WFD
Friday, 0800 – 1720
Medical and Biological Microwave Sensors and Systems
Sponsors: MTT-20, MTT-10
Organizers: Ed Niehenke, Niehenke Consulting
Olga Boric-Lubecke, University Of Hawaii
Jenshan Lin, University of Florida
Arye Rosen, Drexel University
Abstract: Due to the rapid advances in integrated circuit technology and wireless
communications that enabled inexpensive radio and digital signal processing
implementations, microwave technology has become readily available for use in medicine
and biology. This workshop will include state-of-the-art applications of RF, microwave,
and millimeter wave technology in medicine and biology. These applications include
modern MRI imaging, tracking for surgical navigation and monitoring of endangered
species, physiological monitoring and treatment, communications and powering for
implantable devices, and control of cellular processes using millimeter-waves.
1.“Radar Sensors for Animal Tracking and Monitoring”
Victor Lubecke, University of Hawaii
2.“The Role of RF in MRI Systems”
Anand Gopinath, University of Minnesota
3.“Physiological Radar Sensor Chip Development”
Changzi Li, Texas Tech University
4.“Embedded Microwave System for Monitoring of Intracranial Pressure”
Mohammad Reza Tofighi, Penn State University
5. “Electronic Pills for Medication Compliance Monitorin”
Rizwan Bashirullah, University of Florida
6.“UWB Tracking for Surgical Navigation”
Mohamed Mahfouz, University of Tennessee
7.“Implantable Wireless Medical Devices for Gastroesophagus Applications”
J.C. Chiao, UT Arlington
WFE
Friday, 0800 – 1720
Electronically Steered Arrays for Radar, Communications and Electronic
Warfare: Are They Affordable And Are They Ready to Assume Their Place in
Advanced 21st Century Systems?
Sponsors: MTT-6, MTT-16, MTT-12
Organizers: John Pierro, Telephonics Corporation
Frank Sullivan, Raytheon Corporation
Ruediger Quay, Fraunhofer Institute of Applied Solid State Physics
Abstract: AESA technology has proven indispensable for advanced fighter aircraft
whose pilots and missions demand the ultimate in beam agility and the greatest
situational awareness. These radars not only offer the full spectrum of radar modes
including air-to-air MTI, simultaneous multiple target tracking capability, search, SAR
imaging, monopulse tracking etc., but also offer electronic attack capability to jam and
otherwise disable enemy radar. Emerging is the ability to cover multiple radar bands to
achieve optimal performance over a diverse set of modes, such as simultaneous search,
102
2
0
1
1
track, and classification. This workshop will present the latest work on AESA’s for radar,
communications, and electronic warfare from the major research laboratories around
the world. The critical bottle-neck issues of life-cycle cost, performance, and reliability
will be addressed. The organizers also plan to include recent research work on passive
ESA’s that rely on ferroelectric phase shifters (microwave dielectric materials that are
voltage tunable) to facilitate low cost beam steering. For less demanding applications a
“pesa” may offer the needed performance at dramatically lower cost.
1.“Affordable Electronically Scanned Apertures through Heterogeneous
Integration”
Julio Navarro, Boeing Research and Technology
2.“Performance Advantages of AESA-based Radar”
Yaseer Al-Rashid, Lockheed Martin Corporation
3.“Low Cost Technologies for High Performance Electronically Steered Arrays”
Christopher Ison, Cobham
4.“Compositionally Layered Ferroelectric Films Applied to Passive Electronically
Steered Arrays for Communications on the Move Applications”
Melanie Cole1 , Pamir Alpay2, 1US Army Research Laboratory; 2University of
Connecticut
5.“AESA-based Sensors for Communications-Challenges and Solutions”
Heinz Peter Feldle, Cassidian Electronics
6.“Developments in Naval AESA Radars”
Simon Vandenberg, Thales Netherlands
7.“Phase Array Flat Panel Advancements”
Angelo Puzella, Raytheon Corporation
8.“AESA Architectures and Trade-offs for Various Applications”
Michael Sarcione, Raytheon Corporation
WFF
Friday, 0800 – 1720
Wireless Sensor Network Technologies for Emerging Applications
Sponsors: MTT-20, MTT-16, MTT-6
Organizers: Debabani Choudhury, Intel Corporation
Nuno Borges Carvalho, Universidade de Aveiro
Abstract: The evolution of wireless sensor network (WSN) technologies is creating new
possibilities and applications in sensing networks and wireless communication. The
technologies have the potential for game-changing impact on mobile, industrial, home,
energy, medical/healthcare, safety, security as well as utility sectors. WSN technologies are
part of the future “internet of things”, in which all physical objects will communicate to
each other. However, many technologies competing for WSN applications are still in the
emerging state. This workshop will start with an overview on various WSN technologies,
applications and challenges. Experts from all over the world will then present various WSN
technology aspects including wide area radio network, medical diagnostic, implantable
sensing, energy scavenging, location sensing, as well as motion sensory devices.
1.“Ubiquitous Connectivity with Smart Wireless Sensor Communication”
Debabani Choudhury, Intel Corporation
2.“Area Radio Network for Sensor Communication”
Jacques C. Rudell, University of Washington
3.“Intelligent Wireless Sensing Networks in Medicine: Diagnostic, In Vivo, Surgical,
and Remote Patient Monitoring Applications”
Mohamed Mahfouz, Univ. of Tennessee
4.“Implantable Wireless Medical Devices and Systems”
J.-C. Chiao, UT Arlington
5.“A Non-Contact Physical Activity Sensor Based on a Self-Injected-Locked Radar
Architecture”
T.S. Jason Horng, National Sun Yat-Sen University
6.“Wireless Sensor Networks Location System based on RF fingerprinting at
2.4GHz”
Nuno Borges Carvalho, Universidade de Aveiro
2
0
1
1
WORKSHOPS & SHORT COURSES
7.“24 GHz Wireless Sensor Network with High Precision Local Positioning
Capability”
Alban Ferizi, University of Erlangen-Nuremberg
8.“Energy Harvesting Techniques for Autonomous Wireless Sensor Networks:
Challenges and Applications”
Ana Collado, CTTC
WFG
Friday, 0800 – 1720
Innovative and Highly Accurate Local Positioning Systems
Sponsors: MTT-16, MTT-9, MTT-2
Organizers: Thomas Ussmueller, University of Erlangen-Nuremberg
Martin Vossiek, Clausthal University of Technology
Robert Weigel, University of Erlangen-Nuremberg
Abstract: Global positioning systems have already reached a high market volume, and
the next great leap forward is systems for local position estimation. There are many
different usage scenarios offering new market potentials, ranging from autonomously
guided vehicles to cultural guiding, from industrial automation to medical healthcare.
The first talk addresses the different possibilities of distance measurement with
microwave technology, discussing Received Signal Strength, Angle of Arrival and Time
of Flight principles. The second talk focuses on the calculation of a 2D or 3D position
based on several distance measurements, addressing Kalman filtering and sensor fusion
algorithms. Recent years have shown lots of improvements in local positioning systems
like sensor fusion, the usage of synthetic aperture radar concepts, the usage of lossy
feeder cable structures; frequency modulated continuous wave UWB systems, highly
integrated local positioning chipsets and much more.
1.“Basics of Wireless Local Positioning”
Martin Vossiek, Clausthal University of Technology
2.“Position Estimation Algorithms and Sensor Fusion”
Andreas Stelzer, University of Linz
3.“Distance Measurement with UHF RFID Transponders”
Thomas Ussmueller, University of Erlangen-Nuremberg
4.“Tag Localization in Passive UHF RFID”
Daniel Arnitz, Graz University of Technology
5.“Local-Positioning with High Multipath Robustness Based on Pulsed FMCW
Systems”
Benjamin Waldmann, University of Erlangen-Nuremberg
6.“Wireless Local Positioning Systems for Tracking Portable Electric Tools in
Production”
Fabian Kirsch, Clausthal University of Technology
7.“High Precision Ultra-wideband Ranging and Communication System”
Norbert Schmidt, IMST
8.“An Ultrawideband (UWB) Location System and its Industrial Applications”
Andy Ward, Ubisense
9.“Progress in Near-Field Electromagnetic Ranging Real-Time Location Systems”
Jacob McNamee, The Q-Track Corporation
10.“Indoor Positioning by RF Fingerprinting with Radiating Cables”
Julia Engelbrecht, HTW Dresden University of Applied Sciences
WFH
Friday, 0800 – 1200
Recent Advances in Multi-Giga Bit Per Second (Gbps) Data Throughput Techniques
for Ka-Band Space-to-Ground Links
Sponsors: MTT-16, MTT-5
Organizers: Kavita Goverdhanam, U.S. Army – CERDEC
Rainee N. Simons, NASA Glenn Research Center
Abstract: The demand for high-speed wireless services such as HD/3DTV, remote data
storage, and desktop virtualization is ever increasing. Today’s 3G cellular and WiMax
networks can handle up to a few tens of Mega bits per second. Developing multi-Giga bit per
second satellite down links will greatly help in meeting the demands of the next generation
broadband satellite services, imaging satellites, and Earth observation spacecrafts. Progress
in this area has been slow because of limited bandwidth at Ku-band. In this workshop, the
benefits and challenges of migrating to the Ka-band, where the available bandwidth is
larger, will be discussed. Due to the competing demands on the allocated EM spectrum,
we will focus on bandwidth efficient modulation schemes that pack a large number of bits
within a given band. Also forward error correction codes for error free reception and multiple
spot beam antenna systems to achieve multi-Gbps data throughput will be discussed.
1.“Overview to Gbps Space-to-Ground Link Technologies and System Considerations”
Todd G. Ellenberger, The Boeing Company
2.“Bandwidth Modulation Techniques for Enabling Gbps Data Rates in Space-to-Earth
Links”
Francis J. Smith, L-3 Communication Systems-West
3.“Satellite Giga Bit Data Links for Commercial and Military Application”
Richard T. Gedney, Viasat Inc.
4.“Hughes Jupiter-1: The 100+ Gbps Ka-Band Satellite System”
Rajeev Gopal, Hughes Network Systems
WFI
Advances in RF Imaging Techniques
Friday, 0800 -1200
Sponsors: MTT-5, MTT-17
Organizers: Allen Katz, The College of New Jersey
Robert Caverly, Villanova University
Abstract: This interactive workshop will present material covering RF design and practice
used in the RF Imaging field. The focus will be on ground penetrating radar and through
the wall radar imaging at 2500 MHz and below. The workshop will be geared for RF and
microwave engineers wishing gain insight into technology that will move these important
imaging techniques forward. The topics range from a brief review of the electromagnetic
principles these techniques are based upon to current technology and future trends in these
areas. At the end of each speaker’s presentation, a 15 minute open session will be provided
for attendees working in this or allied areas to show their work. These attendees who wish
to present are asked to contact the workshop organizers prior to IMS2011 stating their intent
to present.
1.“Overview of RF Imaging Fundamentals”
Robert Caverly, Villanova University
2.“Introduction to Ground Penetrating Radar for Civil Engineering and Infrastructure
Applications”
Gary Young, Underground Imaging Technologies
3.“Advances in Through the Wall Radar Imaging”
Fauzia Ahmad, Villanova University
4.“Nonlinear Imaging Techniques”
Allen Katz, TCNJ/LTI
5.“Low-Frequency Impulse-Based Ultra Wideband (UWB) Radar and Imaging”
Lam Nguyen, Army Research Laboratory
103
WORKSHOPS & SHORT COURSES
WFJ
Friday, 0800 – 1720
Nanotechnology-enabled RF and Cognitive Devices, Components and Systems
2
0
WFK
Sponsors: MTT-16, MTT-9, MTT-20
Organizers: Luca Pierantoni, Università Politecnica delle Marche
Fabio Coccetti, LAAS-CNRS
Manos M. Tentzeris, Georgia Tech
Luca Roselli, Università degli Studi di Perugia
Organizers: Richard G. Ranson, Radio System Design Ltd
Jeffrey Pawlan, Pawlan Communications
1.“Inkjet-Printed RFID-Enabled Wireless Sensor Nodes: The Final Step to Bridge
Cognitive Intelligence, Nanotechnology and RF?”
Manos M. Tentzeris, Georgia Tech
2.“Graphene Device and Integrated Circuits for RF Applications”
Yu-Ming Lin, IBM T.J. Watson Research Center
3.“Nanoelectronics Based Monolithic Integrated Antennas for Electromagnetic
Sensors and for Wireless Communications”
Peter Russer, Technische Universität München
4.“Chipless RFID Systems for Low-cost Item Tagging”
Nemai Chandra Karmakar, Monash University
5.“Harmonic RFID TAG on Paper with Non-linear Embedded Nano-scale Material”
Luca Roselli, Università degli Studi di Perugia
6.“Progress in Graphene Based Devices and Sensors”
George Deligiorgis, LAAS-CNRS
7.“Sensing Properties of Chipless RFID Tags Based on Nanomaterials”
Smail Tedjini, Grenoble-INP/LCIS
8.“Speed-up the Nanoelectronics with Plasmonics Technology”
Erping Li, A-STAR -IHPC
104
1
Friday, 0800 – 1720
Practical Design Approaches and Issues in Software Defined Radios
Sponsors: MTT-25, MTT-24, MTT-15
Abstract: Prompted by recent breakthroughs in the area of nanomaterials,
nanoelectronics has shown the potential to introduce a paradigm shift in electronic
devices and system design. Since many nanoscale materials and devices exhibit their most
interesting properties at RF, nanotechnology has entered the microwave engineering
research arena, and thus provides challenges and opportunities for the MTT community.
Nanotechnology-based innovation may be projected in the varied areas of RF system
miniaturization and diversification, energy efficient RFID devices, and extended sensing
and cognitive functionalities. This workshop will examine the fundamental materials
and processes of nanoelectronics, and describe the potential these new technologies
have for surpassing the limitations of present devices and technologies.
1
Abstract: The Software Defined Radio (SDR) is the culmination of advances on several
fronts and probably the most significant area of development in radio systems today.
For example, with the transition to digital modulation formats now almost complete,
constant improvements in analogue to digital converters (ADCs) with the incredible
advances in CMOS and digital hardware have opened up entirely new radio architectures.
SDR offers both advantages and challenged to designers today. This workshop will bring
participants up to speed on recent advances in the key technological areas as well as
illustrate actual SDR implementations from different fields. The practically-oriented
comprehensive panel of speakers will address the technology and design aspects of
SDR from the antenna to the demodulated output. It is intended to help bridge the gap
between analogue and digital perspectives where the seamlessly integration of the two
is essential.
1.“Putting the Hardware into Software Defined Radio”
Geof Dawe, BWS Consulting
2.“Choosing the Best System Architecture for Tradeoffs in Your SDR Design”
Jeffrey Pawlan, Pawlan Communications
3.“Characterization Challenges for SDR Radios”
Nuno Borges Carvalho, University of Aveiro
4.“A Practical Guide to Designing A/D Converter Driver and Clock Circuitry”
Derek Redmayne, Linear Technology
5.“The SCaN Testbed on International Space Station (ISS)”
James Lux, JPL/NASA
6.“Introduction to OSSIE a Rapid Prototype Platform for SDR Applications”
Carl Dietrich, Virginia Tech
2
0
1
1
E X H I B I T I O N
Exhibit hours have been scheduled to provide maximum interaction between conference attendees and exhibitor personnel:
Tuesday, 7 June
0900 to 1700
Wednesday, 8 June
0900 to 1800
Thursday, 9 June
0900 to 1500
IMS2011 Exhibition companies as of 14 March 2011:
denotes a first-time exhibitor
2COMU
3G Metalworx Inc.
A-Alpha Waveguide Co.
A.J. Tuck Co.
A.T. Wall Company
Accumet Engineering Corp.
Actipass Co., Ltd.
ADMOTECH(Advanced Mobile Tecnology)Co.Ltd
AdTech Ceramics
Advance Reproductions Corp.
Advanced Test Equipment Rentals
AEM, Inc.
Aeroflex Inc.
Aethercomm Inc.
Agilent Technologies
AI Technology, Inc.
AKON, Inc.
Aldetec, Inc.
Aliner Industries, Inc.
Allwin Technology, Inc.
AMCAD Engineering
Amcom Communications Inc.
American Microwave Corp.
American Standard Circuits, Inc.
American Technical Ceramics
Ametek HCC Industries
AML Communications Inc.
Amplifier Solutions
AmpliTech Inc.
ANADIGICS
Analog Devices, Inc.
Anapico Ltd.
Anaren, Inc.
Anatech Electronics
Anoison Electronics
Anritsu Co.
ANSYS, Inc.
Antenna Research Associates, Inc.-ARA
Antenna Systems/Webcom Communications
APA Wireless Technologies
API Technologies
Applied Thin-Film Products (ATP)
AR RF/Microwave Instrumentation
ARC Technologies, Inc.
Arlon Tech. Enabling Innovation
Artech House
ASB Inc.
Ascent Circuits Pvt Ltd.
Aselsan
Assemblies Inc.
Astrolab, Inc.
Auriga Microwave
Aurora Software & Testing, SL
Avago Technologies
AVX Corp.
AWR Corp.
Axiom Test Equipment, Inc.
B&Z Technologies
Barry Industries, Inc.
Batten & Allen Ltd.
Besser Associates, Inc.
Bliley Technologies, Inc.
Bowei Integrated Circuits Co., Ltd.
Brush Ceramic Products
C W Swift
C-Tech
CAD Design Software
Cambridge University Press
CAP Wireless Inc.
CapeSym, Inc.
Carlisle Interconnect Technologies
Cascade Microtech, Inc.
Centellax, Inc.
Centerline Technologies, LLC
Century Seals Inc.
Cernex & Cernexwave
Channel Microwave
Charter Engineering, Inc.
Chengdu BoCen Microwave Technology Co.
Chin Nan Precision Electronics Co., Ltd.
Ciao Wireless, Inc.
Cirexx International, Inc.
Cirtek Electronics Corp.
Cobham
Coherent Logix
Coilcraft, Inc.
Coleman Cable Systems, Inc.
Coleman Microwave Co.
COM DEV Ltd.
Communications & Power Industries
Compex Corp.
Component Distributors Inc.
Connecticut Microwave Corp.
Connectronics, Inc.
Constant Wave
Continental Resources, Inc.
Corning Gilbert Inc.
CORWIL Technology Corp.
CPS Technologies
Crane Aerospace & Electronics
Crane Polyflon
Cree, Inc.
Cristek Interconnects, Inc.
Crystek Corp.
CST of America, Inc.
CTT Inc.
Cuming Microwave Corp.
Custom Cable Assemblies, Inc.
Custom Interconnects
Custom Microwave Components, Inc.
Custom MMIC Design Services, Inc.
D.L.S. Electronic Systems, Inc.
Daa-Sheen Technology Co., Ltd.
dBm
Defense Tech Briefs
DELFMEMS
Delta Electronics Mfg. Corp.
Delta Microwave
Design Workshop Technologies Inc.
DeWeyl Tool Company, Inc.
Diablo Industries Thin Film
Diamond Antenna & Microwave Corp.
Dielectric Laboratories, Inc.
Diemat, Inc.
DiTom Microwave Inc.
Dorado International Corp.
Dow Key Microwave Corp.
Ducommun Technologies Inc.
DuPont Electronic Technologies
Dyconex AG
Dynawave Cable Incorporated
Dynawave Inc.
Dyne Tech Co., LTD
e2v Aerospace and Defense Inc
EADS North America
Eastern-Optx
Eclipse Microwave, Inc.
EE-Evaluation Engineering
Egide
Elcom Technologies Inc.
Electro Rent Corp.
ElectroMagneticWorks Inc.
Elisra Electronic Systems Ltd.
EM Research, Inc.
EM Software & Systems - FEKO
Emerson & Cuming Microwave Products
Emerson Connectivity Solutions
Emicon Corp.
Empower RF Systems
Empowering Systems, Inc.
Emscan
Endwave Corp.
ENS Microwave, LLC
Epoch Microelectronics, Inc.
Epoxy Technology, Inc.
ET Industries
ETL Systems
ETS-Lindgren
EuMW2011/Horizon House Publ. Ltd.
EZ Form Cable Corp.
F&K Delvotec, Inc.
Farran Technology Ltd.
Ferrite Co., The
Ferro-Ceramic Grinding
Filtel Microwave Inc.
Flann Microwave
Flexco Microwave Inc.
Florida RF Labs/EMC Technology
Focus Microwaves Inc.
Fotofab
Freescale Semiconductor
Frontlynk Technologies Inc.
FTG Corp.
G-Way Microwave/G-Wave, Inc.
Geib Refining Corp.
Gerotron Communication GmbH
GGB Industries, Inc.
Giga-Tronics Inc.
GigaLane Co., Ltd.
Global Communication Semiconductors, Inc.
GNI Microwave Co., Ltd.
Gowanda Electronics
Greenray Industries Inc.
GuangShun Electronic Tech. Research Inst.
H Rollet
Harbour Industries, Inc.
HEI Inc.
Herley Industries
Herotek Inc.
Hesse & Knipps Inc.
High Frequency Electronics
Historical Booth
Hittite Microwave Corp.
Holzworth Instrumentation Inc.
Huada Intl. Electronics & Tech. Co., Ltd.
Hughes Circuits Inc.
HXI, LLC
IBM Corp.
IEEE Microwave Magazine
IHP GmbH
IMST GmbH
IMT
In-Phase Technologies, Inc.
Infinite Graphics
INGUN Pruefmittelbau GmbH
Innertron, Inc.
Instek America Corp.
Instruments For Industry (IFI)
Integra Technologies Inc.
Integrand Software, Inc.
Intercept Tecnology Inc.
International Manufacturing Services Inc.
Ion Beam Milling, Inc.
IROM Tech. Inc.
Isola
ISOTEC Corp.
ITF Co., Ltd.
iTherm Technologies
ITT Corp.-Microwave Systems
IW Insulated Wire Microwave Products Div.
Jersey Microwave, LLC.
JFW Industries, Inc.
Johanson Manufacturing Corp.
Johanson Technology Inc.
John Wiley & Sons
Johnstech International
JQL Electronics Inc.
Jye Bao Co., Ltd.
K&L Microwave Inc.
Kaben Wireless Silicon Inc.
Kaelus
Kemac Technology, Inc.
Keragis Corp.
KMIC Technology, Inc.
Krytar Inc.
KVG Quartz Crystal Technology GmbH
Kyocera America, Inc.
L-3 Communications
LadyBug Technologies LLC
Lake Shore Cryotronics, Inc.
Lanjian Electronics
Lark Engineering Co.
Laser Process Mfg.
Laser Processing Technology, Inc.
Laser Services
LCF Enterprise
Liberty Test Equipment
Linearizer Technology, Inc.
Lintek Pty Ltd.
Litron Inc.
LNX Corp.
Logus Microwave Corp.
Lorch Microwave
LPKF Laser & Electronics
M/A-COM Technology Solutions
M2 Global Technology Ltd.
Mag Layers USA, Inc.
Marcel Electronics International
Massachusetts Bay Technologies
Maury Microwave Corp.
McGraw-Hill Professional
MECA Electronics, Inc.
105
E X H I B I T I O N
Mega Circuit Inc.
MegaPhase
Meggitt Safety Systems, Inc.
Mentor Graphics Corp.
Merrimac Industries Inc.
Mersen
MESL Microwave Ltd.
Metropole Products Inc.
MIcable Inc.
Mician GmbH
Micreo Limited
Micro Communications, Inc.
Micro Electronic Tech. Development
Micro Lambda Wireless, Inc.
Micro Tool, Inc.
Micro-Coax Inc.
Micro-Mode Products Inc.
MicroApps
MicroFab Inc.
Micronetics Inc.
Microphase Corp.
Microsemi Corp.
Microtech, Inc.
Microwave Applications Group
Microwave Circuits
Microwave Communications Labs, Inc.
Microwave Development Labs Inc.
Microwave Dynamics
Microwave Engineering Europe
Microwave Journal
Microwave Packaging Technology, Inc.
Microwave Product Digest
Microwave Technology, Inc.
Microwavefilters S.R.L
MIG-Microwave Innovation Group
Millennium Microwave Inc.
Millitech Inc.
Mini-Circuits
Mini-Systems Inc.
MITEQ, Inc.
Mitsubishi Electric & Electronics
Modelithics, Inc.
Modular Components National Inc.
Molex RF/Microwave Business Unit
Momentive Performance Materials
Mosis
MPDevice Co., Ltd.
MtronPTI
Murata Electronics
Mustang Industrial Corp.
Nanjing Jiexi Technologies Co., Ltd.
National Instruments
National Reconnaissance Office
NAVICP
NDK
Netcom Inc.
Networks International Corp. (NIC)
Nitronex Corp.
Noise XT
NoiseWave Corp.
Norden Millimeter Inc.
Northrop Grumman
NSI
Nuhertz Technologies, LLC
Nuvotronics
NuWaves Engineering
NXP Semiconductors
OEwaves Inc.
OMMIC
ON Semiconductor
OPHIR RF Inc.
Orient Microwave Corp.
P1dB, Inc.
PA&E
Paciwave, Inc.
106
Paricon Technologies Corp.
Partron Co., Ltd.
Pascall Electronics Ltd.
Passive Plus Inc.
Penton Electronics Group
Peregrine Semiconductor Corp.
PHARAD, LLC.
Phase Matrix Inc.
Photo Sciences Inc.
Photofabrication Eng. Inc.
Piconics Inc.
Pivotone Communication Tech., Inc.
Planar
Planar Monolithics Industries, Inc.
Plansee Thermal Management Solutions
Plextek Ltd.
Pole/Zero Corp.
Polyfet RF Devices
Ponn Machine Cutting Co.
Power Module Technology
Precision Connector, Inc.
Precision Photo-Fab, Inc.
Presidio Components, Inc.
Presto Engineering, Inc.
Protocast/John List Corp.
Prototron Circuits
Q Microwave, Inc.
Q3 Laboratory
Quest Microwave Inc.
Quik-Pak; Gel-Pak
QuinStar Technology Inc.
QWED Sp. z o.o
R&D Microwaves, LLC
R&K Company Ltd.
Radant MEMS, Inc.
Reactel, Inc.
Reinhardt Microtech AG
RelComm Technologies Inc.
Remcom, Inc.
Remtec, Inc.
Renaissance Electronics Corp.
Resin Systems Corp.
Response Microwave Inc.
Restor Metrology
RF Depot Inc.
RF Globalnet
RF Industries
RF Logic
RF Morecom
RFcore Co., Ltd.
RFHIC Corp.
RFMD
RFMW, Ltd.
RFS Ferrocom Ferrite Division
RH Laboratories, Inc.
Richardson Electronics
RIV Inc. - Precision Printing Screens
RJR Polymers Inc.
RLC Electronics, Inc.
RNS International, Inc.
Rogers Corp.
Rohde & Schwarz
Rosenberger North America LLC
Sainty-Tech Communications Ltd.
San-tron Inc.
Sangshin Elecom Co., Ltd.
Sawnics Inc.
Schmid & Partner Engineering AG
Scientific Microwave Corp.
Scintera, Inc.
SEI
Semi Dice Inc.
SemiGen
SGMC Microwave
2
Shadow Technologies, Inc.
Shanghai Huaxiang Computer Comm. Eng.
Shenzhen Huayang Tech. Development Co.
Shenzhen Yulongtong Electron Co.,Ltd.
Shin-Etsu Chemical Co., Ltd.
Sierra Circuits, Inc.
Signatone
Silicon Cert Laboratories
Sinclair Manufacturing Co.
SIPAT Co.
Skyworks Solutions, Inc.
Smith Interconnect
Sonnet Software Inc.
Southwest Microwave, Inc.
Spanawave Corp.
Spectra - Mat, Inc.
Spectracom Corp.
Spectrum Elektrotechnik GmbH
Spectrum Microwave, Inc.
Spinner Atlanta
SRI Connector Gage Company
SRI Hermetics
SSI Cable Corp.
State Of The Art Inc.
Statek Corp.
Stellar Industries Corp.
Stellar Microelectronics
StratEdge Corp.
Sumitomo Electric Device Innovations
Summitek Instruments Inc.
SV Microwave Inc.
Synergy Microwave Corp.
SynQor, Inc.
T-Tech Inc.
Taconic
Tahoe RF Semiconductor, Inc.
Tai-Saw Technology Co., Ltd.
TDK-Lambda Americas
Tecdia Inc.
Tech-X Corp.
Techmaster Electronics, Inc.
Tektronix Inc.
Telcon Co., Ltd.
Teledyne Defence Ltd.
Teledyne Labtech
Teledyne MEC
Teledyne Microelectronics
Teledyne Microwave
Teledyne Relays
Teledyne Scientific
Teledyne Storm Products
Teledyne Technologies, Inc.
Telegartner, Inc.
Temp-Flex Cable, Inc.
Test Equipment Repair Corp.
TestEquity LLC
Thales Components Corp.
THINFILMS Inc.
Times Microwave Systems
TMD Technologies Ltd.
Torrey Hills Technologies, LLC
Toshiba America Electronic Cmpts.
TotalTemp Technologies, Inc.
TowerJazz
TRAK Microwave Corp.
Transcom, Inc.
Tresky Corp.
Trilithic Inc.
TriQuint Semiconductor
TRM Microwave
Tronser, Inc.
TRU Corporation Inc.
TTE Inc.
Tyco Electronics
0
1
1
UltraSource Inc.
UMS (United Monolithic Semiconductors)
University Booth
UTE Microwave Inc.
Vacuum Engineering & Materials Co.,
Inc.
Valpey Fisher Corp.
Vectron International
Verspecht-Teyssier-Degroote
VIDA Products, Inc.
VidaRF
Virginia Diodes Inc.
Vishay Intertechnology, Inc.
Voltronics Corp.
VTI Instruments Corp.
W.L. Gore & Associates
Wavenics, Inc.
Weinschel Associates
Wenzel Associates Inc.
Werlatone Inc.
West Bond Inc.
WEVERCOMM Co., Ltd.
Williams Advanced Materials
WIN Semiconductor Corp.
Winchester Electronics
WIPL-D D.O.O.
Wireless Design & Development
Wireless Telecom Group
X5 Systems, Inc.
Xi’an Forstar S&T Co., Ltd.
XMA Corp.
Xyztec
Yantel Corp.
Yokowo Co., LTD.
Z-Communications, Inc.
Zeeteq Electronics Ltd.
2
0
1
1
E X H I B I T I O N
PLATINUM/GOLD/SILVER
SPONSORS
PLATINUM
GOLD
SILVER
MEDIA PARTNER
OFFICIAL MEDIA SOURCE
OF THE MTT-SOCIETY
MEDIA SPONSOR
107
2
E X H I B I T I O N
0
1
1
MICROAPPS
The MicroApps program features practical application papers describing state-of-the-art products and processes of interest to the microwave community. This year’s highlights include
an emerging technology panel session on the topic of nonlinear measurements on Wednesday at 1200 and the keynote presentation by John Ocampo, Chairman of M/A-COM Technology
Solutions, on Wednesday at 1700. MicroApps attendees will receive a free CD/DVD of presentations, sponsored by Agilent Technologies.
TUESDAY WEDNESDAY 0910
THURSDAY
System-Level Simulation in the Design of Advanced Radar Systems
Joel Kirshman, AWR
0930
Prediction of RF Breakdown in Combline Filters with FEST3D
F. J. Pérez, J. Gil, C. Vicente, V. E. Boria, Aurorasat
Fast and Accurate CAD Solutions for Passive Waveguide Components
and Horn Antenna Feed Systems with the μWave Wizard
Ralf Beyer, Mician
The Art of Benchmarking RF Test Time
David Hall, National Instruments
0950
Practical Methods for Estimating the Q of Spiral Inductors Using EM
Planar Simulators
John Dunn, Charlotte Blair, AWR
Customized, Deembedded Ports in 3D Planar EM Tools: Extending
Deembedding to Arbitrary Geometries
John Dunn, AWR
The Alphabet Soup of Vector Network Analyzer Calibration
Craig Kirkpatrick, Cascade Microtech
1010
Tools for Creating FET and MMIC Thermal Profiles
Ted Miracco1, John Fiala2, 1AWR, 2CapeSym
SEMCAD X Microwave: Enhanced Simulation of Waveguide
Structures
Erdem Ofli1, Pedro Crespo-Valero1, Jorge Ruiz-Cruz2, 1SPEAG, 2UAM
Advances in Signal Analyzer Noise Floor and Dynamic Range
John Hansen, Agilent
1030
Applications and Techniques for Low Phase Noise Signal Generation
John Hansen, Agilent
Using X-Parameters to Optimize Notch Filter Placement in PA
George Crumrine, Agilent
Easy, Fast and Versatile Time Domain Waveform Measurement of Microwave Power Transistors
Fabien De Groote, Jan Verspecht, Jean-Pierre Teyssier, Jad Faraj,
Verspecht-Teyssier-DeGroote
1050
Understanding Contributors to Test Time for VSA Measurements
David Hall, National Instruments
IQ Mixer Measurements: Techniques for Complete Characterization
of IQ Mixers Using a Multi-Port Vector Network Analyzer
Dara Sariaslani, Agilent
EMPIRE XCcel – Efficient Solving of Large Scale EM Problems
A. Lauer, W. Simon, A. Wien, EMPIRE XCcel
1110
Techniques for Validating a Vector Network Analyzer Calibration
When Using Microwave Probes
Craig Kirkpatrick, Cascade Microtech
pHEMT Amplifier MMICs with Enhanced Robustness Against Process
Variations
Charles Trantanella, David Folding, Custom MMIC Design Services
Transient FEM Solver and Hybrid FE-IE Method; New Technologies in
HFSS 13.0
Matthew H. Commens, ANSYS
1130
Ultra Low Phase Noise Measurement Technique Using Innovative
Optical Delay Lines
Guillaume De Giovanni, NoiseXT
Design Benefits of Integrating Simulation and Measurement
Environments: An LNA Example
Gary Wray, AWR
QuickWave Electromagnetic Software with CAD Input and GPU
Processing
Malgorzata Celuch, Maciej Sypniewski, QWED
1150
A Multi-Level Conductor Surface Roughness Model
Yunhui Chu, Agilent
1210
Understanding the Proper Dielectric Constant of High Frequency
Laminates to Be Used for Circuit Modeling and Design
John Coonrod, Allen F. Horn, Rogers
1230
Volume Manufacturing Trends for Automotive Radar Devices
Jake Sanderson, Agilent
1250
Improved Soldering Techniques for Cylindrical RF Connectors Using
HIG Induction Technology
Chip Palombini, iTherm
1310
Power Amplifier Design Utilizing the NVNA and X-Parameters
Loren Betts1, Dylan T. Bespalko2, Slim Boumaiza2, 1Agilent, 2University
of Waterloo
1330
Wideband Direct Digital Radio Modeling and Verification
David Leiss , Rulon VanDyke, Agilent
Color Coding Key:
108
CAD
Semiconductors &
Modeling
Application Principles for Circulators and Isolators
Anthony Edridge, Gene Garcia, M2 Global
1200 - 1330
Nonlinear Characterization Expert Forum
SPEAKERS:
• Loren Betts, Research Scientist/Senior Engineer, Agilent
Technologies
• Steve Reyes, Product Marketing Manager Network Analyzers, Anritsu
• Marc Vanden Bossche, Founder and CEO, NMDG Engineering
• Johannes Benedikt, CTO, Mesuro
The Design and Test of Broadband Launches Up to 50 GHz on Thin
and Thick Substrates
Bill Rossas, Southwest Microwave
Low-PIM Filter Solutions for Broadband Emission Monitoring
Rafi Hershtig, Tim Dolan, K&L Microwave
Mixed-Signal Active Load Pull – The Fast Track to 3G/4G Amplifier
Design
Mauro Marchetti, Maury Microwave
A Comparison of Noise Parameter Measurement Techniques
Erick Kueckels, Maury Microwave
Vector-Receiver Load Pull – Measurement Accuracy at Its Best
Steve Dudkiewicz, Maury Microwave
Cal Test &
Measurement
Materials &
Components
Manufacturing
& Processes
3G-4G
Terahertz Devices
2
0
1
1
E X H I B I T I O N
TUESDAY WEDNESDAY THURSDAY
1350
Improved Microwave Device Characterization and Qualification Using Affordable Microwave Microprobing Techniques
for High-Yield Production of Microwave Components
Gregory Mau Custom Microwave Components, Jerry Schappacher, J micro Technology
Simulation and Evaluation of Communications Systems in Conformance with Third- and Fourth-Generation Wireless Standards
Joel Kirshman, AWR
Active and Hybrid Load Pull – A Paradigm Shift
Gary Simpson, Maury Microwave
1410
Time Domain Measurements in Waveguide
Keith Anderson, Agilent
Remcom’s XFdtd and Wireless InSite: Advanced Tools for Advanced
Communication Systems Analysis
Joseph J. Rokita, Kyle Labowski, Remcom
Local Fundamental Frequency Enhancements for X-Parameter
Models
Radek Biernacki , Mihai Marcu, Agilent
1430
Pulsed S-Parameter Measurements Using PXI Instruments
David Broadbent, National Instruments
Digital Radio Testing Using an RF Channel Replicator
Joe Mazzochette, EOX
Beyond the S-Parameter: The Benefits of Nonlinear Device Models
Mike Heimlich, AWR
1450
Emergence of the Online Design Center
Sherry Hess, Dave Kuhn, AWR
Advanced Terahertz Device Characterization
Keith Anderson, Agilent
1510
Design for Manufacturing: Yield Analysis During EM Simulation
Mark Saffian, AWR
High Performance RF Photonic Link Technologies
Dalma Novak, Pharad
1530
STAN Tool: A New Method for Linear and Nonlinear Stability
Analysis of Microwave Circuits
Stéphane Dellier, AMCAD Engineering
A Practical Approach to Verifying RFICs with Fast Mismatch Analysis
George Estep, Paul Colestock, Agilent
1550
Maximizing VSA Dynamic Range Through Appropriate IF
Path Selection
Raajit Lall, National Instruments
Calibration and Accuracy in Millimeter Systems
Keith Anderson, Agilent
1610
Waveguide Characteristics and Measurement Errors
Keith Anderson, Agilent
Instant RF Design Starts with Simulate-able RF Application Notes
How-Siang Yap1, Mike Virostko2, 1Agilent, 2Hittite
1630
Memory Effects in RF Circuits: Definition, Manifestations
and Fast, Accurate Simulation
George Estep, Arnaud Soury, Agilent
New Rotary Joint Product Lines for SATCOM Applications
Andreas Lermann, SPINNER
1650
1700 - Keynote Address: What Makes Successful Mergers?
John Ocampo, Chairman of M/A-COM Technology Solutions
1710
1730
1800
Color Coding Key:
CAD
Semiconductors &
Modeling
Cal Test &
Measurement
Materials &
Components
Manufacturing
& Processes
3G-4G
Terahertz Devices
Historical Exhibit
While you are studying our industry’s present and future, be sure to take time to explore our past in the Historical Exhibit. You will see some
of the early inventions and developments from the MTT’s permanent historical collection along with early documentation from industry,
government, and academic sources. A special display this year will focus on early microwave and high frequency tubes – those devices
that provided RF energy from 10’s of MHz to 100’s of GHz before solid state came along. See what grids, velocity modulation, magnetrons,
TWTs, BWOs, and T/R tubes are all about. More than 300 types are “scheduled to appear”. Some heavy lifting required. Artifacts relating to
microwave developments in the local Baltimore-Washington area will also be on display.
While you are in Baltimore, make note that the National Electronics Museum is nearby. NEM is the permanent home of the MTT-S Historical
Collection between Symposia. The Museum holds many microwave related items besides the MTT-S Collection, including major parts
of the SCR-270 (Pearl Harbor) radar and a complete SCR-584 radar, which was used with the proximity fuze anti aircraft shell in World
War II. The Museum also contains an impressive library of over 7,000 books and 11,000 journals. The Museum is less than 1 mile from the
Baltimore-Washington International Airport, and is approximately 20 minutes from downtown Baltimore. A visit to the Museum is an
interesting and educational experience for both young and old. Free admission if you mention the International Microwave Symposium.
Additional information on the Museum can be found at www.nationalelectronicsmuseum.org , or call 410-765-0230.
109
S O C I A L
2
E V E N T S
0
1
1
SOCIAL EVENTS
Sunday, 05 June 2011
RFIC Reception: 1900 – 2100
Baltimore Convention Center, Level 400 - Ballroom III & IV
Immediately following the RFIC Plenary Session is the RFIC Reception to be held in
adjacent Ballroom III & IV at the Baltimore Convention Center. This social event is a
key component of the RFIC Symposium, providing an opportunity to connect with old
friends, make new acquaintances, and catch up on the wireless industry. Admittance
is included with RFIC Symposium registration. Additional tickets can also be purchased
separately at registration.
Monday, 06 June 2011
IMS 2011 Welcome Reception: 1900 – 2100
Baltimore Convention Center, Level 400 - Ballroom III & IV
All Microwave Week attendees and exhibitors are invited to attend a reception hosted by
IMS 2011 in the Baltimore Convention Center, Ballroom III & IV.
Tuesday, 07 June 2011
Women in Microwaves Reception: 1800 – 2000
Hilton Hotel, Lobby Bar
Connect with past friends as well as make new connections with the community of
women who make a career in the field of microwave engineering technology. Spend a
few hours enjoying conversation with your peers Tuesday evening, 1730-1930, 7 June at
the 2011 WIM Reception. Light appetizers and refreshments served. Space is limited so
kindly RSVP by 3 June to secure your attendance: wim@ims2011.org
MTT-S Graduates of the Last Decade (GOLD) / Student Reception: 1800 – 1930
Hard Rock Café Baltimore
The IEEE MTT Graduates of Last Decade (GOLD) and Student Committees invite all
MTT GOLD and student members to a complimentary reception at the Hard Rock Café
Baltimore. This will be an excellent opportunity not only to relax and entertain, but also
to interact and network with other GOLD and student members.
Sponsor: IEEE MTT-S GOLD and Student Committee
Ham Radio Social: 1800 – 2100
Hilton Hotel, Level 2 – Key Ballroom 12
IMS2011 attendees and their guests are invited to attend the annual ham radio social.
This event provides an opportunity to discuss issues and activities related to amateur
radio in a very informal atmosphere while enjoying a buffet and open bar. Some
microwave equipment designed or modified by local amateurs for the ham bands will be
on display. The equipment designers will be present to answer questions.
This year we are encouraging all ham attendees to bring small microwave items related
to amateur radio for display and discussion. Please bring a small sign or poster describing
your equipment and we will provide the tables. 120VAC power will be available. Please
send Roger K3TM an email (r.kaul@ieee.org) by May 31 if you plan to bring an item for
display so we can provide space.
A special event call of N3M will be activated during IMS week.
Ham operators are encouraged to bring and post their QSL cards on the display board.
110
Wednesday, 08 June 2011
Industry Hosted Cocktail Reception: 1715 – 1800
Baltimore Convention Center, Level 100 – Exhibition Hall
Symposium Exhibitors will host a cocktail reception.
MTT-S Awards Banquet: 1820-2200
Hilton Hotel, Level 2 – Key Ballroom 7-12
The MTT-S Awards Banquet includes dinner, major society awards presentation and
entertainment.
Thursday, 09 June 2011
MTT-S Student Awards Luncheon: 1200 – 1400
Hilton Hotel, Level 2 – Holiday Ballroom 6
All students are invited to attend the luncheon which recognizes recipients of the MTT-S
Undergraduate Scholarships, MTT-S Graduate Fellowships, IMS2011 Student Volunteers,
IMS2011 Student Paper Awards and the participants/winners of the IMS2011 Student
Design Competitions.
Crab Feast: 1800-2200
USS Constellation & Pier 1
The Crab Feast is a tradition when IMS is in Baltimore. Join us for food, fun, and all the
other fun that comes along with the Crab Feast. It’s the perfect way to cap off your
IMS2011 social schedule!
2
0
1
1
G U E S T
P R O G R A M
HOSPITALITY SUITE
A warm welcome awaits you in the Hospitality Suite located in the Peale room at the Hilton Hotel. Grab your guest badge and
come for breakfast in the morning and refreshments in the afternoon. Whether your meeting up with old friends or making
new ones, the Hospitality Suite is just the place to kick back and relax. There will be a special area for children with various
toys & games as well as local area information for everyone to venture out and explore. All the Guest Tours will depart from
the Hospitality Suite.
Open Sunday, 5 June through Thursday, 9 June 0730 to 1530.
THINGS TO DO IN CHARM CITY
Welcome to “Charm City” - Baltimore, Maryland. With nearly 300 years of city history, Baltimore has developed numerous
points of interest or “charms”. The Inner Harbor is home to a large and varied number of these charms. The Inner Harbor is a
short block away from the Baltimore Convention Center. It is a starting point for using the Water Taxi to explore some of the
charms of Baltimore.
Suggested visitor sites are arranged by transportation methods.
WALKING DISTANCE FROM THE CONVENTION CENTER:
US Sloop-of-War Constellation (1854 – 1955) was the second US Navy ship to carry
that name. Her tour of service and accomplishments are too numerous to recount here.
She is permanently docked at Harbor Place in the Inner Harbor. Learn more about this
ship at www.historicships.org
Baseball fans already know that Camden Yards is home to the Baltimore Orioles. The
Orioles have home games scheduled 03, 04, and 05 June playing the Toronto Blue Jays.
On 06, 07, and 08 June, the Orioles play the Oakland Athletics.
Harbor Place offers a variety of shops and eateries for your pleasure.
Let your senses and your mind wander as you experience the numerous sights and
hands-on activities at the Maryland Science Center. Featuring an IMAX theater and a
planetarium, it’s sure to please everyone.
The National Aquarium in Baltimore houses sharks, dolphins, rays and tropical fish
among the more than 16,000 creatures in naturalistic exhibits, including a walk-through
rain forest, an exciting live-action dolphin show and a new Australian exhibit.
Baltimore’s Maritime Museum features historic ships:
•
•
•
•
USCGC Taney (WHEC-37), a Coast Guard cutter
USS Torsk (SS-423), a WWII-era submarine
Chesapeake, a lightship
Seven Foot Knoll Light, a screw-pile lighthouse
Babe Ruth Birthplace Museum A National Historic Site, this museum is the birthplace
of one of the first five players inducted to the Baseball Hall of Fame. See rare artifacts,
photos, videos and more; located two blocks from Camden Yards.
111
G U E S T
P R O G R A M
2
0
1
1
BY WATER TAXI:
Fort McHenry was the site of the Battle of Baltimore during the War of 1812. It was during this battle that a local lawyer, Francis Scott Key, was moved to write the poem “A Star
Spangled Banner”. The inspiration for the poem was the sight of the battle flag flown over Fort McHenry. That flag was made in Flag House on Pratt Street by Mary Pickersgill,
her daughter, and her mother. Learn more about Flag House at http://www.flaghouse.org/index.php/flag/info/the_experience. The original flag is displayed to the public at
the Smithsonian Museum of American History, Washington, DC. Learn more about the flag at http://americanhistory.si.edu. Admission to Fort McHenry is through the new
(opens in March 2011) Visitor Center. Admission to the fort is $7 US for the week.
Fells Point was established in 1726. It is home to over 120 pubs and restaurants. Additionally, there are antique shops and curio shops. This is an eclectic neighborhood that
defies simple explanation.
The Science Center is an educational romp for adults and children.
The Baltimore Museum of Industry: Visit re-created workshops; explore industry from days past; and see the 1906 Steam Tug Baltimore, a national historic landmark. Enjoy
hands-on activities for kids, tours for the whole family and free parking.
The Baltimore National Aquarium allows one to walk through the tanks and experience sea creatures up close without getting wet.
Historic Ships in Baltimore represents one of the most impressive collections of military vessels in the world. Located within easy walking distance of each other, the US
Sloop-of-War Constellation, the US Submarine Torsk, the US Coast Guard Cutter Taney, and the Lightship Chesapeake exhibit life at sea from the mid-19th century to the mid1980’s. Also included in the collection is the Seven Foot Knoll Lighthouse which marked the entrance to the Patapsco River and Baltimore Harbor for over 130 years.
112
2
0
1
1
G U E S T
P R O G R A M
BY CITY BUS OR RAIL:
American Visionary Art Museum: This national museum and education center for outstanding original works of art created by intuitive, self-taught artists is located on a 1.1
acre wonderland campus featuring three historic renovated industrial buildings, sculpture gardens, a museum store and restaurant. You can get there by taking the Charm City
Circulator to Stop 322 or 327, followed by 0.4 mile walk.
Baltimore & Ohio Railroad Museum: This fascinating, fun place for kids, families, and lovers of history and American railroading features the most comprehensive collection
in the Western Hemisphere, plus free parking and train rides. You can get there by taking the Charm City Circulator to Stop 212.
The Baltimore Museum of Art: All year long, Maryland’s largest art museum showcases a dazzling collection, ranging from ancient mosaics to contemporary art, plus everchanging exhibitions, sculpture gardens, an eclectic museum shop and a scenic restaurant. General admission is free.
Bromo Seltzer Tower was built in 1911 by Captain Isaac Emerson, the inventor of Bromo Seltzer. At the time, it was the tallest building in Baltimore. Its distinctive looks make
it hard to miss and an interesting photo opportunity.
The Shot Tower was in operation from 1828 to 1892 to make lead shot used in guns.
Westminster Cemetery contains the grave of Edgar Allan Poe, a famous 19th century author and intellectual. The Edgar Allen Poe House and Museum is located at 203 Amity
Street in Baltimore.
Johns Hopkins University and Johns Hopkins Hospital which are top ranked institutions with at least 39 affiliated Nobel Prize winners.
Little Italy is home of dozens of Italian restaurants. Go for a meal, play some bocce ball, or enjoy an afternoon cappuccino and fresh Italian deserts.
AMTRAC and MARC trains can spirit you from downtown Baltimore to Union Station in Washington, DC. The Washington Metro connects at Union Station.
The Guest Program will offer suggestions for ‘self guided’ tours. The Water Taxi is a unique way to transit to and then explore noted museums and scenic locations. Tickets for the
Water Taxi cost $10 US for unlimited rides for the day. Tickets are purchased at the Water Taxi pier. More information about the Water taxi can be found at www.thewatertaxi.com
Additionally, Baltimore boasts an extensive bus system provided by the Maryland Transit Authority (MTA). See http://mta.maryland.gov for route maps, schedules, and fares.
113
G U E S T
P R O G R A M
2
0
1
1
SPECIAL GUIDED BUS TOURS:
There are three guided tours scheduled.
Baltimore City Guided Tour concentrates on the parts of the city outside easy walking distance or water taxi ride. These are some of the other ‘charms’ of the city that should not
be missed. This tour emphasizes the interesting aspects of Baltimore’s history. The bus will transport the guests with a knowledgeable tour guide who will explain the history and
relevance of the sites visited. A plated lunch is included in this tour at no additional fee.
Annapolis, MD is the state capital. Founded in 1649, we celebrate 350 years as a city which offers a delightful combination of history and a zest for living. Walk along the old brick
sidewalks much as George Washington or Thomas Jefferson did in the days when Annapolis was the Capital of the United States. You will see a city which looks remarkably similar
to what our Founding Fathers saw in their day. Architecturally, Annapolis boasts some of the finest 17th and 18th Century buildings in the country - including the residences of all four
Maryland signers of the Declaration of Independence.
Annapolis is the home of the United States Naval Academy founded in 1845 and also the home of St. John’s College, founded in 1696 as King William’s School and the third oldest
institution of higher learning in the United States.
A bus, with tour guide, will take guests to the city center to start a walking tour of this historical city. Explore the famed waterfront or sit at City Dock and imagine what Annapolis
must have been during the 1700’s, when the City was a bustling seaport with vessels sailing in to trade from all over the world. Or, watch sailboat races in the harbor and understand
why Annapolis is Americas Sailing Capital. Enjoy the many shops, restaurants, activities and scenery Annapolis has to offer. The bus will travel to the US Naval Academy and visit the
crypt of John Paul Jones, the ‘Father of the United States Navy’. A plated lunch is included in this tour at no additional fee.
Washington, DC offers a plethora of visitor sites that people around the world travel to see. There is too much to be able to see it all in one day, so this tour is designed for maximum
impact. This tour is a ‘monument hopping’ experience. A bus, with tour guide, will travel the National Mall. The Mall, as it is known locally, is the showcase of this planned city. Most
monuments and sites in DC are located on or near the Mall. The bus will allow guest to tour famous monuments such as the Lincoln Memorial, Viet Nam Memorial, Franklin Delano
Roosevelt (FDR) Memorial, the Jefferson Memorial, the Washington Monument to name a few. The tour will include photo opportunities at the White House and the Capitol
Building west lawn. For security reasons, these last two sites are not readily accessible to the public. A plated lunch is included in this tour at no additional fee.
We have only scratched the surface of the entertainment and sightseeing opportunities available in and around Baltimore. For most, the important opportunities will be at the
technical sessions or the exhibition during Microwave Week. We hope you have a chance to see some of the rest of Maryland and I hope to see you in Baltimore in June.
114
2
0
1
1
M I C R O W AV E
W E E K
CONVENTION CENTER MAP
Level 100
Level 200
Level 400
Level 300
115
2011 International Microwave Symposium
1721 Boxelder St. Ste. 107
Louisville, CO 80027
Charm City Map & Operating Hours
Download