Getting Started with Digilent Boards in Multisim - Dr

6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
Getting Started with Digilent Boards in Multisim
Publish Date: May 11, 2016
Overview
Taking a hands­on approach to learning digital logic can be difficult without the need for
students to learn complex hardware descriptive languages such as VHDL. The Multisim
Programmable Logic Diagram (PLD) along with support for leading teaching hardware
allows students to put the fundamentals of digital theory into practice. The PLD schematic
allows educators and students to create graphical logic diagrams like those found in
textbooks and deploy these to educational boards. In this tutorial, we demonstrate how
digital logic theory can be taught using educational hardware to provide a hands­on
approach to learning. View the complete Teaching Digital Logic Fundamentals tutorial series
(http://www.ni.com/white­paper/14710/en)
Table of Contents
1. Introduction
2. Installation Requirements
3. Setting up the Multisim PLD Design
4. Create a PLD Schematic in Multisim
5. Export the PLDS Design to the FPGA
1. Introduction
The Multisim Programmable Logic Device (PLD) Schematic integrates simulation and
hardware. Multisim enables you to program your Xilinx device directly from the Multisim
environment, making the connection between theoretical concepts and hardware
implementation even easier.
You can use Multisim to program digital logic on the NI Digital Systems Development Board
(DSDB) or Digilent Teaching Boards. This provides educators more flexibility when choosing
a platform to adopt for teaching digital logic fundamentals. This tutorial offers a guide to
setting up and teaching digital electronics with Multisim PLD schematic.
2. Installation Requirements
Multisim 14 Education and later comes with built­in PLD support for the NI Digital Electronics
FPGA Board (DEFB), DSDB and Digilent Boards. This support includes a PLD configuration
file that defines the names and properties of port connectors that a Multisim PLD design will
use. In addition, a constraint file (UCF in Xilinx ISE and XDC in Vivado) is used to map
signals to the FPGA's pins.
To program the FPGA boards, the Digilent driver and Xilinx software and must be installed
on your computer which could be Xilinx ISE or Vivado depending on which board you have.
You can download the software and obtain the WebPACK license for free from the Xilinx
website. For LabVIEW FPGA users with the LabVIEW Xilinx software already installed, you only have
to install the additional Digilent driver. Refer below to see which software you need to install
for your board:
Option 1: LabVIEW (http://www.ni.com/labview/) + LabVIEW 2014 FPGA Module Xilinx Tools
14.7 (http://www.ni.com/download/labview­fpga­module­2014/4845/en/)
Option 2: Xilinx ISE WebPACK 14.7
NI LabVIEW FPGA Xilinx ISE 14.7 Tools/Digilent Drivers
(ftp://ftp.ni.com/evaluation/Circuit_Design_Suite/Tools/NI_LabVIEW_FPGA_Xilinx_ISE_14_7_Tools_Drivers.exe)
( requires for both option 1 and 2) Digilent Cmod S6 Digilent Nexys 2 FPGA Board
Digilent Nexys 3 FPGA Board
Digilent Nexys 4 FPGA Baord
Digilent Basys FPGA Board
Digilent Basys 2 FPGA Board
NI Digital Electronics FPGA Board
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
Vivado HL WebPACK
NI Digital Systems Development Board
Digilent Basys 3 Board
Visit ni.com/download (http://www.ni.com/downloads/)to find the latest software and drivers.
OK
3. Setting up the Multisim PLD Design
http://www.ni.com/white-paper/14871/en/#top
1/7
6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
Once you have installed the required software and driver, you are ready to program the
FPGA board. The steps below describe the process for creating a PLD design for the DSDB
FPGA board, the same steps can be used for the other boards.
1. In Multisim, select File»New.
2. Click the PLD Design… the click the Create button.
3. Click the Use standard configuration down arrow and select your board. Click Next.
4.Enter Introduction to Digital Electronics in the PLD design name field and click Next.
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
OK
http://www.ni.com/white-paper/14871/en/#top
2/7
6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
5. The New PLD Design dialog allows you to select which peripherals you will use in
your design. In this tutorial the LED LED0 and the push button BTN0 are selected. Click
Finish.
6.The selected connectors are placed on the work space.
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
OK
http://www.ni.com/white-paper/14871/en/#top
3/7
6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
4. Create a PLD Schematic in Multisim
1. Select Place»Component.
2. Select a AND2 gate located in the PLD Logic group, Logic_gates family and click the
OK button.
3. Place another connector for the AND gate input by click the Input connector icon on
the toolbar. 4. Select the push button BTN1 and click OK.
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
OK
http://www.ni.com/white-paper/14871/en/#top
4/7
6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
5. Wire the AND gate to the connectors.
5. Export the PLDS Design to the FPGA
There are three options for exporting the digital logic from the PLD schematic:
Programming the connected PLD – Allows students to deploy the design directly to the
FPGA.
Generate and save a programming file­ Students can generate a bit file that can be used
to program hardware later.
Generate and save the VHDL­ This option exports the VHDL netlist allowing students to
view the VHDL code. You can import the VHDL code in the Xilinx environment and
program the FPGA
In this tutorial you will program the FPGA board directly from the Multisim environment.
1. Select Transfer»Export to PLD.
2.Click the Program the connected PLD radio button and click Next.
3. In the Select a tool to use area, select the Xilinx tool for you board.
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
OK
http://www.ni.com/white-paper/14871/en/#top
5/7
6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
4. Connect the hardware to to your computer and wait for Windows to detect the
connection.
5. Make sure power is applied to the board and the power switch is set to the on position.
6. Click the Refresh button. The Detected message will appear if the board is detected
by your computer.
7. Click the Finish button to begin programming the board.
Note: Some Vivado versions does not support file path containing spaces for the XDC file. In
these cases, you will get an “illegal file or directory name” error when trying to export the
design. If this happens, copy the DSDB.xdc file stored in the installation folder:
<programs Files>\National Instruments\Circuit Design Suite 14.0\pldconfig to a local path
such as C:\temp. Next, change the Xilinx user constraint file (*.xdc) in the Multisim PLD
Export step 2 of 2 dialog to where you saved the file before exporting the design.
8. Multisim will automatically open the Xilinx tool in the background and perform all the
requires steps to program the FPGA, no user interacting is required.
9. Once the FPGA is programmed, Multisim will display a message on the Spreadsheet
View.
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
OK
10. You can now test the design built in Multisim on the real­hardware.
http://www.ni.com/white-paper/14871/en/#top
6/7
6/5/2016
Getting Started with Digilent Boards in Multisim - National Instruments
The Teaching Digital Logic Fundamentals tutorial series (http://www.ni.com/white­
paper/14710/en) contains a set of examples that can be used with any of the FPGA cards.
PRODUCT
SUPPORT
COMPANY
Order status and history
(http://www.ni.com/status/)
Submit a service request
About National Instruments
(https://sine.ni.com/srm/app/myServiceRequests) (http://www.ni.com/company/)
Order by part number
(http://sine.ni.com/apps/utf8/nios.store?
action=purchase_form)
Manuals (http://www.ni.com/manuals/)
Events (http://www.ni.com/events/)
Drivers (http://www.ni.com/downloads/drivers/)
Careers (http://www.ni.com/careers/)
Activate a product
Alliance Partners (http://www.ni.com/alliance/)
(http://sine.ni.com/myproducts/app/main.xhtml?
lang=en)
Order and payment information
(http://www.ni.com/how-to-buy/)
MISSION
NI equips engineers and scientists with
systems that accelerate productivity,
innovation, and discovery.
(http://twitter.com/niglobal)
(http://www.facebook.com/NationalInstruments)
(http://www.linkedin.com/company/3433?
trk=tyah)
(http://www.ni.com/rss/)
(http://www.youtube.com/nationalinstruments)
Contact Us (http://www.ni.com/contactus/)
(http://privacy.truste.com/privacy-seal/National-Instruments-Corporation/validation?rid=bc6daa8f-7051-4eea-b7b5-fb24dcd96d95)
Legal (http://www.ni.com/legal/) | © 2016 National Instruments. All rights reserved. | Site map
(http://www.ni.com/help/map.htm)
This site uses cookies to offer you a better browsing experience. Learn more about our privacy policy. (http://www.ni.com/legal/privacy/unitedstates/us/)
OK
http://www.ni.com/white-paper/14871/en/#top
7/7