MECHANICAL ASPECTS OF THE MATERIAL REMOVAL MECHANISM IN CHEMICAL MECHANICAL POLISHING (CMP) by Yongsik Moon B.E. (Chung-Ang University) 1994 M.S. (University of California, Berkeley) 1996 A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Engineering-Mechanical Engineering in the GRADUATE DIVISION of the UNIVERSITY OF CALIFORNIA, BERKELEY Committee in charge: Professor David A. Dornfeld, Chair Professor Paul K. Wright Professor Nathan W. Cheung Fall 1999 The dissertation of Yongsik Moon is approved: University of California, Berkeley Fall 1999 MECHANICAL ASPECTS OF THE MATERIAL REMOVAL MECHANISM IN CHEMICAL MECHANICAL POLISHING (CMP) Copyright 1999 by Yongsik Moon Abstract Mechanical Aspects of the Material Removal Mechanism in Chemical Mechanical Polishing (CMP) by Yongsik Moon Doctor of Philosophy in Mechanical Engineering University of California, Berkeley Professor David A. Dornfeld, Chair The chemical mechanical polishing (CMP) process has become a primary planarization technique required for the manufacture of advanced integrated circuit (IC) devices. As the feature size of IC chips shrinks down to 0.18 µm and below, the role of CMP as a robust planarization process becomes increasingly important. In this dissertation, mechanical aspects of the material removal mechanism in CMP are investigated analytically and experimentally as part of a fundamental study on CMP. The role of consumables, which include the polishing pad and abrasive particles in the slurry, in CMP performance is evaluated, and tribological characteristics (lubrication, friction, and wear) of CMP are also analyzed. To evaluate the role of slurry, the influence of chemistry of the slurry on the mechanical aspects of the material removal mechanism is examined. The mechanical and chemical contributions to material removal are studied to determine the key mechanism of material removal in CMP. 1 From this study, it is shown that the material removal rate of the wafers is inversely proportional to the pad density and proportional to the pad compressibility and surface roughness. This is due to the fact that the material removal for a wafer in CMP is closely related to the actual pad contact area of the wafer. This is an indication of the probability of abrasives contacting the wafer surface. The material removal rate of silicon wafers is also proportional to the friction force between the workpiece and the polishing pad. The effect of the slurry film thickness variation on CMP performance, defined in terms of material removal, planarization, surface defects, and surface roughness, is significant. From the Stribeck curve, the lubrication condition under the wafer in CMP is closer to boundary or elasto-hydrodynamic lubrication than to hydrodynamic lubrication. It is also shown that the chemically reacted silicon layer causes the extension of the brittle/ductile transition depth, and the brittle cutting behavior transition point becomes less distinctive. The chemically reacted ‘ductile’ layer is proposed to be the origin of the scratch/defect-free surface after CMP. The material removal of CMP is more than the sum of the removal due to the mechanical and the chemical polishing effects. The test results verify the important effect of the combination of chemical and mechanical action to achieve high material removal in CMP. This supports the theory that there is a synergy effect that amplifies material removal only when the chemical and mechanical components act concurrently in CMP. 2 Dedicated to my parents and my wife. iii TABLE OF CONTENTS DEDICATION iii TABLE OF CONTENTS iv LIST OF FIGURES ix LIST OF TABLES xv ACKNOWLEDGEMENTS xvi CHAPTER 1 INTRODUCTION 1 1.1 Overview 1 1.2 Scope of dissertation 3 BACKGROUND ON CHEMICAL MECHANICAL POLISHING 8 CHAPTER 2 (CMP) 2.1 Introduction 2.2 History of CMP 10 2.3 Critical issues in CMP 11 2.3.1 Critical components in CMP 11 2.3.2 Major process outputs in CMP 14 2.4 8 Review: material removal mechanism and process model of CMP 15 2.4.1 15 Material removal mechanism and process model at the wafer scale iv 2.4.2 Material removal mechanism and process model at the 19 feature scale 2.4.3 Material removal mechanism and process model at the 23 particle scale 2.5 Process monitoring in CMP 25 2.6 Post-CMP process 26 MECHANICAL PROPERTIES OF THE POLISHING PAD AND 41 CHAPTER 3 ITS RELATIONSHIP TO PROCESS PERFORMANCE IN CHEMICAL MECHANICAL POLISHING (CMP) 3.1 Introduction 41 3.2 Polishing pad in CMP 44 3.3 Preston’s wear equation 45 3.4 Experiments 48 3.5 Results and discussion 50 3.5.1 50 Relationship between the material removal rate and the properties of the polishing pad 3.5.2 Relationship between the friction force and coefficient 54 and the properties of the polishing pad 3.5.3 Relationship between the material removal rate and the 55 friction force and coefficient 3.5.4 Relationship between the Preston’s coefficient and the v 57 friction coefficient 3.6 CHAPTER 4 Summary 59 THE EFFECT OF SLURRY FILM THICKNESS VARIATION IN 76 CHEMICAL MECHANICAL POLISHING (CMP) 4.1 Introduction 76 4.2 Wafer-pad contact modes 79 4.3 Hydrodynamic effect and lubrication theory 82 4.4 Experiments 87 4.5 Results and discussion 88 4.5.1 88 Effect of slurry film thickness variation on friction force, friction coefficient, and wafer-pad contact mode. 4.5.2 Effect of slurry film thickness variation on the wafer 91 planarization. 4.5.3 Effect of slurry film thickness variation on the wafer surface 92 roughness and defects. 4.5.4 Effect of slurry film thickness variation on the material 94 removal and its mechanism. 4.6 CHAPTER 5 Summary 97 STUDY OF SLURRY CHEMICAL INFLUENCE IN vi 122 friction coefficient 3.6 CHAPTER 4 Summary 59 THE EFFECT OF SLURRY FILM THICKNESS VARIATION IN 76 CHEMICAL MECHANICAL POLISHING (CMP) 4.1 Introduction 76 4.2 Wafer-pad contact modes 79 4.3 Hydrodynamic effect and lubrication theory 82 4.4 Experiments 87 4.5 Results and discussion 88 4.5.1 88 Effect of slurry film thickness variation on friction force, friction coefficient, and wafer-pad contact mode. 4.5.2 Effect of slurry film thickness variation on the wafer 91 planarization. 4.5.3 Effect of slurry film thickness variation on the wafer surface 92 roughness and defects. 4.5.4 Effect of slurry film thickness variation on the material 94 removal and its mechanism. 4.6 CHAPTER 5 Summary 97 STUDY OF SLURRY CHEMICAL INFLUENCE IN vi 122 DUCTILE/BRITTLE TRANSITION DEPTH IN CHEMICAL MECHANICAL POLISHING (CMP) USING SCANNING ELECTRON MICROSCOPE (SEM) AND ACOUSTIC EMISSION (AE) SENSOR 5.1 Introduction 122 5.2 Ductile/brittle-cutting regime in diamond turning of brittle materials 125 5.3 Process monitoring using acoustic emission (AE) 126 5.4 Experiments 127 5.5 Results and discussion 129 5.5.1 SEM analysis 129 5.5.2 Acoustic emission (AE) monitoring of scratching test 131 5.5.3 Ductile/brittle transition in scratches on the untreated and 133 the chemically treated silicon wafer 5.6 CHAPTER 6 Summary 134 IDENTIFICATION OF THE MECHANICAL ASPECTS OF 150 MATERIAL REMOVAL MECHANISMS IN CHEMICAL MECHANICAL POLISHING (CMP) 6.1 Introduction 150 6.2 Experiments 153 6.2.1 Preparation of Slurry 153 6.2.2 Polishing 154 vii 6.3 Results and discussion 155 6.4 Summary 160 CONCLUSIONS 172 CHAPTER 7 REFERENCES 179 viii LIST OF FIGURES CHAPTER 1 Fig. 1.1 Planarization Potential Solutions. CHAPTER 2 Fig. 2.1 Difficulty in the fabrication of integrated circuit (IC) chip. Fig. 2.2 A general schematic of the CMP process. Fig. 2.3 Kinematic variables in the CMP process. Fig. 2.4 Silicon oxide abrasive particles from Nalco2352 silicon polishing slurry. Fig. 2.5 Side view ((a) x20 and (b) x110) and top view ((c) x200 and (d) x400) of IC1000, polishing pad. Fig. 2.6 Top view ((a) x40 and (b) x200) and oblique view ((c) x66 and (d) x300) of a fixed abrasive pad. Fig. 2.7 A (a) new and (b, c, d) used IC1000 polishing pad without conditioning. Fig. 2.8 49-point inspection to measure the with-in-wafer-non-uniformity (WIWNU). Fig. 2.9 Physical description of the polishing process in Warnock’s model. Fig. 2.10 Arbitrary surface indicating the meaning of S, A, and K factors in Warnock’s model. Fig. 2.11 Schematic of pad deformation. Fig. 2.12 Definitions of variables used in Stine model. Fig. 2.13 Schematic of the abrasive in contact with the wafer and the pad surfaces. ix Fig. 2.14 A schematic of each polishing stage during CMP. CHAPTER 3 Fig. 3.1 Oblique view of the polishing pads of (a) SUBA500, (b) IC60, (c) UR100 from the Rodel Inc. Fig. 3.2 Cross-sectional view of (a) SUBA500, (b) IC60, and (c) UR100 polishing pads at two magnifications (x20 and x66). Fig. 3.3 Top view of (a) SUBA500, (b) IC60, and (c) UR100 polishing pads at two magnifications (x40 and x200). Fig. 3.4 Schematic of experimental setup. Fig. 3.5 The material removal rate for each polishing pad. Fig. 3.6 Material removal rate variation with polishing pad density and compressibility. Fig. 3.7 Material removal rate variation with polishing pad roughness. Fig. 3.8 Friction force and coefficient variation on the wafer for each polishing pad. Fig. 3.9 Friction force variation with polishing pad density and compressibility. Fig. 3.10 Friction force variation with polishing pad roughness. Fig. 3.11 Material removal rate variation with (a) friction force and (b) coefficient. Fig. 3.12 Material removal per sliding distance with friction coefficient. Fig. 3.13 Preston’s coefficient variation during CMP process. Fig. 3.14 Preston’s coefficient variation with friction coefficient. CHAPTER 4 x Fig. 4.1 Material removal mechanism in lapping and CMP processes. Fig. 4.2 A cross-section of a UR100 polishing pad. Fig. 4.3 Illustration of pad/wafer interaction in CMP. Fig. 4.4 Definition of slurry film thickness. Fig. 4.5 Stribeck curve. Fig. 4.6 Lubrication under a wafer during CMP process. Fig. 4.7 Slurry film thickness variation with the wafer velocity. Fig. 4.8 Friction force variation between the wafer and the polishing pad with abrasive slurry. Fig. 4.9 Friction force variation between the wafer and the polishing pad without abrasive slurry. Fig. 4.10 Friction coefficient variation between the wafer and the polishing pad with abrasive slurry. Fig. 4.11 Friction force variation between the wafer and the polishing pad without abrasive slurry. Fig. 4.12 Two regimes in the Stribeck curve (regime I: commercial CMP condition, regime II: CMP condition used in this research). Fig. 4.13 Planarization of wafer by (a) a small slurry film and (b) a large slurry film. Fig. 4.14 Surface roughness of oxide surface in 1µm x 1µm area of (a) surface before CMP, (b) surface polished with small slurry film thickness, and (c) surface polished with large slurry film thickness. Fig. 4.15. Surface roughness variation with slurry film thickness. xi Fig. 4.16 Silicon wafer sliding on the polishing pad for a certain distance with (a) a small slurry film and (b) a large slurry film due to the velocity difference. Fig. 4.17 Material removal per sliding distance with velocity. Fig. 4.18 A proposed schematic of material removal in CMP for the slurry film thickness. Fig. 4.19 Mechanical and chemical removal for slurry film thickness. CHAPTER 5 Fig. 5.1 Chemical treatment of silicon wafer. Fig. 5.2 Experimental setup. Fig. 5.3 Scratching test on chemically treated and untreated area of silicon wafer. Fig. 5.4 Top view of initiation points of micro-scratches on (a) (b) chemically untreated and (c) (d) treated areas of silicon wafer (tool radius = 48 µm, tilt angle = 0.5 degree). Fig. 5.5 Oblique view of initiation points of micro-scratches on (a) (b) chemically untreated and (c) (d) treated areas of silicon wafer (tool radius = 48 µm, tilt angle = 0.5 degree). Fig. 5.6 Brittle/ductile cutting regimes of micro-scratches on (a) chemically untreated and (b) treated areas of silicon wafer (tool radius = 48 µm, tilt angle = 0.5 degree). Fig. 5.7 Extension of ductile/brittle cutting regime of micro-scratches (tool radius = 48 µm, tilt angle = 0.5 degree). xii Fig. 5.8 Micro-scratches on silicon wafer at specific locations with the same cutting width on (a, c, e, g, i, k) untreated and (b, d, f, h, j, l) chemically treated areas (tool radius = 350 µm, tilt angle = 0.05 degree). Fig. 5.9 AE raw signal from the scratch on the normal area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). Fig. 5.10 AE rms signal calculated from AE raw signal from the scratch on the normal area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). Fig. 5.11 AE raw signal from the scratch on the chemically treated area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). Fig. 5.12 AE rms signal calculated from AE raw signal from the scratch on the chemically treated area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). Fig. 5.13 Extension of ductile/brittle cutting regime of micro-scratches (tool radius = 350 µm, tilt angle = 0.05 degree). Fig. 5.14 Ductile/brittle transition in scratches on (a) the normal area and (b) the chemically treated area of silicon wafer. CHAPTER 6 Fig. 6.1 Silica abrasives in Nalco2352 silicon wafer polishing slurry, (a)(b) x45,000 and (c) x200,000 magnification respectively. Fig. 6.2 Preparation of abrasive-less and chemical-less slurries. Fig. 6.3 Silica abrasives sampled from (a) the normal and (b) the chemical-less slurries. xiii Fig. 6.4 Material removal per sliding distance of the chemical, the mechanical, and the normal polishing. Fig. 6.5 SEM pictures of silicon wafer surfaces (a) before CMP, (b) after normal CMP, (c) after chemical polishing, and (d) after mechanical polishing. Fig. 6.6 PSG oxide wafer surfaces before ((a) x45,000, (b) x85,000) and after ((c) x45,000, (d) x85,000) CMP. Fig. 6.7 Irregular silica abrasives sampled from the used silicon wafer CMP slurry. Fig. 6.8 Schematic of the material removal mechanism in CMP. Fig. 6.9 Schematic of (a) the mechanical and (b) the chemical polishing action. Fig. 6.10 Mechanical and chemical effect on the material removal in CMP. xiv LIST OF TABLES CHAPTER 3 Table 3.1 Material Properties of SUBA500, IC60, and UR100 (Rodel). CHAPTER 4 Table 4.1 Process parameters for the experiments on the effect of slurry film thickness on CMP performance. Table 4.2 Process parameters and Hersey numbers of experimental setups of the LMA machine and the Cybeq 3000, a commercial CMP tool. Table 4.3 A list of data for the planarization and surface roughness testing. xv ACKNOWLEDGEMENTS First and foremost, I would like to express my sincere gratitude for my research advisor, Professor David A. Dornfeld for his enlightening guidance and tremendous support. His exceptional supervision made it possible for me to complete my study and to reach this highlight of my life. I will never be able to thank him enough for helping to hone my intellect and shape my character. I would like to thank Professor Paul K. Wright for his invaluable support and guidance as a member of my qualifying exam and dissertation committee as well as an admirable mentor. I would also like to thank Professor Nathan W. Cheung for his intellectual discussions and insightful comments on my dissertation. I would like to thank Professor Richard E. Barlow for giving me precious help on my research and qualifying examination. I also thank Professor C. K. Hari Dharan and Professor Lisa Pruitt for their generosity and guidance in my qualifying examination. I am also grateful for the guidance by Professor Ömer Savas as an academic advisor when I started my graduate program here at Berkeley five years ago. I would like to thank Dr. Jeffrey W. Carr at Lawrence Livermore National Laboratory (LLNL) for his generous support and valuable comments on my research. I was lucky to know such a knowledgeable person during my academic career. Mr. Norman J. Brown, a former researcher at LLNL has been a wonderful teacher especially for the empirical study of my research as a knowledgeable specialist in optics polishing. The former and present graduate students in the Laboratory for Manufacturing Automation (LMA) have been a great help for me to complete my study. I have really xvi enjoyed the time working and having fun together. I would like to thank Chih-Hsing Chu, Dan Abels, Jianfeng Luo, Jinsoo Kim, Sangkee Min, and Yoon Lee. I would especially like to thank Andrew Kunung Chang and Kori Bevans for their great proofreading of my dissertation. My former labmates, Carsten Unger, Henning Dechow, Dr. Ilwhan Park, Dr. Jay Daniel, Dr. Jianshe Tang, Dr. Seong Hwan Lee, Dr. Yohichi Nakao and Dr. Xuemei Chen have been a great source of enjoyment and assistance. Also I feel very fortunate to have had Dr. Eungsug Lee at Korea Institute of Machinery & Materials for his various supports for my study. A former classmate and a close friend, Professor Dongsik Kim at University of Texas, Austin has helped me whenever I have had difficulties in my research. No words would be enough to express my sincere appreciation for my parents and their endless encouragement and support. They have always given me unconditional love and care. I am also indebted to my dearly loving family including my two brothers, Yongmin and Yongsang and their family, Hyunjung, and Suejung for their continuous support. Last, but not least, special appreciation and gratitude is given to my wife, Soyoung for her endless love, support, understanding, and patience throughout my study. I cannot imagine finishing my study without her precious support and love through my graduate program. xvii Support for my research was provided by the California semiconductor industry, the University of California UC-SMART program under contract 97-01, and the National Science Foundation through award NSF DMI-9813039. xviii CHAPTER 1 INTRODUCTION 1.1 Overview According to the Semiconductor Industry Association (SIA) roadmap, the design rule (line width) of integrated circuit (IC) devices in production was 0.25 µm in 1997, and extensive resources are being invested into research and development to realize 0.18 µmand even 0.15 µm-generation, IC technology in production [SIA, 1997]. Substantial technical innovations in photolithography and interconnection technologies are among the efforts being achieved to reach this sub-micron goal. Photolithography includes all the processes used to transfer a pattern from a mask to the wafer surface [Jaeger, 1989]. The pattern is transferred to a light-sensitive material, called photoresist, coated on the wafer surface by a form of radiation such as ultraviolet light, electron beam, or X-ray. As the feature size of the IC chip shrinks, the wavelength of light needs to be shorter, the depth of focus of lithography tools decreases, and, thus, the topography of the wafer surface becomes a severe barrier in focusing. The increasing number of multi-layer films in IC chips brings numerous technological challenges in interconnection. The major challenges encountered in interconnection technology are associated with the material changes (from SiO2 and Al to low-k dielectric and Cu) and the requirement of new process architectures (such as damascene process) [Wang, 1995]. 1 A planarization process becomes increasingly important to assure circuit performance and reliability as the number of multi-layer films required in very/ultra large scale integration (VLSI/ULSI) technology increases, and the wavelength of light in photolithography gets shorter. A new technique is also required in IC fabrication to build up the new process architecture and to manage new materials which are difficult to chemically etch. This is where chemical mechanical polishing, called CMP, process comes in. Chemical Mechanical Polishing has become one of the most widely used planarization techniques in interlevel dielectric (ILD) planarization, shallow trench isolation (STI), and metal damascene processes. It is one of the key fabrication processes in the manufacture of advanced IC devices. As indicated in the SIA roadmap, more research is needed especially on the fundamentals of CMP, Fig. 1.1 [SIA, 1997]. As a fundamental study on CMP, mechanical aspects of the material removal mechanism in CMP are investigated analytically and experimentally. Among the many important variables, the role of consumables (polishing pad and abrasive particles in the slurry, for example) in CMP performance is evaluated, and tribological characteristics (lubrication, friction, and wear) featured in CMP are also analyzed. To evaluate the role of the slurry, the influence of chemistry on mechanical removal in material removal mechanism is examined. The mechanical and chemical contributions to material removal are studied to determine the key mechanism of material removal in CMP. 2 CMP is classified as a loose abrasive machining process among manufacturing processes. Abrasive machining processes such as grinding, lapping, and polishing have been used as finishing processes for thousands of years. The need to manufacture optical instruments (e.g. microscopes, telescopes, etc.) became a driving force to trigger the heavy use of loose abrasive machining in manufacturing optics. As the semiconductor industry necessitated an advanced planarization method, the polishing process tailored for IC manufacturing became the process of choice for wafer planarization. As in traditional abrasive machining processes, the mechanical aspects of the material removal mechanism in CMP are important and should be considered as a leading mechanism of material removal. 1.2 Scope of dissertation This dissertation is composed of the following three main parts: (1) Background on chemical mechanical polishing (Chapter 2) (2) Material removal mechanism at the wafer scale – mechanical properties of the polishing pad and its relationship to process performance/effect of slurry film thickness in CMP (Chapters 3 and 4) (3) Material removal mechanism at the particle scale – slurry chemical influence on ductile/brittle transition depth using acoustic emission feedback/identification of the mechanical and chemical removal mechanism in CMP (Chapters 5 and 6) 3 In Chapter 2, background on the CMP process is introduced. The history of CMP is reviewed, and the critical elements and the major outputs are explained. As the critical variables, the role of pressure on the wafer and relative velocity between the wafer and the pad in CMP is examined. The consumables, abrasive slurry and polishing pad, are chosen, and their roles are identified. The significance of pad conditioning is briefly stated. Material removal rate and planarization are defined as the major outputs. A review of process models and material removal mechanisms in CMP is presented and the aspects of process monitoring and the post-CMP process are described. In Chapter 3, the mechanical, material, and geometrical properties of polishing pads typically utilized in the CMP process are examined. Next, the relationship between the properties of the polishing pad and CMP performance is identified empirically. Tribological aspects, such as friction and wear, of the CMP process are studied and related to the physical description of the interfacial behavior between the silicon wafer and the polishing pad. Finally, integration of the tribological aspects into a model for the CMP process is accomplished by using the relationship between Preston’s coefficient and the friction coefficient. In Chapter 4, the effect of slurry film thickness variation on CMP performance defined as material removal, planarization, surface defects, and surface roughness is investigated. The friction force variation with velocity is correlated to the slurry film 4 thickness under the wafer surface. Based upon the experimental results, the lubrication condition under the wafer in CMP is defined, and the contact mode between the wafer and the pad surfaces is estimated. The effect of slurry film thickness on material removal mechanism in CMP is proposed using the experimental and analytical results. The dependency of slurry film thickness between the wafer and the pad on velocity and Hersey number are identified. The necessity of modifying Preston’s equation is proposed using the influence of relative velocity on material removal per sliding distance. A possible need to optimize the slurry film thickness to balance the mechanical with the chemical removal in CMP is suggested. In Chapter 5, to identify the effect of slurry chemistry on the mechanical removal, the influence of the slurry chemical on the extension of ductile/brittle transition depth in silicon is investigated by using scanning electron microscope (SEM) analysis and by monitoring acoustic emission (AE) signals during a diamond cutting test. The AE raw and the AE rms signal are used to monitor the ductile-brittle cutting regimes and transition in scratching of silicon wafers. The variation of wafer surface property following chemical treatment is examined and a possible extension of ductile regime machining was identified. The role of a chemically reacted layer on the origin of the scratch/defect-free surface after CMP is also inspected. In Chapter 6, the mechanical and chemical contributions to material removal in CMP are investigated independently by using ‘chemical-less’ and ‘abrasive-less’ slurries. In 5 order to investigate the role of the abrasive and the chemical independently, a commercial slurry was separated to produce chemical-less and abrasive-less slurries. These, along with the original slurry, are used in a series of polishing experiments. Material removal in each of the three experiments is recorded and SEM analysis of the wafer surface and the abrasive particles is performed before and after polishing. The significance of the role of abrasives in material removal is identified. A material removal mechanism on the basis of interaction between abrasives and the wafer surface is proposed. The major conclusions of the study are detailed in Chapter 7. 6 First Year of IC Production 1997 250 nm 1999 180 nm 2001 150 nm 2003 130 nm 2006 100 nm 2009 70 nm 2012 50 nm STI CMP SLURRIES AND CLEANS CMP OF LOW k DIELECTRICS ON ETCHED Al Al CMP Cu CMP CMP AND CLEAN FUNDAMENTALS PLANARIZATION ALTERNATIVES TO CMP Reserach Required Development Underway Fig. 1.1 Planarization Potential Solutions. 7 Qualification/Pre-Production CHAPTER 2 BACKGROUND ON CHEMICAL MECHANICAL POLISHING (CMP) 2.1 Introduction Recently, there has been increasing demand for highly integrated, high performance integrated circuit (IC) chips. To meet this demand the IC fabrication industry first attempted to shrink the device dimensions, and then attempted to increase the number of metal/dielectric layers on the IC chip. However, when these two strategies were used in the IC fabrication processes, they resulted in severely uneven IC structures, which also brought about the additional problem in the photolithography step because it was difficult to focus on the highly non-planar structures, Fig. 2.1. Therefore, a new planarization technique was needed, and chemical mechanical polishing (CMP) emerged as the process of choice for microelectronic devices meeting the stringent critical dimension of the IC design rule and multilevel interconnection technology. CMP is a polishing process with more chemical reaction than conventional polishing processes and is customized for use as a planarization method in IC fabrication. A general schematic of the CMP process is shown in Fig. 2.2. The elements shown are the carrier which holds the wafer, the polishing pad on the polishing plate, and the slurry feeder supplying the abrasive slurry during the process. The carrier, in general, rotates about its 8 axis at the same time the polishing plate rotates with a minor oscillation. The abrasive slurry is supplied to the pad surface through the slurry feeder. Inside the carrier, there is a carrier film which holds the wafer using the effects of surface tension and capillary force. The polishing pad and the carrier film are typically made of a polymer such as polyurethane and polyester. Despite its increased use, achieving global planarization, controlling the material removal rate, and developing a new CMP process recipe (the make-up of the slurry, pad, and specific conditions) for a new product are still difficult as the critical dimension of the IC chip becomes smaller. Mainly these are due to the absence of an adequate and robust process model, reliable process monitoring techniques, fundamental knowledge of the material removal (mechanical and chemical elements) mechanism, and the effect of consumables (polishing pad and abrasive slurry). In this chapter, background on CMP is presented by reviewing the history of CMP, the critical components in the process, the major outputs, the process models, and material removal mechanism proposed to date. The aspects of process monitoring in CMP and the post-CMP process are also described. 9 2.2 History of CMP Chemical mechanical polishing (CMP) has been utilized in the semiconductor industry from the early 1950’s as a method to prepare the silicon wafer substrate for fabrication of IC chips [Bonora, 1977; Wolf, 1986]. As the demand for high density/high performance IC chips increased, a new planarization technique was needed. In the mid 1980’s, CMP first began to be applied to IC manufacturing as a global planarization method of the device wafer by IBM [Burggraaf, 1995] replacing traditional planarization methods such as reactive ion etching (RIE). It was introduced as a new planarization technique in IC manufacturing to the semiconductor industry in the late 1980’s, and the first technical paper on the application of CMP for 16 Mb DRAM technology was published in 1989 by Davari [Davari, 1989]. Initially, CMP was used for the planarization of interlevel dielectrics (ILD) such as silicon oxide film. But its application has spread widely among the IC fabrication processes including shallow trench isolation (STI), damascene process, planarization of low-k dielectric and copper film, and even micro-machining of micro-electro-mechanical system (MEMS) [Sivaram, 1992; O’Mara, 1994; Sethuraman, 1996; Yasseen, 1997]. The CMP process has become the process of choice for planarizing the IC wafer in current semiconductor manufacturing, and the number of its applications will increase as more stringent design rules in circuit design are required for high performance/high density IC chips. 10 2.3 Critical issues in CMP Critical components which control CMP performance are addressed here, and the principal process outputs are described. 2.3.1 Critical components in CMP Pressure is required to remove material from the wafer surface. It provides mechanical action by abrasive particles in the material removal mechanism and controls the characteristics of lubrication under the wafer surface. Pressure is defined as a load per unit area, which means the pressure is uniform if the load is uniform. The actual pressure applied on the wafer surface, in general, is not uniform due to the relative motion between the wafer and the pad, the hydrodynamic effect by the slurry, and the visco-elastic behavior of the polishing pad. To apply a uniform pressure on the wafer surface, various methods have been proposed [Yamada, 1993; Hayashi, 1996; Hansen, 1996]. Velocities of the wafer and the pad (as well as the normal pressure on the wafer) control material removal from the wafer and transport abrasive slurry to the wafer surface. The kinematics of the relative motion between the pad and the wafer in CMP were analyzed by using vector calculation [Brown, 1990; Hocheng, 1997]. Fig. 2.3 shows the major variables in calculating the relative velocity of the wafer. The oscillation of the wafer carrier was ignored in this calculation. The relative velocity, U, of an arbitrary 11 point, P, on the wafer can be expressed as a function of radius, R of the wafer and angle, Θ. U (R, Θ) = R 2 ⋅ (V p − Vc ) + V p ⋅ D 2 + 2 ⋅ R ⋅ (V p − Vc )⋅V p ⋅ D ⋅ cos Θ , 2 2 (2.1) where Vp is the angular velocity of the pad, Vc is the angular velocity of the carrier, and D is the distance between the center of the platen and the center of the wafer. The angular velocity of the carrier, Vc, is constant, so the time-averaged relative velocity of the wafer is the same as the averaged relative velocity with respect to the angle, Θ, from 0 to 2π. Therefore, the time-averaged relative velocity is U (R ) = U (R ) = 1 2π ∫ 2π 0 1 2π ∫ 2π 0 U (R, Θ)dΘ (2.2) R 2 ⋅ (V p − Vc ) + V p ⋅ D 2 + 2 ⋅ R ⋅ (V p − Vc )⋅ V p ⋅ D ⋅ cos ΘdΘ . (2.3) 2 2 Therefore, the rotation between the wafer and the pad becomes synchronous and the relative velocity of the wafer is constant when the angular velocities of the pad and the carrier are the same. The synchronized velocity condition is essential for uniform material removal at any point on the wafer surface. The abrasive slurry is a mixture of abrasive particles, a specially developed chemical solution, and de-ionized (DI) water. The abrasives, generally, are silica particles with a mean diameter less than 100 nm, Fig. 2.4. The chemical solution in the abrasive slurry is selected depending on the material to be polished to achieve the selectivity in the material removal between two materials. The slurry chemicals include: a buffering agent to control 12 pH of the slurry, an oxidizer, and a complexing agent to control the solubility of the wafer surface [Steigerwald, 1997]. The polishing pad is generally made from cast polyurethane with a cellular structure, or urethane coated polyester felt [Jairath, 1994]. A polishing pad, IC1000 from Rodel, Inc. is shown in Fig. 2.5. During the process, the polishing pad helps distribute fresh slurry to the wafer surface and provides contact between the abrasive particles and the silicon wafer, causing wear from the wafer surface. The mechanical, material, and geometrical properties of the polishing pad determine the material removal and planarization of the wafer and, ultimately, govern CMP performance. Recently, a fixed abrasive type of polishing pad was introduced by 3M, Fig. 2.6. The fixed abrasive pad has abrasives (such as cerium oxide) embedded on the pad surface and no abrasive slurry (except DI water) is required during the polishing. The conditioning of the polishing pad is essential in CMP due to the mechanical and material degradation of the pad and the ‘glazing’ effect, Fig. 2.7, on the pad surface during polishing. This is similar to the wheel dressing process in grinding. This degradation and glazing phenomena are the cause of the decrease and instability of the material removal rate during CMP. Conditioning is performed by abrading the pad surface with a diamondgrit wheel. It removes the glazing of the abrasive particles and recovers the initial surface roughness of the pad. 13 2.3.2 Major process outputs in CMP The material removal rate, generally measured in angstroms per minute, is an important parameter in deciding the production capability of CMP applied in IC fabrication. The material removal rate is dependent upon the pressure, velocity, polishing pad property, and abrasive slurry in CMP. Typical material removal rates of CMP used in IC production ranges from 1000 to 2000 Þ/min. Planarization is one of the critical elements required to meet the stringent design rules (sub-0.35 µm) of very large scale integration (VLSI) chips. Planarization of the wafer is measured using a 49-point inspection, Fig. 2.8 [Fury, 1995]. For the quantification of planarization, a standard method, called With-In-Wafer-Non-Uniformity (WIWNU) is used. If an oxide wafer is polished, the WIWNU is defined as, oxide thickness i +1 - oxide thickness i WIWNU(%) = Max × 100 , mean of total oxide thicknesse s (2.4) where i=0,1,.., n-1, n. (n = the number of points). Eq. (2.4) indicates that planarization is better with lower WIWNU values. It was found that the surface roughness is proportional to the mean abrasive particle size and the polishing pressure [Cook, 1990]. Surface roughness may depend on the balance between the mechanical and the chemical removal in the CMP process 14 [Steigerwald, 1997]. Typical surface roughness of the wafer surface after CMP is approximately in the range of 1 to 5 Þ root mean square (RMS) in 1µm x 1µm area. 2.4 Review: material removal mechanism and process model of CMP In this section, the current material removal mechanism and process model will be reviewed from three scales: wafer scale, feature scale, and particle scale [Runnel, 1994]. 2.4.1 Material removal mechanism and process model at the wafer scale A tribological model, Preston’s equation, has become a basic model for the CMP process [Preston, 1927]. The Preston model predicts that the volumetric removal rate at a point P on a workpiece is proportional to the normal load and the relative velocity. dh( x ) dL( x ) ds( x ) |P = C | , dt dA dt P (2.5) where h(x) is depth of wear, A is contact area, L(x) is total normal load, C is Preston’s coefficient, s(x) is sliding distance, and t is processing time. Preston’s coefficient, C, is a proportionality constant which depends on the properties of the polishing pad, the abrasive particles, and slurry as well as the material properties of the workpiece. Preston’s coefficient, therefore, closely depends on the process conditions and contains all the unknown factors that cannot be explained using 15 only velocity and pressure. Since the Preston model was developed for glass/optics polishing before the concept of CMP was proposed, it does not include the complicated physical and chemical phenomena (such as hydrodynamic effect, electrochemical process, and contact mechanics between the wafer and the pad, etc.) occurring in CMP. The Preston model, however, has been adopted as a basic models of CMP and shows the general dependence of velocity and pressure on the wear rate of the workpiece. Recently, the suitability of the Preston’s equation was examined [Tseng, 1997] and a modified Preston’s equation was proposed based upon the combined solid and fluid mechanics. 5 6 1 2 Material removal rate = M ( P,V ) ⋅ P ⋅ V , (2.6) where P is pressure, V is velocity, and M(P, V) is a function of P and V. A velocity model based on the kinematics of wafer-pad relative motion in a actual CMP process was proposed [Hocheng, 1997]. Runnels et al. [Runnels, 1994] first considered the hydrodynamic effect of the slurry film in CMP. There are three contact modes for any solid-solid interface which includes lubrication and relative motion: direct contact, semi-direct contact, and hydroplane sliding contact mode. In direct contact mode, the solid-solid contact supports the load between two surfaces. In semi-direct contact mode, the lubrication between two surfaces partially supports the load between two surfaces while some solid-solid contact still remains. In hydroplane sliding contact mode, only the hydrodynamic lubrication film supports the load between two surfaces. In Runnels’ model, the hydroplane sliding contact mode was assumed to be a physical phenomenon occurring in CMP. Under the assumption that the 16 slurry exhibits Newtonian behavior and the pad and the wafer are rigid and flat, the behavior of the slurry film was explained using Navier-Stokes equations for incompressible Newtonian flow. The slurry film thickness was proportional to the speed and viscosity, and the importance of wafer curvature on the slurry film thickness was demonstrated. Based upon the slurry flow behavior, the normal directional erosion rate of the wafer surface, Vn, was proposed as a function of the time-dependent tangential and normal contact stresses, σt and σn. Vn = f (σ t (t ), σ n (t )). (2.7) If the erosion rate in the normal direction is assumed as, Vn = C ⋅ σ t , 2 (2.8) and by using the following relationships, σt ≈ h∝ µ ⋅U , h (2.9) µ ⋅U , P⋅ A (2.10) where µ is viscosity, U is relative velocity, P is pressure, and A is wafer area, Vn becomes Vn ∝ C µ 2 U , µ ⋅U P⋅ A Vn ∝ µ ⋅ A ⋅ U ⋅ P . The Eq. (2.12) is identical to the form of Preston’s equation. 17 (2.11) (2.12) In Runnels’ study, the deflection of the polishing pad at the edges of the wafer and the stress distribution from the wafer-pad contact were modeled and compared with the experimental result [Runnels, 1993]. For the model of material removal rate (MRR), the vector-valued shearing stress, ||S||, on the wafer surface replaced the relative velocity in Preston’s model. MRR = k ⋅ P ⋅ S . (2.13) As the shear stress is closely related to the physics of wear and erosion, shearing stress was adopted. In a recent study [Runnels, 1998] using a wafer-scale phenomenological modeling, the Preston model was combined with an automatic model validation algorithm and the combined model was implemented in CMP modeling software environment called Plane-View. A pad-bending model has been proposed based upon the assumption that the pad behaves similar to a beam bending from the contact stress of the pad [Sivaram, 1992]. Using beam theory, the deflection in the vertical direction is υ (x ) = ω0 ⋅ ( x 4 − 2 ⋅ l ⋅ x 3 + l 3 ⋅ x) , 24 ⋅ E ⋅ I (2.14) where ωo is a normal load, E is elastic modulus, I is moment of inertia, and l is the length of beam. Since the pad deflection has a great influence on the wafer planarization, Eq. (2.14) was proposed as a method to measure the planarization of the wafer after CMP. . 18 As mentioned earlier, the contact mode between the wafer and the pad surfaces has been argued in the research on process modeling and material removal mechanism. According to the different contact modes, separate process models have been proposed. In hydroplane sliding contact mode, an erosion model based upon slurry-shear erosion and hydrodynamic lubrication theory has been proposed [Runnels, 1994]. In direct contact mode, a contact stress model and a pad-bending model have been proposed [Sivaram, 1992; Runnel, 1993]. It was found that in this semi-direct contact mode, none of the models from the direct contact and hydroplane sliding contact modes was in good agreement with the actual behavior of the CMP process [Bhushan, 1996]. 2.4.2 Material removal mechanism and process model at the feature scale A two-stage model relating the polishing time with the degree of non-planarity was proposed by Burke [Burke, 1991]. The first stage consists of an analytical model based upon the closed solution of an ordinary differential equation (ODE), and the second stage includes a more complicated model adapting the polishing rate to the actual non-planarity after iterations. In his model, Do is the percent polishing rate of low areas to that of a blank wafer, and So is the initial step height related with Do. For Do < 0.3 and a constant polishing rate U for ‘up’ areas, the polishing rate for ‘down’ areas is S D = 1 − (1 − Do ) ⋅ So 19 ⋅ U , (2.15) where S is the step-height and So is the step height value when D is Do. By using the governing equation for the change in step height with time, t, the final equation of the actual step height is U ⋅t ⋅(1− Do ) So − S = e So . (2.16) Warnock’s model [Warnock, 1991] is based on the physical description of the waferpad contact, Fig. 2.9. In this model, the pad deformation determines the horizontal length scale and the pad surface roughness determines the vertical length scale. Based upon this physical description, the polishing rate, Pi at a point, i on the wafer is Pi = K i ⋅ Ai , Si (2.16) where Ki is the kinetic factor (horizontal component), Ai is an accelerating factor (higher points on the wafer), and Si is the shading factor (lower points on the wafer). The meaning of the three coefficients is illustrated in Fig. 2.10. Si is high and Pi is low in lower regions and Ai is high and Pi is high in higher regions. Ki is calculated by an effective vertical component of the horizontal polishing rate and depends on the slope of the surface. Under the assumption that the polishing rate is linearly proportional to the pressure, Si is Si = e ∆zi z o , (2.17) where zo is a scaling factor for the vertical length scale and ∆z i is dependent upon how much the surrounding topography protrudes above point i. Ai is determined by the 20 iterative process from Si, and Ki is 1+Ko tanαi , where Ko is a model parameter and αi is a local angle between the horizontal and the polished surface. The Warnock model was improved by Runnels [Runnels, 1995] by allowing closer fits to experimental data and non-uniform characteristics of the wafer features after CMP. Patrick [Patrick, 1991] proposed in that the pressure increases at the leading edge of an IC feature on a wafer which travels relative to the polishing pad, Fig. 2.11. The polishing pad is compressed, and the pressure increase at the leading edge of the feature results in planarization developing from the edge toward the center of the feature. The diminished pressure due to the loss of pad contact occurs at the inside corner. It was proposed that the length from the base of the feature to the point at which the feature separates with the pad depends on the characteristics of the pad being used, including the dynamic response of the pad to deformation and the feature height. Thus, this physical analysis indicates that closely spaced features will wear more slowly than widely spaced features. This model explained the phenomena of superior material removal at the edges of the feature obtained from the experimental result. Ouma et al. proposed a two-stage CMP model considering the wafer-scale variation, within-die pattern dependence, and their interaction [Ouma, 1997]. For the local-density based model, a pattern dependent model, which is based on Preston’s equation, proposed by Stine was adopted [Stine, 1997], Fig. 2.12. 21 K ⋅t z = z 0 − z = g (x , y , K ) = ρ 0 ( x, y ) z = z − z − K ⋅ t + ρ (x , y ) ⋅ z 0 1 0 1 K ⋅ t < ρ 0 ⋅ z1 (2.18) K ⋅ t > ρ 0 ⋅ z1 ρ (x , y ) ρ ( x, y, z ) = 0 1 z > z 0 − z1 z < z 0 − z1 . (2.19) In Eq. (2.18) and (2.19), z is the oxide thickness, K is the polishing rate of the blank wafer, ρ0(x, y) is the local pattern density, and t is time. For the wafer-level modeling, a series of experiments using different down forces, table speeds, carrier speeds, and back pressures were conducted, and the removal rate was measured at a minimum of 121 sites on a blank wafer. A second order model of the polishing rate, R(x, y), is defined as, R( x, y ) = a + bx + cy + dxy + ex 2 + fy 2 , (2.20) where a, b, c, d, e, and f are model coefficients and x and y are spatial coordinates on the wafer. The coefficients are determined by a multiple regression using experimental data. The average K in the local density based model for each die, i is determined as, Ki = 1 Ai ∫∫ R(x, y )dxdy , (2.21) where Ai is the area of each die. Thus, the combined wafer/die mode for the CMP process predicts a pattern effect of any layout and the polishing characteristics of any wafer. 2.4.3 Material removal mechanism and process model at the particle scale 22 Yu et al. related the asperity of the polishing pad with the material removal rate in his model [Yu, 1993]. To simulate the distribution of the asperities of the polishing pad, a Gaussian distribution was adopted. Based upon Preston’s equation, the Preston coefficient was divided into three factors: a constant determined by the roughness and elasticity of the polishing pad, the effect of surface chemistry and abrasion by the slurry, and the contact area by the pad asperities. A model based on elastic theory and statistical method was proposed to explain the wear mechanism by abrasive particles by Liu et al. [Liu, 1996]. It was assumed that the depth of penetration of the abrasive particles into the pad surface is greater than that into the wafer surface, and the particles are in direct contact with the wafer surface during polishing, Fig. 2.13. The depth of penetration, H, is determined by the hardness of the abrasive and the wafer surface. By considering the duration of the penetration and the deformation of the surface, the material removal rate (MRR) is defined as, MRR = 3 HV w 2⋅R ⋅ H 2 ⋅V ⋅ HV + HV 3 p w , (2.22) where R is the radius of the abrasive particle, V is the relative velocity, HVw and HVp are Vickers’ hardness numbers for the wafer surface and the pad, respectively. The depth of penetration is calculated using Hertz’s contact theory. E + Ew H = C ⋅ a Ea ⋅ E w 23 2 3 F , ⋅ R (2.23) where Ea and Ew are Young’s moduli for the abrasive particle and the wafer surface, respectively, and C is a constant. By substituting Eq. (2.23) into Eq. (2.22), the material removal rate is defined as, HV w MRR = C ⋅ A ⋅ V ⋅ HV + HV p w Ea + E w ⋅ E ⋅E a w F ⋅ , A (2.24) where A is the area of the wafer, and F is the normal force. The constant C includes the effect of the slurry chemical under the assumption that the chemical and the mechanical actions are independent. The chemical interaction between the abrasive and the oxide surface was well defined by Cook [Cook, 1990]. In his glass polishing model, the factors determining the rate of mass transport during glass polishing are defined as the rate of water diffusion into the glass surface, the dissolution of the glass under the applied load, the adsorption rate of the dissolved material onto the abrasive surface, the re-deposition of the dissolved material onto the surface of the workpiece, and the aqueous corrosion between particle impacts. He also considered the material removal process as a plowing process by abrasive particles traveling across the wafer surface. Hertzian contact was assumed to be an indentation process by the abrasives and its contact stress was calculated from the theory of elasticity. The electrochemical effect and material removal mechanism in metal CMP were proposed by Kaufman and Sainio [Kaufman, 1991; Sainio, 1996]. In metal CMP, the chemical action by the slurry chemical dissolves the metal surface and forms a passivating 24 film preventing the isotropic chemical etching process on the wafer surface. By the mechanical action of the abrasive particles and the polishing pad, the passivated film is removed, achieving a degree of global planarization that is unmatched by the chemical etching process. In general, the dissolution rate of the metal surface was found to be two orders of magnitude lower than the polishing rate. 2.5 Process monitoring in CMP In CMP, the material removal rate and the planarization of the wafer vary with time during each wafer polishing and from wafer to wafer polishing, and, thus, it is quite difficult to predict and control the CMP performance in-situ. To control the polishing performance in-situ, a variety of process monitoring methods have been adopted [Fukuroda, 1995; Dishon, 1997; Bibby, 1998; Tang, 1998]. After the polishing process removes a certain thickness of layer from the surface, it is necessary to terminate the process at the desired end-point of the layer to prevent overpolishing, Fig. 2.14. Since there are too many variables which will have an influence on the material removal rate and the planarization in CMP, it is necessary to adopt a robust process monitoring technique to control the process performance. In predicting CMP processes, time is generally the only process variable in measuring the end-point. Numerous techniques have been proposed for in-situ end-point detection for use in CMP 25 [Bibby, 1998]. Among them are optical, electrical, and acoustic sensing methods. The primary techniques used in optical sensing are the interferometry, reflectance, and spectral reflectivity. Electrical sensing uses conductivity and impedance monitoring, or motor current measurement for friction monitoring. Acoustic methods measure the unique acoustic wave emitted from the different layers being polished. 2.6 Post-CMP process Since the CMP process is a ‘dirty’ process, it is necessary to clean the wafer with a post-CMP process after each polishing to minimize surface contamination on the wafer surface. Most contaminations originate from residual abrasive particles or slurry chemical on the wafer surface. In general, mechanical and chemical methods are combined and used as cleaning techniques in CMP. In mechanical cleaning, brush scrubbing, hydrodynamic jets, and ultrasonic or megasonic cleaning methods are commonly used. For chemical cleaning, HF and NH4OH solutions mixed with DI water are utilized. 26 Photolithography Out-of-focus New planarization technique required Fig. 2.1 Difficulty in the fabrication of integrated circuit (IC) chip. 27 Fig. 2.2 A general schematic of the CMP process. 28 Fig. 2.3 Kinematic variables in the CMP process. 29 Fig. 2.4 Silicon oxide abrasive particles from Nalco2352 silicon polishing slurry. 30 (a) (b) (c) (d) Fig. 2.5 Side view ((a) x20 and (b) x110) and top view ((c) x200 and (d) x400) of IC1000, polishing pad. 31 (a) (b) (c) (d) Fig. 2.6 Top view ((a) x40 and (b) x200) and oblique view ((c) x66 and (d) x300) of a fixed abrasive pad. 32 (a) (b) (c) (d) Fig. 2.7 A (a) new and (b, c, d) used IC1000 polishing pad without conditioning. 33 Fig. 2.8 49-point inspection to measure the with-in-wafer-non-uniformity (WIWNU). 34 Fig. 2.9 Physical description of the polishing process in Warnock’s model. 35 Fig. 2.10 Arbitrary surface indicating the meaning of S, A, and K factors in Warnock’s model. 36 Fig. 2.11 Schematic of pad deformation. 37 Fig. 2.12 Definition of variables used in Stine model. 38 Fig. 2.13 Schematic of the abrasive in contact with the wafer and the pad surfaces. 39 Fig. 2.14 A schematic of each polishing stage during CMP. 40 CHAPTER 3 MECHANICAL PROPERTIES OF THE POLISHING PAD AND ITS RELATIONSHIP TO PROCESS PERFORMANCE IN CHEMICAL MECHANICAL POLISHING (CMP) 3.1 Introduction In CMP, the polishing pad is one of the most critical elements having a direct impact on CMP performance (normally defined as material removal rate and planarization). The pad is typically manufactured from cast polyurethane with a cellular structure, or urethane coated polyester felt [Jairath, 1994], which is then sliced to the proper thickness. During the process, the polishing pad serves as a medium to provide contact between the abrasive particles and the silicon wafer, and also influences the degree of the wafer planarization. The nature of contact between the polishing pad and the workpiece during the CMP process has been determined to be strongly correlated to the mechanical, material, and geometrical properties of the polishing pad that ultimately govern CMP performance. The material removal rate of silicon and oxide CMP is inversely proportional to the density and shear modulus of the polishing pad [Bajaj, 1994; Li, 1995, Beeler, 1999]. A low-density pad tends to have a higher initial material removal rate than a high-density pad. The polishing rate of silicon wafer by the low-density pad, however, drops much 41 faster compared to that of the high-density pad because deterioration of the mechanical properties of the low-density pad occurs faster. Hence, the high-density polishing pad normally has a more consistent material removal rate during CMP processing. The consistency of the material removal rate is also known to be dependent upon the cellular structure (open-cell or closed-cell) of the pad [Anjur, 1998]. The material removal rate in CMP also decreases as the period of usage, the pad soaking time in water, and the pad surface temperature increase during CMP [Desai, 1994; Li, 1995]. The planarization efficiency in CMP has a close relationship with the pad properties as well. Generally a stiffer or harder pad has better planarization [Singer, 1994] than softer ones. The planarization is also controlled by the pad thickness and the use of a subpad which is generally softer than the regular pad [Devriendt, 1999]. A number of studies using the Finite Element Method (FEM) have been done to investigate the effect of stress distribution on the wafer as applied by the polishing pad on the efficiency of planarization [Murthy, 1997; Wang, 1997; Guo, 1998; Sasaki, 1998; Tseng, 1998]. The origin of the irregular planarization of the wafer in CMP has been explained by the nonuniformity of Von Mises, normal, and shear stresses applied on the workpiece by the polishing pad. The pad conditioning step is another important issue in CMP. Due to mechanical and material degradation, and more critically the ‘glazing’ effect, the polishing pad needs to be conditioned regularly in order to keep the process performance constant. Glazing occurs when the pores of the pad become clogged with abrasive particles from the slurry. 42 This phenomenon prevents uniform transport of the slurry across the wafer. Conditioning is usually done by abrading the surface of the pad with a diamond coated wheel, which recovers the original surface and the initial surface roughness of the pad. It has been observed that the material removal rate dramatically decreases with time without pad conditioning until it reaches a low steady-state value in CMP [Li, 1995; Stein, 1996]. The polishing pad profile after conditioning has a critical effect on the wafer uniformity after CMP [Freeman, 1996; Mullany, 1999]. The overall polishing pad lifetime can be extended by increasing the wafer backpressure during CMP processing [Janzen, 1996]. In this chapter, the mechanical, material, and geometrical properties of polishing pads typically utilized in CMP are examined. Next, the relationship between the properties of the polishing pad and the CMP performance is identified by an empirical method. Tribological aspects such as friction and wear occurring in the CMP process are studied and related to the physical description on the interfacial behavior between the silicon wafer and the polishing pad. Finally, an integration of the tribological aspects into a model of the CMP process is accomplished by using the relationship between Preston’s coefficient and the friction coefficient between pad and wafer surfaces. 43 3.2 Polishing pad in CMP Polyurethane is one of the engineered polymers and major material comprising the polishing pad [Fried, 1995]. It has high strength, good resistance to chemical, and high abrasion resistance. It is normally created by the step-growth polymerization of diisocyanates with dihydroxyl compounds [Jairath, 1994]. The foam structure in the polishing pad is made by a gaseous phase dispersion in the polymer possibly accomplished by a direct gas injection under pressure or by the addition of certain chemical agents which decompose into gas and other by-products [McCrum, 1997]. The structure of the polishing pad is basically an open cell structure and the mechanical properties of the cellular solids or foams are related to the properties of the cell wall material and to the cell geometry [Ashby, 1983]. Three categories of polyurethane polishing pads are commonly used in the CMP process: (1) impregnated felt substrated-coagulated urethane in a fiber matrix, (2) microporous polyurethane polishing material and (3) napped poromerics-porous urethane layers on supporting substrates. The first type is used for stock removal or rough polishing. Increased removal rates and extended pad life are achieved because of the improved pad porosity and reduced compressibility due to a special impregnation technique. The second type is designed to minimize scratching and provide optimum polishing performance such as global planarization. The third type is adopted to remove only small amounts of surface irregularities and is most often used in the final polishing step. 44 In the experiment, SUBA500, IC60, and UR100 polishing pads from Rodel were used, representing type I, type II, and type III of pad, Fig. 3.1, Fig. 3.2, and Fig. 3.3, respectively. 3.3 Preston’s wear equation The basis for the CMP process model which will be developed here is Preston's wear equation [Preston, 1927]. Preston’s equation states that the volumetric removal rate on a workpiece, due to the relative motion between surfaces, is proportional to the bearing load and the relative velocity. The equation has been accepted and utilized as a basic mathematical model for the CMP process, and has the form h& = C ⋅ P ⋅ v , (3.1) where P is pressure, v is relative velocity, h& is average height removed/unit time, and C is Preston’s coefficient. Preston’s coefficient, C, is simply a proportionality constant and, so far, it has not been fully determined which physical variables can affect its value. What has been determined is that C depends on the properties of the polishing pad and the abrasive slurry as well as the material properties of the workpiece. Thus, C is highly dependent upon the different operating conditions used in the specific CMP process and it is unique for each process. We can rewrite Eq. (3.1) as [Brown, 1990], 45 ∆h L ∆s =C⋅ ⋅ A ∆t ∆t → ∆h = C ⋅ L ⋅ ∆s A (3.2) (3.3) → ∆h ⋅ A = C ⋅ L ⋅ ∆s (3.4) → ∆V = C ⋅ L ⋅ ∆s, (3.5) where h is height removed, A is apparent contact area between workpiece and pad, L is contact load, V is volume of material removed, s is sliding distance, P is pressure on workpiece, and t is time. By multiplying Eq. (3.5) by the density of the workpiece, the mass removed can be obtained. ρ ⋅ ∆V = ρ ⋅ C ⋅ L ⋅ ∆s (3.6) → ∆m = ρ ⋅ C ⋅ L ⋅ ∆s , (3.7) where ρ is density of workpiece and m is mass. Therefore, Preston’s coefficient can be determined from Eq. (3.7), C= ∆m , ρ ⋅ L ⋅ ∆s (3.8) The energy input, ∆Q , should be proportional to the volume of material removed. ∆Q = k1 ⋅ ∆V , (3.9) where k1 is constant and ∆Q is energy input. The energy input is also proportional to the work done by friction, which is the product of the friction force, F and the displacement, ∆s , ∆Q = k 2 ⋅ F ⋅ ∆s , 46 (3.10) where k2 is constant and F is friction force between workpiece and polishing pad. Therefore, k 1 ⋅ ∆V = k 2 ⋅ F ⋅ ∆s , (3.11) k1 ⋅ C ⋅ L ⋅ ∆s = k 2 ⋅ F ⋅ ∆s , (3.12) k1 ⋅C ⋅ L . k2 (3.13) By using Eq. (3.5), →F = For Coulomb friction, which is assumed in this case, the friction force is defined as the friction coefficient, µ, times the normal load, L, F = µ ⋅L. (3.14) Therefore, we can obtain a mathematical relationship between Preston’s coefficient and the friction coefficient as, k1 ⋅C ⋅ L = µ ⋅ L k2 (3.15) k1 ⋅µ k2 (3.16) → C = α ⋅ µ, (3.17) →C = where α is constant of proportionality and µ is friction coefficient. Therefore, theoretically, Preston’s coefficient should be proportional to the friction coefficient. This relationship needs to be evaluated and verified experimentally. Preston’s coefficient in Eq. (3.17) can be obtained by Eq. (3.8) when the material removal is obtained experimentally. The friction coefficient in Eq. (3.17) is calculated from the friction force measured in-situ during the CMP process. 47 3.4 Experiments CMP experiments were conducted to study the effects of the properties of the polishing pad (density, compressibility, and surface roughness) on the material removal rate in CMP. Also the friction force between the silicon wafer and the polishing pad was measured in process and correlated with the properties of the polishing pad and the CMP performance. By using the friction force data, the relationship between the Preston’s coefficient and the friction coefficient was estimated and integrated in Preston’s equation. The CMP machine used in this experiment is a laboratory-scale polishing tool, which was originally designed as an optics polishing machine at Lawrence Livermore National Laboratory. The advantage of this machine is that the relative velocity between the wafer and the polishing pad is always constant due to the translational motion of the carrier. The carrier rotates with respect to the center of the polishing pad, not to the center of the wafer. Hence, the material removal rate, theoretically, is constant for any location of the wafer. In addition, a load cell (333.75N (=75 lb) maximum load) which is able to measure the friction force between the polishing pad and the workpiece is mounted on the polishing plate. The load cell was connected to an oscilloscope and a PC with a Gagescope data acquisition system for data collection. Polished bare silicon wafers (<100> orientation and P-type) were used as the workpiece. The slurry was Nalco 2352, a commercial colloidal silica polishing slurry with a mean abrasive particle diameter of 70-90 nm. The dilution ratio was 10:1 (de48 ionized (DI) water:slurry) which contains 4.5 % abrasives by weight in the slurry. The abrasive slurry (continuously stirred by a magnetic mixer) is supplied to the pad surface through a peristaltic pump with a 30 ~ 150 ml/min flow rate. The relative speed of the workpiece was 5 cm/s. The normal load on the wafer was 45N which corresponds to a pressure of 5.7 kPa. The polishing time for each silicon wafer was 5 hours. The CMP process was stopped every hour to measure the mass of workpiece. Before the mass measurement, the silicon wafer was cleaned first with an ultrasonic cleaner and air-dryer, and its mass measured using a Sartorius electric balance with 0.1 mg resolution. A Zygo Maxim3D laser interferometer with 0.1 angstrom resolution was used to measure the final surface roughness. Before each test, the wafer flatness was inspected using a Taylor-Hobson profilometer to exclude the geometric effect on the material removal rate and the friction force. The signal output from the load cell was amplified and measured every 10 minutes using a PC with a Gagescope data acquisition system at a 100Hz sampling rate. The signal was calibrated to convert it to an equivalent friction force. From Eq. (3.8), Preston’s coefficient was calculated and compared with the measured friction coefficient. All friction coefficients were sampled every 10 minutes for each hour and averaged to get a medium value. In other words, if µ1, µ2, ..., µ6 are the friction coefficients sampled at ten minute intervals for an hour, the medium value µ will be (µ1+µ2+...+µ6)/6. A schematic of experimental setup is shown in Fig. 3.4. The material properties of each pad are given in Table 1. 49 3.5 Results and discussion 3.5.1 Relationship between the material removal rate and the properties of the polishing pad The material removal rate for each silicon wafer polished using the three polishing pads ranged from 100 to 340 Þ/min, Fig. 3.5. The UR100 pad resulted in the maximum material removal rate and the IC60 had the minimum removal rate under the same process parameters including relative velocity and normal pressure. During the process, the material removal rate was nearly constant within a 15% variation. Since all the controllable process parameters except the polishing pad were fixed in this experiment, it is strongly believed that the discrepancy in material removal rates of each silicon wafer results from the difference of the mechanical, material, or geometrical properties of the polishing pads. In this study, among the properties of the pad, density, compressibility, and surface roughness were chosen for analysis. The material removal rate of silicon wafer by each pad was correlated to the pad density, compressibility, and surface roughness. The material removal rate of silicon wafer was inversely proportional to the pad density and proportional to the pad compressibility and surface roughness although the relationship was not exactly linear, Fig. 3.6 and Fig. 3.7. The relationship between the material removal rate and the properties of the polishing pad suggests that the material removal of silicon wafer in CMP is closely 50 related to the actual pad contact area to the wafer, which is an indication of the probability for abrasives to contact the wafer surface. With higher surface roughnesses of the pad, there is more contact between the pad and the wafer. If it is assumed that the abrasive particles trapped between the polishing pad asperity and the wafer surface cause material removal, the more pad area contact with wafer indicates the more material removal rate. The restriction of the slurry flow due to the pad surface roughness can be another factor to control the actual contact area between the polishing pad and the wafer surface. A polishing pad with high surface roughness can prevent the free flow of the abrasive slurry and, thus, the formation of the slurry film between the wafer and the pad as the wafer slides over the pad. A thin slurry film induced by a rough pad surface results in more pad contact with the wafer surface. A smooth pad, however, promotes the slurry flow and aids the formation of a slurry film between the wafer and the pad, resulting in less contact between the wafer and the pad. The decreased contact area between the wafer and the pad leads to less material removal. These experiments verified that the material removal rate is inversely proportional to the pad density and proportional to the compressibility of the pad. Using the relationship of the pad density with its mechanical properties, it is believed that the material removal rate is inversely proportional to the square root of the elastic modulus and shear modulus of the pad [Bajaj, 1994]. Material removal rate ∝ 51 1 ρ pad (3.18) 2 ρ pad E ∝ ρ polymer ρ pad G∝ ρ polymer (3.19) 2 → Material removal rate ∝ (3.20) 1 1 , , E G (3.21) where ρpad is pad density, ρpolymer is polymer density, E is elastic modulus, and G is shear modulus. Pads with low density also have low elastic and shear moduli, E and G. This causes larger deformation and higher compressibility of the pad surface. The large deformation of the pad surface causes increased contact between the polishing pad surface and the wafer. The increased pad contact due to the large deformation of pad will increase the probability that the abrasive particles trapped between the wafer and the pad asperity abrade more from the wafer surface. Pad density and compressibility have a strong relationship with the pore size and the number of pores. If a pad has a large pore size and a large number of pores, the pad density will be low and the compressibility will be high. The low pad density results in the low elastic and shear modulus, causing large deformation and higher compressibility of pad. The pad density is inversely proportional to its compressibility and the effect of compressibility was the opposite of that of the density effect. There is no material removal without the abrasive slurry. In other words, the polishing pad itself cannot remove material. It transports and supplies the abrasive slurry for the mechanical and chemical action. 52 The higher material removal also results from the enhanced abrasive slurry flow to the wafer surface and from the ease of transport of the abraded material from the wafer surface. The foam-structured polishing pad aids both the chemical action as well as the mechanical action. Although the pores cannot make the slurry reaction more active chemically, they can play a role in helping to transport slurry to all parts of the wafer uniformly. Following the mechanical action and the chemical action by the abrasive slurry, the pores in the pad facilitate the transportation of the removed material from the wafer surface. If pad materials do not have a sufficiently porous structure, the free flow of slurry in and out of the pad would be impeded, and this would result in the decrease of material removal from the wafer surface. The fatigue behavior or mechanical deterioration of the polishing pad affects the material removal of the wafer surface. The stability of the process output will change when pad properties vary during processing. Pads with low density are more likely to collapse under the applied load and immediately begin to lose their effectiveness. The pad with high density can maintain its initial polishing rate longer due to its rigidity even though its initial polishing rate is considerably lower than that of the low-density pad. 53 3.5.2 Relationship between the friction force and coefficient and the properties of the polishing pad Before considering the effect of the friction force on the workpiece, it was necessary to consider the effect of surface roughness variation of the workpiece. The surface roughness was measured before and after each CMP test for each silicon wafer. Before CMP, the surface roughness of the three wafers was typically around 2nm Rms over a 235µm x 212µm area. After the CMP process, the surface roughness was measured again to determine the change. The final surface roughness for the three polished wafers was around 3nm Rms over a 235µm x 212µm area. Compared to the typical surface roughness of a polishing pad(~ 100µm) [Stein, 1996], the effect of surface roughness variation of silicon wafer on friction force was negligible. The friction force variation applied on the wafer surface from the contact with the polishing pads was measured during the polishing process, Fig. 3.8 (a). Using the friction force data, the friction coefficient variation was calculated, Fig. 3.8 (b). Under the normal force of 45N, the friction force exerted by each pad ranged approximately from 15 to 40N, resulting in a range of the friction coefficient from 0.35 to 0.9. The friction force on the silicon wafer polished by UR100 was the highest of the polishing pads. The friction force by the IC60 pad was the lowest. This was also seen from the variation of the friction coefficient under the constant normal load. The relationship between the friction force and the properties of the polishing pad is similar to what has been observed from the relationship between the material removal 54 rate and the pad properties. The friction force applied on the wafer during the process was inversely proportional to the pad density and proportional to the pad compressibility and the surface roughness. The results verify the variation of actual contact area of polishing pad on the wafer. It is believed that the actual contact area between the polishing pad and the wafer surface increases as the density of polishing pad decreases and the compressibility and surface roughness increase. The increased actual pad contact area on the wafer surface causes higher friction force under a constant normal force, which was verified from the experimental results. In the relationship between the friction force and the surface roughness, the slurry film under the wafer, which acts as a lubrication film, also contributes in determining the friction force applied on the wafer. For the smooth pad, due to the enhanced formation of the slurry film, the friction force was minimized. In the relatively rough pad, since the creation of the slurry film is much more difficult due to the hindrance of the slurry flow and the impeded formation of the slurry film, the friction force between the wafer and the pad tends to be very large. 3.5.3 Relationship between the material removal rate and the friction force and coefficient The material removal rate of each silicon wafer was correlated with the friction force applied on the wafer surface and the friction coefficient calculated from the friction force. The material removal rate was proportional to the friction force and coefficient between the wafer and the pad, Fig 3.11. As the compressibility and surface roughness 55 of the pad increase, or the pad density decreases, the actual contact area between the wafer and the pad increases, causing an increase in the friction coefficient between wafer and pad surfaces. Under a constant normal force, the friction force applied on the wafer surface increases due to the increase in the friction coefficient. Since the increase of the actual contact area between the wafer and the pad also increases the material removal rate, the friction force and coefficient will be proportional to the material removal rate. By measuring the friction coefficient during the process, it is possible to estimate the material removal of a workpiece given a set of experimental parameters such as chemical composition of the slurry, abrasive particle size and material, and the surface material of the workpiece. First, to ensure the material removal was independent from the relative velocity of the workpiece, the material removal per sliding distance instead of material removal rate was calculated. The material removal per sliding distance is correlated with the friction coefficient obtained during the CMP process, Fig. 3.12. A first order interpolation was performed to calculate the proportionality constant for the relationship between the material removal per sliding distance and friction coefficient. ∆h = k ⋅ µ, ∆s (3.22) where k is proportionality constant which is 116.16 Þ/meter. Slurry film thickness under the wafer is a function of relative velocity of the wafer, normal pressure on the wafer, and viscosity of slurry, and the actual contact area is highly dependent upon the slurry film thickness, the properties of the polishing pad (density, compressibility, and surface roughness), and integrated circuit pattern density. 56 Therefore, assuming the friction coefficient is dependent upon the characteristics (slurry film thickness and actual contact area under the wafer surface) of the interface between the wafer and the pad, the material removal is predicted by monitoring the variation of the friction coefficient given a chemical in the slurry, abrasive particle size and material, and the material of the wafer surface. This is a promising in-situ method to monitor the material removal in CMP process. 3.5.4 Relationship between the Preston’s coefficient and the friction coefficient Preston’s coefficient was calculated from Eq. (3.8) and plotted versus time, Fig. 3.12. In Eq. (3.8), the material removal was taken from the experimental result. Similar to the result of the material removal rate, the UR100 pad had the highest value and the IC60 pad had the lowest. As expected from the analytical results, Preston’s coefficient was linearly proportional to the friction coefficient. After plotting each data set, a first order interpolation was performed to calculate α as 2.038×10-2 m2/N. The experiments verified that Preston’s coefficient is linearly proportional to the friction coefficient with a proportionality constant, α (=2.038×10-2 m2/N). C =α ⋅µ (3.17) → C = 2.038 × 10 −2 ⋅ µ . (3.23) Thus, a modified model for CMP process is proposed as 57 h& = C ⋅ P ⋅ v (3.1) h& = α ⋅ µ ⋅ P ⋅ v (3.24) h& = 2.038 × 10 −2 ⋅ µ ⋅ P ⋅ v. (3.25) For situations where the hardness of the workpiece, Pw, is less than 80% of the hardness of abrasive, 0.8Pa, the abrasion equation [Rabinowicz, 1975] can be used to determine the change in volume of an abraded workpiece, ∆V, as ∆V = tanθ L ∆s , π ⋅ Pw (3.26) where tanθ is weighted average of the tanθ value and θ is angle of abrasive grain with bearing surface. If Eq. (3.26) is compared with Eq. (3.5) after plugging the Eq.(3.17) in, ∆V = tanθ α ~ L ∆s = α ⋅ µ ⋅ L ⋅ ∆s π ⋅ Pw tanθ . Pw (3.27) (3.28) Therefore, assuming the friction coefficient is constant, the constant α can be expressed as a function of the material properties of workpiece such as hardness and the mechanical properties of the abrasive slurry. If we apply Eq. (3.17) for the volume removal, Eq. (3.5), ∆V = C ⋅ L ⋅ ∆s (3.5) → ∆V = α ⋅ µ ⋅ L ⋅ ∆s . (3.29) 58 By using the definition of the friction force and the work by the friction force, Eq. (3.29) changes to ∆V = α ⋅ F ⋅ ∆s (3.30) → ∆V = α ⋅ W friction . (3.31) Thus, the volumetric removal is linearly proportional to the friction force between workpiece and polishing pad and also to the work by friction force, Wfriction, during CMP. 3.6 Summary Experiments on the CMP process using silicon wafers and three different types of polishing pads were conducted to study the effects of the properties of the polishing pad (density, compressibility, and surface roughness) on the material removal rate and friction force between the silicon wafer and the polishing pad. The friction force applied on the wafer surface was also correlated with the material removal rate of the silicon wafer in CMP. The material removal rate of the silicon wafer is inversely proportional to the pad density and proportional to the pad compressibility and surface roughness. It is believed that this is due to the fact that the material removal for a silicon wafer in CMP is closely related to the actual pad contact area to the wafer. This is an indication of the probability of abrasives contacting the wafer surface. The friction force applied on the wafer during the process was inversely proportional to the pad density and proportional to the pad compressibility and the surface roughness since the actual pad contact area on the wafer 59 surface highly influences the friction force on the wafer surface. Thus, the material removal rate is proportional to the friction force between the workpiece and the polishing pad and also to the work done by the friction force on the workpiece for silicon CMP. By using the friction force data, the relationship between the Preston’s coefficient and the friction coefficient was estimated and integrated into Preston’s equation. Preston’s coefficient is linearly proportional to the friction coefficient during CMP. Therefore, the material removal can be predicted by measuring the friction coefficient applied between the wafer and the pad during the CMP process. 60 Table 3.1 Material Properties of SUBA500, IC60, and UR100 (Rodel). COMPRESSIBILITY(%) MEAN THICKNESS(IN)[cm] WEIGHT DENSITY(g/cm3) HARDNESS (SHORE A) SURFACE ROUGHNESS(µm)-PV SUBA500 7 0.05 [0.127] IC60 4 0.05 [0.127] UR100 14 0.059 [0.15] 0.37 0.685 0.35 65-78 52-60 Not available 133µm 58µm 186µm 61 (a) (b) (c) Fig. 3.1 Oblique view of the polishing pads of (a) SUBA500, (b) IC60, (c) UR100 from the Rodel Inc. 62 (a) (b) (c) Fig. 3.2 Cross-sectional view of (a) SUBA500, (b) IC60, and (c) UR100 polishing pads at two magnifications (x20 and x66). 63 (a) (b) (c) Fig. 3.3 Top view of (a) SUBA500, (b) IC60, and (c) UR100 polishing pads at two magnifications (x40 and x200). 64 Fig. 3.4 Schematic of experimental setup. 65 Material removal rate(angstrom/min) 500 450 400 UR100 350 300 250 SUBA500 200 150 IC60 100 50 0 0 1 2 3 4 5 6 Time(hour) Fig. 3.5 The material removal rate for each polishing pad. 66 Material removal rate(angstrom/min) 400 First order regression UR100 350 300 250 SUBA500 200 150 100 IC60 50 0.3 0.4 0.5 0.6 0.7 Pad density(g/cm3) (a) Material removal rate variation with polishing pad density Material removal rate(angstrom/min) 400 UR100 350 300 SUBA500 250 200 150 100 IC60 First order regression 50 2 4 6 8 10 12 14 16 Compressibility(%) (b) Material removal rate variation with polishing pad compressibility Fig. 3.6 Material removal rate variation with polishing pad density and compressibility. 67 Material removal rate(angstrom/min) 400 UR100 350 300 SUBA500 250 200 150 IC60 100 First order regression 50 40 60 80 100 120 140 160 180 200 Pad roughness(mm) Fig. 3.7 Material removal rate variation with polishing pad roughness. 68 50 45 UR100 Friction force(N) 40 35 30 SUBA500 25 20 IC60 15 10 5 First order regression 0 -1 0 1 2 3 4 5 6 Time(hour) (a) Friction force variation on the wafer for each polishing pad 1.0 UR100 0.9 Friction coefficient 0.8 0.7 SUBA500 0.6 0.5 IC60 0.4 0.3 0.2 0.1 First order regression 0.0 -1 0 1 2 3 4 5 6 Time(hour) (b) Friction coefficient variation on the wafer for each polishing pad Fig. 3.8 Friction force and coefficient variation on the wafer for each polishing pad. 69 40 UR100 Friction force(N) 35 30 SUBA500 25 IC60 20 First order regression 15 0.3 0.4 0.5 0.6 0.7 Pad density(g/cm3) (a) Friction force variation with polishing pad density 45 First order regression Friction force(N) 40 UR100 35 SUBA500 30 25 IC60 20 15 2 4 6 8 10 12 14 16 Compressibility(%) (b) Friction Force Variation with Polishing Pad Compressibility Fig. 3.9 Friction force variation with polishing pad density and compressibility. 70 45 UR100 Friction force(N) 40 35 SUBA500 30 25 IC60 20 First order regression 15 40 60 80 100 120 140 160 180 200 Pad roughness(mm) Fig. 3.10 Friction force variation with polishing pad roughness. 71 Material removal rate(angstrom/min) 400 UR100 350 300 SUBA500 250 200 150 IC60 100 50 First order regression 0 0 5 10 15 20 25 30 35 40 45 50 Friction force(N) (a) Material removal rate variation with friction force Material removal rate(angstrom/min) 350 UR100 300 250 SUBA500 200 150 IC60 100 50 First order regression 0 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 Friction coefficient (b) Material removal rate variation with friction coefficient Fig. 3.11 Material removal rate variation with (a) friction force and (b) coefficient. 72 First order regression Fig. 3.12 Material removal per sliding distance with friction coefficient. 73 Fig. 3.13 Preston’s coefficient variation during CMP process. 74 First order regression Fig. 3.14 Preston’s coefficient variation with friction coefficient. 75 CHAPTER 4 THE EFFECT OF SLURRY FILM THICKNESS VARIATION IN CHEMICAL MECHANICAL POLISHING (CMP) 4.1 Introduction Chemical mechanical polishing (CMP) is an abrasive machining process in which an aqueous abrasive slurry is used as a ‘cutting tool’ to remove material on a nano scale. Unlike other abrasive machining processes such as lapping and grinding, CMP still has an unclear description of the interaction of abrasives on the wafer surface with the surface of the polishing pad. In the lapping process, the major material removal mechanisms are micro-scratching or direct-indentation by abrasive particles on the workpiece [Phillips, 1977; Marshall, 1982; Golini, 1991]. Due to the relatively large size of abrasives and hardness of the lapping plate, direct contact of abrasive particles on the surface of workpiece is made [Izumitani, 1973; Chauhan, 1993; Buijs, 1993], Fig. 4.1. In CMP, the material removal is created due to the contact between the wafer surface and the polishing pad charged with abrasive slurry. The major material removal mechanism, however, is uncertain due to the relatively small size of abrasives (less than 0.1µm), the softness of the polishing pad, and the chemical reaction occurring on the wafer surface due to the chemistry of the slurry. To obtain a geometrical relationship between the pad asperity and the abrasives, a cross-section of a UR100 polishing pad was inspected by using a scanning electron 76 microscope (SEM), Fig. 4.2. The pad has double layers where the top layer is made of polyurethane and the bottom layer is urethane-impregnated polyester layer. The top layer, which is in contact with the wafer surface during CMP, consists of end fibrils and vertically oriented pores. The total height is approximately 400µm. The total thickness of the pad is nearly 1500µm. The abrasives, which are normally less than 0.1µm, are invisible at this scale. Therefore, it is improbable that the abrasives make indentations or micro-scratches through direct contact supported by the polishing pad or the plate under the pad. Based upon the geometrical relationship between the wafer and the pad surface, the microscopic view of the interface is schematically illustrated in Fig. 4.3. With applied normal pressure, the wafer slides on the pad, which is charged with abrasive slurry. According to the geometrical relationship illustrated, it is believed that the material removal rate will be influenced by the actual pad contact area on the wafer surface since the actual pad contact area on the wafer surface controls the number of active abrasives which are in contact with the wafer surface and abrade material from the wafer surface. Under a certain pad and slurry combination, the actual pad contact area, therefore, will heavily depend on the wafer-pad contact mode or the slurry film thickness, which is defined as the distance between the bottom of the wafer and the base surface of the polishing pad in this study, Fig. 4.4. In this study, the effect of slurry film thickness variation on CMP performance is investigated. Understanding the wafer-pad contact mechanics is fundamental and crucial to understanding the material removal mechanism of the CMP process as well as to better 77 control CMP performance. There exist quite a few factors which control the wafer-pad contact mode. The surface roughness (or bearing ratio), density, compressibility of the polishing pad and the slurry film thickness between the wafer and the pad which is controlled by relative velocity, pressure, and viscosity of slurry are among them. Given a certain consumable set (abrasive slurry and polishing pad), the major factor which dominates the wafer-pad contact mode is slurry film thickness between the wafer surface and the polishing pad. It has been acknowledged that understanding the behavior of slurry film thickness in CMP is fundamental to the investigation of material removal mechanisms and the development of a process model for CMP [Runnels, 1994]. The slurry viscosity, rotation speed, normal pressure, and wafer curvature are critical variables determining the slurry film thickness in CMP [Runnel, 1994]. The variation of slurry film thickness has been numerically calculated in terms of rotation speed and slurry viscosity [Runnels, 1994; Ticky, 1998] and was measured in-situ by using capacitance air gap probes [Levert, 1996]. A simplified two-dimensional numerical model of slurry flow under a wafer surface has been developed to predict the pressure and shear stress under a wafer [Rogers, 1998]. Wafer drag force and slurry film thickness have been measured experimentally. The characteristics of lubrication (boundary lubrication, elasto-hydrodynamic lubrication, and hydrodynamic lubrication) were determined and shown to depend on the lubrication film thickness [Williams, 1994]. In order to explain the behavior of the slurry film during CMP, hydrodynamic lubrication theory has been adopted [Runnels, 1994]. The slurry layer thickness was assumed to be in the range from 10 to 50 µm depending on the relative velocity of the wafer. 78 The wafer-pad contact mechanics will be considered in more detail in the following section 4.2 using three wafer-pad contact modes. In addition, the concept of hydrodynamic lubrication theory as applied to CMP will be explained. 4.2 Wafer-pad contact modes When the wafer slides on the polishing pad during CMP, there exist three types of contact modes between the wafer and the pad: direct, semi-direct, and hydroplane sliding [Komvopoulos, 1990; Williams, 1994; Runnels, 1994; Bhushan, 1995]. When the wafer rigidly contacts the pad surface with a high normal pressure and low relative velocity, the effect of lubrication will be negligible and the friction force at the interface will be high. This contact is known as direct contact. As the normal pressure decreases or the relative velocity between the wafer and the pad increases, the thickness of lubrication increases and the wafer will slide without much friction on the pad surface. This is called hydroplane sliding contact. Semi-direct contact is in the transition between direct and hydroplane sliding contact. A general relation of the friction coefficient and the lubrication film with viscosity, velocity, and normal load has been characterized by the Stribeck curve [Hutchings, 1992; William, 1994], Fig. 4.5. At low viscosity, low velocity, and high pressure, the lubrication film thickness is small and the state of contact of two surfaces is in direct contact. When two surfaces are in direct contact, the state of lubrication is called boundary lubrication. At boundary lubrication, the friction force in the interface of two 79 surfaces is extremely high. As the viscosity and velocity increase and the normal pressure decreases, the lubrication film thickness between two surfaces increases and the contact modes will change from direct contact to semi-direct and hydroplane sliding contact. The lubrication in semi-direct and hydroplane sliding contact mode correspond to the elasto-hydrodynamic and the hydrodynamic lubrication, respectively. The friction coefficient will initially decrease until elasto-hydrodynamic lubrication is the dominant lubrication; then it will start to increase after the lubrication between two surfaces reaches a state of hydrodynamic lubrication. The effect of three contact modes on CMP performance has been studied [Bhushan, 1995]. By controlling the thickness of slurry film between the wafer and the pad, it was found that the material removal of an oxide wafer is independent of normal pressure, relative velocity, and the pad surface properties. Three possible erosion models (padabrasion-based erosion, slurry-shear-based erosion, and pad-abrasion and slurry shear combined erosion) were proposed according to the wafer-pad contact mode and a phenomenological-physical hybrid model was developed to predict CMP performance [Runnels, 1996]. It has been previously shown that the slurry film thickness increases with the relative velocity of the wafer, slurry viscosity, and decreasing normal pressure on the wafer [Hersey, 1966; Schliting. 1979; Runnels, 1994]. Thus, the Hersey number measured for the process, defined as, Hersey number = viscosity ⋅ velocity pressure 80 (4.1) is an ideal indicator of slurry film thickness. Due to the fact that slurry film thickness influences the characteristics of lubrication, boundary lubrication, elasto-hydrodynamic lubrication, and hydrodynamic lubrication, the Hersey number is known to be a key parameter that indicates the wafer-pad contact mode (i.e. direct contact, semi-direct contact, and hydroplane sliding). Slurry film thickness is proportional to the square root of the wafer velocity and the Hersey number if pressure and viscosity are kept constant in the hydrodynamic lubrication regime [Runnels, 1994]. In this study, the effect of slurry film thickness variation on the CMP performance is investigated. First, the slurry film thickness variation is studied using hydrodynamic lubrication theory. By lubrication theory [Schlichting, 1979; White, 1986], the slurry film thickness is calculated based on the conditions used in the CMP experiment conducted in this study. The Hersey number [Hersey, 1966] is considered as a main process variable to determine the slurry film thickness. Among the three parameters (velocity, viscosity, and pressure) in the Hersey number, the relative velocity is the only variable to be controlled in this study. In addition, by measuring the friction force variation between the silicon wafer and the polishing pad and referring to the Stribeck curve [Williams, 1994], lubrication characteristics in CMP are determined. Next, the effect of slurry film thickness variation on CMP performance (such as material removal, planarization, surface defects, and surface roughness) is studied empirically. Based upon the results of this study, the dependence of the material removal mechanism on slurry film thickness is proposed. 81 4.3. Hydrodynamic effect and lubrication theory In CMP, the abrasive slurry removes the material from the wafer surface. The fluid in the slurry has many important functions in CMP. Besides direct chemical reaction, it carries and distributes abrasive particles evenly on the wafer surface and helps the wafer slide over the polishing pad without excessive friction. It also dissipates undesirable heat generated between the wafer and the pad surface. When the wafer moves on the polishing pad within the abrasive slurry, it is lifted or hydroplaned, and slides with little friction due to the thin fluid layer underneath it. In the thin fluid layer, the viscous force is predominant, and high hydrodynamic pressure is generated. This high hydrodynamic behavior is explained by lubrication theory. As shown in Fig. 4.6, a silicon wafer slides over a pad surface with the inclination of a positive angle, θ, and at the constant velocity, U. The pad surface is considered to be flat here. The width of this wafer is assumed to be infinite, which simplifies the problem to a two-dimensional problem. The height profile of the wafer surface is h(x) and the length of the wafer is L. The fluid layer under the wafer is thin, so the height h(x) is assumed to be much smaller than the length of the wafer L. Therefore, h( x ) <<1. L (4.2) The Navier-Stokes equations for the two dimensional, Newtonian, and incompressible flow with steady state conditions are 82 ∂ 2u ∂ 2 u ∂u ∂u ∂p + ρ u + v = ρg x + µ 2 + 2 ∂y ∂x ∂y ∂x ∂x (4.3) . ∂ 2v ∂ 2v ∂v ∂v ∂p + ρ u + v = ρg y + µ 2 + 2 , ∂y ∂y ∂y ∂x ∂x (4.4) where ρ: density of wafer, p: hydrodynamic pressure, u: flow velocity in xdirection, v: flow velocity in y-direction, gx, gy: acceleration of gravity in x and y axis, and µ: viscosity. In this fluid flow, the velocity in the y direction, v, is much less than that in the x direction, u. v<<u. (4.5) Therefore, after neglecting the effect of gravity, which is valid when fluid buoyancy is not dominant, (4.4): ∂p ∂p dp = 0 → p = p( x ) → = ∂y ∂x dx (4.6) (4.3): ∂ 2u ∂ 2 u dp ∂u + ρ u = µ 2 + 2 . ∂x ∂y dx ∂x (4.7) Using dimensional analysis to compare the two terms on the right side of Eq. (4.7), gives: ∂ 2u U ∂ 2u U ~ 2 , ~ ∂x 2 L ∂y 2 h 2 (4.8) ∂ 2u U 2 2 ∂x L2 h ~ = << 1 . U L ∂ 2u h2 ∂y 2 (4.9) 83 Therefore, ∂ 2u ∂ 2u << 2 . ∂x 2 ∂y (4.10) If we compare the viscous and inertia forces from Eq. (4.7) after examining Eq. (4.10), ∂u U 2 ρU Inertia force ∂x L ρUL h ~ = = << 1 . U µ L Viscous force ∂ 2u µ 2 µ 2 h ∂y ρu (4.11) The inertia force can be ignored with respect to the viscous force in the fluid layer considered. Therefore, the final Navier-Stoke’s equation is dp ∂ 2u =µ 2 dx ∂y . (4.12) The boundary conditions are x= -L/2, p = pa y = 0, u = -U x= L/2, p = pa y = h(x), u = 0, where pa is atmospheric pressure. In order to get the velocity function, u, Eq. (4.12) can be integrated twice with respect to y because the pressure is a function of x. u= 1 dp 2 y + C1 y + C2 . 2 µ dx (4.13) After applying the boundary conditions for Eq. (4.13), u= 1 dp 2 1 1 dp 2 y + U − h y −U. h 2 µ dx 2 µ dx The integral form of mass conservation here is 84 (4.14) ∫ h( x ) 0 udy = constant = Q , (4.15) where Q = Volume flow rate Therefore, Q=∫ h( x ) 0 udy = ∫ h( x ) 0 1 dp 2 1 1 dp 2 y + U − h y dy h 2 µ dx 2 µ dx Uh h 3 dp =− − . 2 12 µ dx (4.16) (4.17) If we rewrite Eq. (4.17) with respect to p(x), dp Q U = −12 µ 2 + 3 . 2h dx h (4.18) The right side is a function of only x and can be integrated with respect to x. After using boundary conditions (x = -L/2, p = pa), p = −6 µU ∫ x L − 2 x 1 1 2 dx − 12 µQ ∫ L 3 dx + pa . − h h 2 (4.19) With the boundary conditions (x = L/2, p = pa), 1 Q=− U 2 where L 2 L − 2 L 2 L − 2 ∫ 1 dx h2 ∫ 1 dx h3 h(x) = ax+b = (tan θ)x+ ha ha = the averaged height θ = the tilt angle µ = viscosity of fluid. 85 , (4.20) If U = 10m/s, µ = 10-3(N S)/m2, L = 0.174m, θ = 0.01 degree, ha = 100µm, and pa = 1 atm = 105 Pa, the maximum pressure can increase to approximately 10 times the atmospheric pressure. By using force equilibrium between the normal force and the force by hydrodynamic pressure created under the silicon wafer surface, the slurry film thickness is calculated with respect to the velocity. In order to obtain the force, P, created by hydrodynamic pressure, p, the hydrodynamic pressure, Eq. (4.19) is integrated with respect to the diameter of the wafer, L. Given the viscosity, µ, wafer diameter, L, and atmospheric pressure, pa, the hydrodynamic pressure force will be a function of velocity, U, averaged height, ha, and tilt angle, θ. L L x 1 x 1 P = ∫ 2L pdx = ∫ 2L − 6µU ∫ L 2 dx − 12 µQ ∫ L 3 dx + p a dx = P(U , ha ,θ ) − h − h − − 2 2 2 2 (4.21) The normal force, FN, is in a state of equilibrium with the hydrodynamic pressure force, P. Assuming that the tilt angle, θ, remains constant during CMP, the velocity, U and the averaged height, ha will be the variables of the function, P. FN = P(U , ha ) (4.22) A commercial mathematics application software, Mathematica, was utilized to calculate the variation of the slurry film thickness with respect to the sliding velocity of the wafer. The result is shown in Fig. 4.7. In this calculation, the parameters required in the calculation were based upon the experimental setup utilized in this study to support the experimental results. In this calculation, the normal force was 100N, the slurry 86 viscosity, 10-3 (N S)/m2, the wafer diameter, 100mm, the wafer tilt angle, 0.001 degree, and the atmospheric pressure, 105 Pa. In Fig. 4.7, the x-axis is velocity ranging from 0 to 20 cm/s and the y-axis is slurry film thickness ranging from 0 to 6 mm. The slurry film thickness ranged from 2.5 to 5.7 mm and increased with respect to the square root of the relative velocity of wafer, which is in agreement with the previous work [Runnel, 1994; Modak, 1997]. The calculated thickness is unrealistic, however, and this may be due to the oversimplification of the wafer as an infinite plate and the surface of the polishing pad as perfectly flat. 4.4 Experiments The objectives of this experiment are to measure the friction force variation which is strictly correlated to the slurry film thickness and velocity and to identify the effect of slurry film on CMP performance. Performance is defined here as material removal, planarization, surface defects, and surface roughness. A schematic of the experimental setup was previously shown in Fig. 3.4. Workpieces and process parameters adopted for this experiment are listed in Table 4.1. Polished bare silicon wafers (<100> and p-type) were used in the friction force measurement and the material removal tests to eliminate the effect of surface roughness of the workpiece on the friction and material removal. The load cell was calibrated to measure an equivalent friction force, and the friction coefficient was calculated based on that measured friction force. Friction coefficients 87 were sampled every 10 minutes for each hour and averaged to obtain a mean value at each velocity. For the material removal tests, the mass removed from the workpiece for each velocity condition was measured every 10 minutes for an hour. For the evaluation of planarization and surface roughness effects on slurry film thickness, only oxide wafers with measured initial curvature and peak-to-valley height of less than 5 µm were chosen as workpieces. After CMP, the oxide thickness was measured with a Nanospec film thickness measuring system while the surface roughness and defects were measured using an atomic force microscope(AFM) and a Zygo laser interferometer, respectively. 4.5. Results and discussion 4.5.1 Effect of slurry film thickness variation on friction force, friction coefficient, and wafer-pad contact mode. The friction force on the wafer surface during CMP was measured with respect to velocity in the presence of the abrasive slurry, Fig. 4.8. In this experiment, 4 normal loads ranging from 10 to 62 N were applied to the wafer during polishing. To verify the influence of abrasive slurry on the friction force, the friction force was also measured without slurry on the pad, Fig. 4.9. In Fig. 4.8 and 4.9, the x-axis is velocity ranging from 0 to 20 cm/s and y-axis is friction force ranging from 0 to 40 N. 88 The friction force between the wafer and the pad in dry polishing had a slightly negative slope with velocity, and the averaged difference between the initial and the final friction was approximately 10% of the initial friction, Fig. 4.9. This result is identical to results of previous research [Rabinowicz, 1995]. When abrasive slurry was used, the overall absolute amount of the friction force decreased, and, more interestingly, the friction force decreased dramatically with increasing velocity, i.e., increasing slurry film thickness, Fig. 4.8. The averaged difference between the initial and the final friction was almost 80% of the initial friction. Thus, it is evident that the abrasive slurry greatly reduced friction between the wafer and the pad, and from the Stribeck curve, the slurry film thickness between the wafer and the pad increased as the relative velocity increased. Since the slurry viscosity and the normal load were kept constant for each data set, the slurry film thickness also increases with the Hersey number. In the case of dry sliding, there is no influence of the relative velocity of the wafer on the variation of the distance between the wafer and the pad surface. The friction coefficient was calculated from the friction force data, and its variation was plotted with relative velocity, Fig. 4.10 and 4.11. In Fig. 4.10 and 4.11, the x-axis is velocity ranging from 0 to 20 cm/s and y-axis is friction coefficient ranging from 0 to 1. The friction coefficient in dry polishing ranged from 0.45 to 0.6 and slightly decreased with respect to the relative velocity, Fig. 4.11. When abrasive slurry was applied, the friction coefficient dramatically decreased to near zero as the wafer velocity increased, Fig. 4.10. 89 According to the Stribeck curve, Fig. 4.5, the friction force between two surfaces in direct or semi-direct contact initially decreases and reaches a minimum value just before the lubrication between the two surfaces enters the hydrodynamic lubrication regime. The minimum friction coefficient in the Stribeck curve is related to the fact that the viscous shear losses in the lubrication film and the friction due to solid contact are both relatively within a low range [Suh, 1986; Johnson, 1989; William, 1994]. As the Hersey number continues to increase, the friction force starts to increase. From our experiments, the friction force between the wafer and the pad decreased as the Hersey number increased. Thus, it is believed that the lubrication condition under the wafer in CMP is closer to the boundary lubrication or elasto-hydrodynamic lubrication, than to the hydrodynamic lubrication. This contradicts the general view that hydrodynamic lubrication represents the behavior of the slurry film [Runnel, 1994]. The contact mode between the wafer and the pad surfaces is believed to be in direct contact or semi-direct contact, not in hydroplane sliding mode. The Hersey number from our experimental setup and process parameters of a commercial CMP machine [Hetherington, 1996] were calculated and compared to identify the characteristics of lubrication and contact mode of a commercial CMP process, Table 4.2. Since the standard normal pressure utilized in a commercial CMP machine is much higher than that of our laboratory experiment, the commerciallyadopted Hersey number will be much lower than that used in our experiment. The slurry film thickness determined in this study, therefore, is considerably greater than the slurry film thickness commonly used in the commercial CMP process. 90 It has been acknowedged that in boundary lubrication, the friction coefficient in contacting surfaces may remain constant or increase depending on the roughness of each surface [Williams, 1994]. Thus, it is believed that the lubrication used in this experiment is primarily within elasto-hydrodynamic lubrication and the contact mode is the semi-direct contact mode, Fig. 4.12. From the calculated Hersey number, it is proposed that the lubrication under a wafer in the actual CMP process is generally near the border of boundary and elastohydrodynamic lubrication or within boundary lubrication regime. The contact mode between the silicon wafer and the polishing pad surface is believed to be in direct or semi-direct contact modes in a commercial CMP process. 4.5.2 Effect of slurry film thickness variation on the wafer planarization. The oxide film thickness on the wafer was measured at twenty points on the diameter parallel to the wafer primary flat to identify the effect of the slurry film thickness on the planarization before and after CMP, Fig. 4.13. In Fig. 4.13, the x-axis is the wafer diameter ranging from -60 to 60 mm and y-axis is oxide thickness ranging from 0 to 1200 nm. Maximum and a minimum wafer velocities (17.5 and 2.7 cm/s) of the CMP machine used were chosen to simulate a large and a small slurry film thickness under the wafer. The upper and lower graphs show the oxide film thickness of the wafer before and after CMP, respectably. The original oxide thickness was 1000nm and the final thickness ranged approximately from 910 to 970 nm. The oxide wafer surface polished at low speed showed good planarization after CMP except for approximately 91 two data points from each edge. The oxide surface polished at high speed, however, showed irregular surface characteristics. Therefore, the more the wafer contacts the pad surface, the better the planarization will be. To quantify wafer planarization, a standard parameter, With-In Wafer Non-Uniformity (WIWNU) was calculated. The WIWNU is defined as the maximum of, WIWNU(%) = oxide thickness i +1 - oxide thickness i mean of total oxide thicknesse s × 100 , (4.23) where i=0,1,.., n-1, n (n = the number of points). Eq. (4.23) indicates that planarization is better with lower WIWNU values. From the data obtained from the experiment, WIWNU of the oxide surface was calculated, Table 4.3. The WIWNU of oxide wafer polished by a large slurry film was 5% while the oxide surface polished by a small slurry film had 2.25 % WIWNU. It is believed that this observed influence of slurry film thickness on the wafer planarization is due to the wafer-pad contact mechanics. A more detailed description will follow in section, 4.5.4. 4.5.3 Effect of slurry film thickness variation on the wafer surface roughness and defects. Surface roughness of the oxide wafer before and after CMP was measured along with measurements of oxide thickness variation using an atomic force microscope (AFM). Three measuring areas, 0.5µm x 0.5µm, 1µm x 1µm, and 5µm x 5µm, were 92 chosen and silicon wafers in three stages (before CMP, after CMP using a minimum speed ( 2.7cm/s), and after CMP using a maximum speed (17.5 cm/s)) were tested. Three AFM images of 1µm x 1µm area oxide surfaces are shown in Fig. 4.14. It is seen that there are apparent differences in the oxide surface before, Fig. 4.14(a), and after CMP, Fig. 4.14(b)(c). Compared to the oxide surface before CMP, the micro-texture of the oxide surface changed from a fine to a coarse structure after CMP. The oxide surface polished by a small slurry film exhibited a slightly rougher surface than that polished by a large slurry film and several nano-scale scratches of approximately 100 nm in length were observed on this rougher surface and considered as surface defects. Surface roughness of oxide surfaces before and after CMP were calculated and given according to two definitions, Ra. and Rms. in Table 4.3 and plotted with respect to the slurry film thickness in Fig. 4.15. In the figure, the y-axis is surface roughness in the nano-meter scale. The surface roughness of the oxide wafer, in general, increased after CMP. The difference of surface roughness before and after CMP was maximum in the 5µm x 5µm area measurements. The surface roughness of the oxide wafer increased as the slurry film thickness between the wafer and the polishing pad decreased as observed in Fig. 4.15. It is believed that this result is caused by the dependence of the material removal mechanism on the slurry film thickness. Additional explanation of the influence of slurry film thickness on the wafer planarization will be provided in the following section, 4.5.4. As mentioned in the analysis on surface roughness variation, surface defects such as nano-scratches on the wafer surface were more frequently observed on the oxide surface 93 polished using a small slurry film. The degree of scratching on the surface, however, was not quantified in this study. 4.5.4 Effect of slurry film thickness variation on the material removal and its mechanism. In this study, the material removal per sliding distance of the wafer was measured instead of the material removal rate with the wafer velocity. This measure was used in order to compare the material removal of each wafer with respect to only the slurry film thickness between the wafer and the polishing pad, Fig. 4.16. If the material removal rate with the wafer velocity is used, the variation of the amount of wear from the wafer will be the result of wafer sliding distance as well as from the slurry film thickness effects. The material removal per sliding distance was correlated with the wafer velocity to identify the dependency of material removal on the slurry film thickness, Fig. 4.17. In this experiment, three normal loads, 44.7, 61.9, and 79.1 N were utilized. In Fig. 4.17, the x-axis is velocity ranging from 0 to 20 cm/s and the y-axis is material removal per sliding distance ranging from 0 to 250 Þ/m. The upper graph indicates the material removal when the normal load is 79.1 N and the lower one is plotted for a 44.7 N normal load. As indicated in Fig. 4.17, the material removal per sliding distance was relatively high at low wafer speed. As the wafer sliding speed increased, the material removal per sliding distance decreased and asymptotically approached a certain value in the range of 60 to 80 Þ/m. In other words, when the wafer slides more closely to the pad surface, the 94 material removal for a unit travel distance is aggressive and high. As the wafer starts to glide over the pad surface with increasing slurry film thickness, the material removal for a unit travel distance diminishes and becomes low. From this study, a material removal mechanism of CMP with respect to the slurry film thickness is proposed, Figs. 4.18 and 4.19. When the wafer has a small slurry film under it due to a high pressure and a low velocity, the actual contact area of the polishing pad on the wafer surface increases. The increased pad contact will also increase the number of the active abrasive particles which will actually contact and abrade the wafer surface. Due to the increase of contact of abrasives on the wafer surface, the material removal is high and the mechanical removal (nano-plowing and nano-scratching) is dominant compared to the chemical removal (erosion). The dominant mechanical removal increases the possibility of generating surface defects such as nano-scratches on the wafer surface and, thus, a relatively rough surface is generated, compared to a surface finished by a chemically dominant removal. The intimate contact of the polishing pad on the wafer surface, however, creates a better global planarization after CMP. When the wafer has a large slurry film under it due to low pressure and high velocity, the actual contact area of the polishing pad on the wafer surface decreases. The decreased pad contact will also decrease the number of the active abrasive particles which will actually contact and abrade the wafer surface. Due to the decrease of contact 95 of abrasives on the wafer surface, the material removal is low and the chemical removal (erosion) by the slurry plus minor mechanical removal (minor nano-plowing and nanoscratching) becomes the dominant material removal mechanism. The dominant chemical removal and reduced mechanical removal decreases the possibility of generating surface defects such as nano-scratches on the wafer surface and, thus, a relatively smooth surface is generated, compared to a surface finished by a mechanically dominant removal. It is more difficult, however, to achieve global planarization since the chemically dominant material removal is more isotropic in directionality, as in an etching process. The evidence showing the dependence of material removal on the slurry film thickness suggests the need to modify Preston’s equation for use as a practical CMP model. This is because the material removal per sliding distance is considered to be constant with velocity from the standard Preston’s equation. Furthermore, it may prove useful to optimize the slurry film thickness to balance the mechanical with the chemical removal to maximize the material removal and improve planarization (as measured by WIWNU) in the CMP process. Preston’s wear equation, predicting the material removal rate on a workpiece due to the relative motion between surfaces, is proportional to the load on the workpiece and the relative velocity. Preston’s equation, Eq. (3.1), can be rewritten as, h& = C ⋅ P ⋅ v (3.1) → ∆h ∆s = C⋅P⋅ ∆t ∆t (4.24) → ∆h = C ⋅ P, ∆s (4.25) 96 where P is pressure, v is relative velocity, h& is average height removed/unit time, C is Preston’s coefficient, s is sliding distance, and t is time. The material removal per sliding distance, theoretically, is constant at any velocity since there is no velocity term in Eq. (4.25). According to the experimental results, Fig. 4.17, the material removal per sliding distance clearly decreased with respect to the velocity due to the increase of slurry film thickness. Preston’s equation has been widely applied in the lapping process where the main material removal is caused by mechanical action through direct contact (indentation, scratching, or plowing) of the abrasive particles on the workpiece. In CMP, however, the application of Preston’s equation may not be entirely reliable since the material removal mechanism is more complicated and the roles of pressure and velocity differ from those in lapping. Since the dependence of material removal on the slurry film thickness is not explained by Preston’s equation, it needs modification for use as a practical model for CMP. 4.6 Summary Since CMP uses an aqueous slurry, the lubrication effect of the slurry is an important element in determining CMP performance. The effect of slurry film thickness variation on CMP performance (defined here as material removal, planarization, surface defects, and surface roughness) was investigated. The friction force variation with velocity was correlated to the slurry film thickness under the wafer surface. 97 The abrasive slurry greatly reduced the friction between the wafer and the pad, and the friction force decreases as the slurry film thickness between the wafer and the pad increases. Thus, it is believed that the lubrication condition under the wafer in CMP is closer to boundary lubrication or elasto-hydrodynamic lubrication, than to hydrodynamic lubrication. The contact mode between the wafer and the pad surfaces is believed to be direct contact or semi-direct contact, but not hydroplane sliding mode. When the wafer has a small slurry film under it due to high pressure and low velocity, the actual contact area of the polishing pad on the wafer surface increases. The increased pad contact will also increase the number of the active abrasive particles which will actually contact and abrade the wafer surface. Due to the increase of contact of abrasives on the wafer surface, the material removal is high and the mechanical removal (nano-plowing and nano-scratching) is dominant compared to the chemical removal (erosion). The dominant mechanical removal increases the possibility of generating surface defects such as nano-scratches on the wafer surface and, thus, a relatively rough surface is generated, compared to a surface finished by a chemically dominant removal. The intimate contact of the polishing pad on the wafer surface, however, creates a better global planarization after CMP. When the wafer has a large slurry film under it due to low pressure and high velocity, the actual contact area of the polishing pad on the wafer surface decreases. The decreased pad contact will also decrease the number of the active abrasive particles which will actually contact and abrade the wafer surface. Due to the decrease of contact of abrasives on the wafer surface, the material removal is low and the chemical removal (erosion) by the slurry plus a minor mechanical removal (minor nano-plowing and nano98 scratching) becomes the dominant material removal mechanism. The dominant chemical removal and reduced mechanical removal decreases the possibility of generating surface defects such as nano-scratches on the wafer surface and, thus, a relatively smooth surface is generated, compared to a surface finished by a mechanically dominant removal. It is more difficult, however, to achieve global planarization since the chemically dominant material removal is more isotropic in directionality, as in an etching process. The slurry film thickness between the wafer and the pad is proportional to the velocity of the wafer and the Hersey number, and the effect of slurry film thickness variation on the CMP process performance (material removal, planarization, surface defects and roughness) is significant. Since the dependence of material removal on the slurry film thickness is not explained by Preston’s equation, it needs to modify to use as a practical CMP model. Furthermore, it may prove useful to optimize the slurry film thickness to balance the mechanical with the chemical removal to maximize the material removal and improve planarization (as measured by WIWNU) in the CMP process. 99 Table 4.1 Process parameters for the experiments on the effect of slurry film thickness on CMP performance. Workpiece Friction force measurement and Planarization, surface roughness, material removal variation and defects 4 oxide wafers 5 polished bare silicon wafers (<100> and p-type) (1 µm thick thermal oxide) Polishing pad Slurry IC60 Nalco2352 ILD1300 Dilution ratio (DI water:slurry) 10:1 Flow rate 50ml/min Normal force 10-80N 80N Velocity 3-18cm/s 3 and 18cm/s Polishing time 10 minutes – 1 hour 10 and 20 minutes 100 Table 4.2 Process parameters and Hersey numbers of experimental setups of the LMA machine and the Cybeq 3000, a commercial CMP tool. The LMA machine Cybeq 3900 0.127 kPa 51 kPa Velocity 0.2 m/s Platen/Head = 20/15 rpm Averaged relative velocity = 0.84 m/s Viscosity 10-3 N s/m2 10-3 N s/m2 Hersey number 1.57‰10-6 1.65‰10-8 Normal pressure 101 Table 4.3 A list of data for the planarization and surface roughness testing. Planarization (WIWNU) Surface roughness (5µmx5µm) Surface roughness (1µmx1µm) Surface roughness (0.5µmx0.5µm) Before CMP V= 17.5cm/s (large gap) V= 2.7cm/s (small gap) Less than 1% 5% 2.25% Rms= 0.173nm Rms= 0.347nm Rms= 0.381nm Ra= 0.129nm Ra= 0.271nm Ra= 0.293nm Rms= 0.153nm Rms= 0.170nm Rms= 0.202nm Ra= 0.114nm Ra= 0.133nm Ra= 0.154nm Rms= 0.123nm Rms= 0.123nm Rms= 0.131nm Ra= 0.097nm Ra= 0.093nm Ra= 0.098nm 102 Abrasive particle (10-150mm) Abrasive slurry Workpiece Abrasive particle (less than 0.1mm) Workpiece Polishing pad Lapping plate Polishing plate Lapping process CMP process 100mm 100mm Soda lime glass surface by lapping Silicon wafer surface by CMP Fig. 4.1 Material removal mechanism in lapping and CMP processes. 103 End fibrils 100µ µm Vertically oriented pores 400µm Urethane impregnated polyester felt 1500µm Fig. 4.2 A cross-section of a UR100 polishing pad. 104 Fig. 4.3 Illustration of pad/wafer interaction in CMP. 105 Fig. 4.4 Definition of slurry film thickness. 106 Film thickness Friction coefficient Direct contact Semi-direct contact Elastohydrodynamic lubrication Hydroplane sliding Hydrodynamic lubrication Boundary lubrication Hersey number(= Viscosity ⋅Velocity Pressure Fig. 4.5 Stribeck curve. 107 ) Fig. 4.6 Lubrication under a wafer during CMP process. 108 Fig. 4.7 Slurry film thickness variation with the wafer velocity. 109 40 L= 10.3N L= 27.5N L= 44.7N L= 61.9N First order regression 35 Friction force(N) 30 25 20 15 10 5 0 -5 0 2 4 6 8 10 12 14 16 18 20 Velocity(cm/s) Fig. 4.8 Friction force variation between the wafer and the polishing pad with abrasive slurry. 110 40 L= L= L= L= First order regression 35 10.3N 27.5N 44.7N 61.9N Friction force(N) 30 25 20 15 10 5 0 -5 0 2 4 6 8 10 12 14 16 18 20 Velocity(cm/s) Fig. 4.9 Friction force variation between the wafer and the polishing pad without abrasive slurry. 111 1.0 First order regression 0.9 L= 10.3N L= 27.5N L= 44.7N L= 61.9N Plot 3 Regr Plot 3 Pred1 Friction coefficient 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 0 2 4 6 8 10 12 14 16 18 20 Velocity(cm/s) Fig. 4.10 Friction coefficient variation between the wafer and the polishing pad with abrasive slurry. 112 1.0 L= 61.9N L= 44.7N L= 27.5N L= 10.3N Plot 2 Upper Control Plot 2 Mean Plot 2 Lower Control 0.9 Friction coefficient 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 First order regression 0.0 0 2 4 6 8 10 12 14 16 18 20 Velocity(cm/s) Fig. 4.11 Friction force variation between the wafer and the polishing pad without abrasive slurry. 113 Friction coefficient Film thickness I II Direct contact Semi-direct contact Elastohydrodynamic lubrication Hydroplane sliding Hydrodynamic lubrication Boundary lubrication Hersey number(= Viscosity ⋅ Velocity Pressure ) Fig. 4.12 Two regimes in the Stribeck curve (regime I: commercial CMP condition, regime II: CMP condition used in this research). 114 1200 1100 Oxide thickness(nm) 1000 900 800 700 600 500 400 300 Before CMP After CMP 200 100 0 -60 -40 -20 0 20 40 60 Diameter(mm) (a) Planarization with a small slurry film thickness 1200 1100 Oxide thickness(nm) 1000 900 800 700 600 500 400 300 Before CMP After CMP 200 100 0 -60 -40 -20 0 20 40 60 Diameter(mm) (b) Planarization with a large slurry film thickness Fig. 4.13 Planarization of wafer by (a) a small slurry film and (b) a large slurry film. 115 (a) (b) (c) Fig. 4.14 Surface roughness of oxide surface in 1µm x 1µm area of (a) surface before CMP, (b) surface polished with small slurry film thickness, and (c) surface polished with large slurry film thickness. 116 (a) Ra surface roughness variation (b) Rms surface roughness variation Fig. 4.15. Surface roughness variation with slurry film thickness. 117 (a) (b) Fig. 4.16 Silicon wafer sliding on the polishing pad for a certain distance with (a) a small slurry film and (b) a large slurry film due to the velocity difference. 118 Material removal per sliding distance(A/m) 250 Second order regression 225 200 L = 44.7N L = 61.9N L = 79.1N 175 150 125 100 75 50 25 0 0 2 4 6 8 10 12 14 16 18 20 Velocity(cm/s) Fig. 4.17 Material removal per sliding distance with velocity. 119 (a) (b) Fig. 4.18 A proposed schematic of material removal in CMP for the slurry film thickness. 120 Material removal/sliding distance Mechanical removal(major) Chemical removal+Mechanical removal(minor) Velocity(=slurry film thickness) Fig. 4.19 Mechanical and chemical removal for slurry film thickness. 121 CHAPTER 5 STUDY OF SLURRY CHEMICAL INFLUENCE IN DUCTILE/BRITTLE TRANSITION DEPTH IN CHEMICAL MECHANICAL POLISHING (CMP) USING SCANNING ELECTRON MICROSCOPE (SEM) AND ACOUSTIC EMISSION (AE) SENSOR 5.1 Introduction Unlike other conventional abrasive machining processes (lapping and grinding), CMP uses a special chemical slurry with added abrasive particles to enhance the material removal rate, to achieve a better surface finish, and to increase the selectivity of the material removal between two adjacent materials such as metal and oxide layers in IC fabrication. In general, the chemical in the abrasive slurry is selected according to the material to be polished to achieve the necessary chemical interaction and compatibility with the polishing surface. Typical constituents that are mixed with de-ionized (DI) water in the slurry chemical include: a buffering agent, an oxidizer, and a complexing agent [Steigerwald, 1997]. The buffering agent is added to keep the pH of the slurry constant during the process, thereby preventing any unpredicted chemical reactions and reducing the agglomeration of the abrasive particles and to increase the material removal rate from the wafer surface. The oxidizer plays a role in the reaction with metal surfaces to increase the oxidation state of the metal through a reduction/oxidation process and to 122 promote dissolution of the metal surface. Complexing agents control the solubility of the thin film to be polished and, thus, the balance between the mechanical removal and the chemical removal, occurring during the process. The role of slurry chemical in the chemical reaction of the wafer in CMP has been identified. It was proposed that in oxide CMP, the water in the slurry diffuses into the oxide film and breaks the silicon-oxygen bonds, which weakens the glass network and leads to the dissolution of the network on the oxide surface [Izumitani, 1979; Cook, 1990; Steigerwald, 1997]. The depth of water diffusion into the oxide film was also calculated and predicted to be 0.5 ~ 12 nm [Cook, 1990]. In silicon polishing, the role of OH-, which is normally provided by a KOH buffer in the colloidal silica slurry, has been acknowledged to be significant in the silicon chemical etching during silicon polishing [Pietsch, 1994; Pietsch, 1995]. The concentration of OH- determines the oxidation reaction of the silicon surface and the oxidized surface, which is a silicon oxide, is removed by the same chemical reaction proposed for oxide CMP. In metal CMP, it has been acknowledged that the metal oxide film is created by an oxidizer in slurry chemical and this film prevents further chemical etching by the slurry [Kaufman, 1991]. The abraded material from the metal film is dissolved by the complexing agent in the slurry chemical to prevent any redepositon on the wafer surface [Steigerwald, 1997]. As opposed to the known contributions of the chemical reactions, minimal investigation has been done on the role of the mechanical action occurring in CMP. 123 It has been acknowledged that for glass polishing in the optics industry, the water in the slurry compounds attacks the siloxane bonds (Si-O-Si) and causes the corrosion of glass. This corrosion process of glass produces a silica rich gel film (= hydrated layer) which is relatively easy to remove by the mechanical action of abrasives due to its relative softness [Izumitani, 1971; Izumitani, 1973; Tomozawa, 1985; Brown, 1989; Cook, 1990; Golini, 1991; Kirk, 1994]. It was reported the thickness of this hydrated layer is of the order of 0.5 µm [Kirk, 1994]. It was also found that the polishing rate is determined by a combination of the chemical durability of the glass and the hardness of the hydrated layer [Izumitani, 1971]. As a part of a fundamental study on the material removal mechanism of CMP, the slurry chemical influence on the change of material property of silicon wafer surface is identified by examining an extension of the ductile/brittle transition depth of a silicon wafer. When scratching a brittle material, both ductile and brittle modes of cutting are observed with respect to the depth of cut [Blackley, 1991; Schinker, 1991; Daniel, 1996; Kunz, 1996; Leung, 1998]. At shallow depths of cut, brittle materials behave in a ductile-cutting mode. It is expected that the ductile-cutting regime can be extended by property changes in the outer surface of silicon wafer. Acoustic emission (AE) has been utilized as an indicator to identify the material-removal regime in glass and silicon machining [Bifano, 1992; Dornfeld, 1993; Webster, 1994; Chang, 1995; Daniel, 1996; Lee, 1998]. In this study, the AE raw and the AE rms signal are used to monitor the ductile-brittle cutting regimes and transition in the scratching of silicon wafers. 124 5.2 Ductile/brittle-cutting regime in diamond turning of brittle materials Single-point diamond turning has been carried out in machining brittle materials (such as glass and silicon) to produce high quality optical surfaces without any postprocessing such as polishing [Blackley, 1991; Shigeru, 1996; Morris, 1996]. It has been reported that the crystalline characteristics of a brittle material can be transformed to a ductile, metallic, or amorphous structure by large compressive stress (812 GPa) [Lambropoulos, 1998; Puttick, 1998, Kerstan, 1998]. This crystalline- amorphous transition was verified by a study that showed the electrical conductivity of a brittle material dramatically increases under a high hydrostatic pressure [Clarke, 1998]. In diamond turning of brittle materials, excessive hydrostatic compressive stress is created underneath the diamond cutting edge, which enables plastic behavior and ductilemachining of brittle materials such as silicon. At a certain cutting speed, this ductilemode cutting is limited by a critical depth of cut. The critical depth of cut is influenced by the properties of the workpiece material and a model of this critical depth is defined as, 2 E K d c = 0.15 c , H H (5.1) where dc is the critical depth of cut, E is the elastic modulus, H is the hardness, and Kc is the fracture toughness of the glass [Bifano, 1988; Bifano, 1991]. Beyond the critical depth of cut, the cutting mode changes to brittle-mode cutting where median and lateral cracks start to propagate. Below a certain depth of cut, the 125 ductile/brittle-mode cutting transition depends on the cutting speed and tool geometry [Kerstan, 1998]. The transition of ductile/brittle mode cutting of brittle materials has been monitored using acoustic emission (AE) sensor [Bifano, 1992, Daniel, 1996; Lee, 1998]. In this study, the influence of the slurry chemical on the extension of ductile/brittle transition depth of silicon is investigated by using scanning electron microscope (SEM) analysis and by monitoring acoustic emission signals generated during a diamond scratch test. 5.3 Process monitoring using acoustic emission (AE) Acoustic emission (AE) refers to the elastic energy or waves which are abruptly generated by materials being deformed and fractured [Miller, 1987]. Sources of AE range from earthquakes and rock bursts in mines as natural sources to crack nucleation and growth, moving dislocations, slip, twining, grain boundary sliding, and the fracture of inclusions in materials. These elastic waves generated from these various sources travel to the surface of the material and can be detected by a piezoelectric transducer, or AE sensor. AE sensors generally consist of a disk of piezo material as a electro-mechanical conversion device mounted in a metal housing and damping material filled inside of metal housing [Miller, 1987]. Acoustic emission detection has been used as a nondestructive testing method since its development in the 1950’s because it is sensitive enough to characterize material 126 behavior and to detect small changes in mechanical equipment. Acoustic emission, as generally defined, propagates with frequencies from 100 kHz to 2 MHz which is beyond most natural frequencies of machine structures [Bifano, 1992]. AE detection has been used as an on-line monitoring method of various manufacturing (especially precision manufacturing) processes, such as metal cutting, welding, diamond turning, burnishing, and grinding [Dornfeld, 1980; Inasaki, 1985; Bifano, 1992]. In the burnishing process, for example, it was found that there is a strong correlation between the characteristics of the disk textures and the AE signal [Dornfeld, 1993]. Due to the requirements for high sensitivity in machining of brittle materials, acoustic emission monitoring has been used to detect the onset of brittle fracture in diamond turning of brittle materials [Bifano, 1992; Daniel, 1996; Lee, 1998]. In this experiment, the AE raw and the AE rms signal are monitored to examine the extension of the transition of ductile-brittle cutting regimes in the scratching of silicon wafers from the influence of the slurry chemical used in CMP. 5.4 Experiments Polished silicon wafers (<100>, p-type) were used as workpieces. For the chemical treatment, half of a silicon wafer was placed in a CMP slurry for 30 minutes at 47oC, Fig. 5.1. The CMP slurry was simulated by diluting Nalco2352 silicon wafer polishing slurry from Rodel with DI water using the same dilution ratio (DI water:slurry = 10:1) normally 127 adopted in production CMP processes. A series of scratching tests were performed using a PNEUMO diamond turning machine, Fig. 5.2. During the scratching test, scratches were made in the [001] direction in each chemically treated and untreated areas in order to obtain constant cutting conditions for each scratch made by one stroke of diamond tool (rake angle, crystallographic orientation of wafer, inclination angle, etc), Fig. 5.3. Two diamond tools from Contour Fine Tooling, Inc. with a 48µm and a 350µm nose radii were mounted in the tool holder and a 399µm/s feed rate was used. To impose an increasing depth of cut during the scratch, two wafer adapters with tilt angles of 0.05 and 0.5 degrees were utilized. The top surface of the wafer adapters was diamond cut to promote a flat contact surface with the wafer. Thirteen vacuum holes (0.0625 inch in diameter) were drilled coinciding with the locations of the vacuum holes in the vacuum chuck so that the wafer is stationary during the scratching test. A DECI Pico-Z acoustic emission sensor attached to the wafer surface was used to monitor the scratching tests for the 350 µm tool nose radius and 0.05 degree tilt angle. The AE raw signal after pre-amplification (40db) and amplification (20db) was collected using 500 kHz sampling rate and a 200kHz – 2 MHz bandwidth filter. The moving AE rms signal was calculated using a 10ms window length. examined using a Scanning Electron Microscope (SEM). 128 Individual scratches were 5.5 Results and discussion 5.5.1 SEM analysis Each scratch was inspected using a scanning electron microscope (SEM) at magnifications ranging from 600x to 20000x. The top view of the initiation points of the micro-scratches on the chemically untreated and treated areas (tool radius = 48µm, tilt angle = 0.5 degree) is shown in Fig. 5.4. In the scratch on the chemically untreated area of the silicon wafer, Fig. 5.4 (a)(c), it was noticed that the formation of lateral cracks was more active and it often went beyond the cutting edge. To find the ductile cutting regime, the starting points of the scratches were inspected with a higher magnification (x6000), Fig. 5.4 (b)(d). Smooth and crackfree ductile-cutting regimes were noticed at the starting points of the scratches on both chemically untreated and treated areas. The crack initiation started at a certain point for both scratches. To verify the extension of the ductile/brittle regime, the initiation points of microscratches were inspected in an oblique view, Fig. 5.5. It was apparent that the ductilecutting regime, which is the length from the initiation point to the location at which the median/lateral cracks begin, was extended for the scratch on the area of chemical treatment. In order to calculate the length of extended ductile cutting regime and the critical depth of cut, dc, the cutting edge was marked on the top view of the initiation point of the scratches, Fig. 5.6. The length of the ductile regime was approximately 9.2 µm for the scratch on the chemically untreated area and 11.7 µm on the scratch on the 129 chemically treated area. By using the inclination angle of the wafer adapter, the depth of cut at which the brittle regime begins can be calculated for each scratch on the untreated and the chemically treated areas of the silicon wafer, Fig. 5.7. The ductile/brittle transition depth (critical depth of cut) of the untreated area (dc1) was approximately 80 nm, while it was 102 nm for the chemically treated area (dc2). Therefore, from the SEM analysis, it was found that the ductile regime was extended by 2.5 µm and the ductile/brittle transition depth was extended by 22 nm on the silicon wafer after chemical treatment SEM analysis of the scratches also showed the change of surface property of the silicon wafer before and after chemical treatment, Fig. 5.8. Scratches were made using a 350 µm tool radius and 0.05 degree of tilt angle from the wafer adapter and magnifications from 2000x to 20000x were used for the SEM pictures. To exclude the effect of the depth of cut on ductile-brittle transition study, locations with the same cutting width along both the treated and untreated scratches were selected for SEM analysis Scratches on the chemical-treated area did not have distinct cutting paths and edges compared to those on wafers without chemical treatment, Fig. 5.8(a)(b). In addition, the edges of cracks on the chemical-treated areas were not distinct, Fig. 5.8(c, d, e, f). The texture on the scratch surface on the chemical-treated area looked rough and coarse, Fig. 5.8(g, h, I, j, k, l). From the SEM analysis, it is believed that the surface property of the silicon wafer changes from ‘brittle’ to ‘ductile’ after slurry chemical treatment. 130 It has been reported that an elastic contact regime exists before ductile mode cutting in plunge cutting of brittle materials [Brinksmeier, 1996]. Similarly, it is believed that an elastic contact regime exists before ductile mode cutting in this scratch test although no trace of damage was observed in the SEM analysis. 5.5.2 Acoustic emission (AE) monitoring of scratch test The AE raw signal was captured at a 500kHz sampling rate during the scratch tests on both areas (with and without chemical treatment) of the silicon wafers, Fig. 5.9 and 5.11. The moving rms graphs were obtained by post-processing the raw AE signal, Fig. 5.10 and 5.12. The time window used for the moving rms was 0.01 seconds (5000 points). The AE raw signal from the scratch on the untreated area clearly showed three different cutting regimes: air-cut/elastic contact (noise signal before tool engagement), ductile-mode (first burst emission), and brittle-mode cutting (second burst emission), Fig. 5.9. These three different cutting regimes were easier to observe from the AE rms signal, Fig. 5.10. The AE rms signal increased at a certain rate (ductile-mode cutting) and rapidly increased at a higher rate (brittle-mode cutting). The duration of the ductile-mode cutting was approximately 0.019 seconds, which represents 7.6 µm in length. The AE raw signal from the scratch on the chemically treated area also showed three different cutting regimes, Fig. 5.11. The amplitude of the AE raw signal, however, gradually 131 increased at approximately 0.02 seconds without any burst emission in ductile-mode cutting. A burst emission was clearly observed for the brittle-mode cutting. A gradual transition from air-cut to ductile-mode cutting was also seen in the AE rms signal, Fig. 5.12. The AE rms signal shows a less distinct transition of ductile to brittle regime for the chemically treated area. The duration of the ductile-mode cutting was approximately 0.024 seconds, which represents 9.6 µm in length. The AE raw and the AE moving rms graphs showed the effect of increasing depth of cut and three different cutting regimes: air-cut, ductile-mode, and brittle-mode cutting. It was also seen that the ductile-cutting regime of the scratch on the area of the silicon wafer after chemical treatment was extended. By using the cutting speed and the inclination angle of the wafer adapter, the distance from the tool engagement and the depth of cut at which the brittle regime begins can be calculated for each scratch on the untreated and the chemically treated areas of the silicon wafer, Fig. 5.13. The ductile/brittle transition depth of the normal area of silicon wafer (dc1) was around 6.7nm, while it was 8.4nm for the chemically treated area of silicon wafer (dc2). Therefore, from the AE analysis, it was found that the ductile regime was extended by 3 µm and the ductile/brittle transition depth was extended by 1.5 nm on the silicon wafer after chemical treatment in the scratch test using a 350 µm tool nose radius and 0.05 degree tilt angle. 132 5.5.3 Ductile/brittle transition in scratches on the untreated and the chemically treated silicon wafer It is believed from the SEM and AE monitoring analysis that the apparent discrepancy in the ductile/brittle transition depth of silicon wafers before and after chemical treatment is due to the ‘ductile’ layer on the silicon wafer surface created by the slurry chemical reaction, Fig. 5.14. In addition, the chemically reacted layer (Lc) on the silicon surface is proposed to be in the range from 1.7 to 22 nm thick which is the difference of the ductile/brittle transition depths of the untreated silicon wafer (dc1) and the chemically treated silicon wafer (dc2). This proposed thickness of chemically reacted layer on silicon wafer in CMP falls within the same order of magnitude with the depth of water diffusion into oxide film, 0.5 ~ 12 nm, proposed from the previous research [Cook, 1990]. It is believed that the discrepancy in the results of the extended ductile/brittle transition depth (critical depth of cut) of the silicon wafers after chemical treatment from SEM and AE analysis is due to the difference in tool geometry (nose radius). Any deflection of the wafer/tool/fixture apparatus was not considered here. However, both tests (with and without treatment) were run on the same wafer under identical conditions, which should not affect the comparison. 133 5.6 Summary The surface property of a silicon wafer following chemical treatment was changed so that the ductile regime machining mode was extended. This was verified from the SEM and the AE monitoring analysis. The AE signal measured during scratching indicated three unique cutting regimes: air-cut/elastic contact, ductile-mode, and brittlemode cutting. In addition, this study clarified the slurry chemical influence on the ductile/brittle transition depth. The chemically reacted silicon layer is believed to be in the range from 1.7 to 22 nm thick which is the difference in the ductile/brittle transition depths of the untreated silicon wafer (dc1) and the chemically treated silicon wafer (dc2). The chemically reacted silicon layer is believed to be the cause of the extension of the brittle/ductile transition depth and the brittle cutting behavior transition point becomes less distinctive. The chemically reacted ‘ductile’ layer is proposed as the origin of the scratch/defect-free surface after CMP. 134 Fig. 5.1 Chemical treatment of silicon wafer. 135 Fig. 5.2 Experimental setup. 136 Fig. 5.3 Scratching test on chemically treated and untreated area of silicon wafer. 137 (a) (b) (c) (d) Fig. 5.4 Top view of initiation points of micro-scratches on (a) (b) chemically untreated and (c) (d) treated areas of silicon wafer (tool radius = 48 µm, tilt angle = 0.5 degree). 138 (a) (b) (c) (d) Fig. 5.5 Oblique view of initiation points of micro-scratches on (a) (b) chemically untreated and (c) (d) treated areas of silicon wafer (tool radius = 48 µm, tilt angle = 0.5 degree). 139 Cutting edge Brittle regime Ductile regime Cutting edge Brittle regime (a) (b) Ductile regime Fig. 5.6 Brittle/ductile cutting regimes of micro-scratches on (a) chemically untreated and (b) treated areas of silicon wafer (tool radius = 48 µm, tilt angle = 0.5 degree). 140 Fig. 5.7 Extension of ductile/brittle cutting regime of micro-scratches (tool radius = 48 µm, tilt angle = 0.5 degree). 141 (a) (b) (c) (d) (e) (f) Fig. 5.8 Micro-scratches on silicon wafer at specific locations with the same cutting width on (a, c, e, g, i, k) untreated and (b, d, f, h, j, l) chemically treated areas (tool radius = 350 µm, tilt angle = 0.05 degree). 142 (g) (h) (i) (j) (k) (l) Fig. 5.8 (Continued) Micro-scratches on silicon wafer at specific locations with the same cutting width on (a, c, e, g, i, k) untreated and (b, d, f, h, j, l) chemically treated areas (tool radius = 350 µm, tilt angle = 0.05 degree). 143 Brittle-mode cutting Air-cut/elastic contact Ductile-mode cutting Fig. 5.9 AE raw signal from the scratch on the normal area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). 144 Brittle-mode cutting Air-cut/elastic contact Ductile-mode cutting Fig. 5.10 AE rms signal calculated from AE raw signal from the scratch on the normal area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). 145 Brittle-mode cutting Air-cut/elastic contact Ductile-mode cutting Fig. 5.11 AE raw signal from the scratch on the chemically treated area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). 146 Brittle-mode cutting Air-cut/elastic contact Ductile-mode cutting Fig. 5.12 AE rms signal calculated from AE raw signal from the scratch on the chemically treated area of the silicon wafer (tool radius=350µm, tilt angle=0.05 degree). 147 Fig. 5.13 Extension of ductile/brittle cutting regime of micro-scratches (tool radius = 350 µm, tilt angle = 0.05 degree). 148 (a) (b) Fig. 5.14 Ductile/brittle transition in scratches on (a) the normal area and (b) the chemically treated area of silicon wafer. 149 CHAPTER 6 IDENTIFICATION OF THE MECHANICAL ASPECTS OF MATERIAL REMOVAL MECHANISMS IN CHEMICAL MECHANICAL POLISHING (CMP) 6.1 Introduction Chemical mechanical polishing (CMP) is a process where chemical and mechanical effects combine and act together to remove material from a workpiece surface. Chemical effects caused mainly by electrochemical phenomena are controlled by the slurry chemical and the material of the wafer surface. In this study, the workpiece is a silicon wafer. The formation of the passivating layer at the wafer surface by an oxidizer, dissolution of the material on the wafer surface or the abraded materials from the wafer surface, and agglomeration of the abrasive particles are influenced by the electrochemical phenomena induced by the chemicals in the slurry and the material on the wafer surface [Philipossian, 1996; Steigerwald, 1997; Oliver, 1999]. Mechanical effects are associated with the physical interactions among the polishing pad, abrasives, and wafer surface. The physical interactions are mainly determined by the characteristics of lubrication controlled by slurry viscosity, relative velocity of the wafer, and normal pressure applied on the wafer surface, the abrasive size and hardness, the pad properties (surface roughness, density, compressibility), the IC pattern density, and the 150 wafer curvature [Zhang, 1996; Coppeta, 1998, Guo, 1998; Zhao, 1999]. Compared to the traditional abrasive machining processes such as grinding and lapping, the combined effects of mechanical and chemical action and the mechanical and chemical contributions to material removed in CMP are not well understood. In the lapping process, for example, it is known that the material removal of the workpiece is mainly caused by mechanical removal such as median/lateral crack, direct indentation, or micro-scratching by abrasives [Golini, 1991; Buijs, 1993; Chauhan, 1993] and the slurry chemistry is only able to change the surface property to a softer layer, causing increased material removal rate [Izumitani, 1971]. There is great difficulty in distinguishing the chemical aspect from the mechanical aspect in CMP [Runnels, 1996]. The chemical effect was defined as the effect of changing the mechanical properties of the wafer surface through the presence of the polishing media. The mechanical effects are defined by a continuum concept of stress, mass and momentum conservation, and fracturing [Runnels, 1996]. The problem is complicated by the fact the slurry chemistry information is highly proprietary and not easily available from the vendors. It has been proposed that the mechanical and chemical contributions to the material removal in CMP can be distinguished by differentiating the wafer-pad contact modes [Bhushan, 1995]. In the direct contact mode, the removal mechanism is mainly mechanical and the process follows Preston’s equation. As the contact mode becomes semi-direct (meaning losing complete contact between the pad surface and wafer at higher 151 wafer-pad relative velocity), the chemical reaction on the surface increases due to the increased supply of the chemical in the slurry and the mechanical removal is reduced. Chapter 4 proposed that when there is direct or semi-direct contact between a silicon wafer and the polishing pad, mechanical removal will be the dominant material removal mechanism. Chemical reaction is believed to change wafer surface properties and enhance abrasive mechanical removal. As the slurry film thickness between the wafer and the pad increases (due, for example, to increased velocity), the mechanical removal decreases and chemical removal becomes the dominant removal mechanism. Investigation has shown that a transition from brittle to ductile behavior of the material helps develop a smooth surface after CMP [Rajan, 1998]. It was suggested that the material removal is increased by a combination of structural degradation of the wafer surface by the slurry chemical and physical removal by abrasive particles. The role of the slurry chemistry in the brittle/ductile transition of the wafer surface in CMP has also been investigated in Chapter 5. CMP employs abrasives and chemicals in slurry to polish the surface of the workpiece. In this study, the mechanical and chemical contributions to material removal in CMP are investigated independently by using ‘chemical-less’ and ‘abrasive-less’ slurries. In order to investigate the role of the abrasive and the chemical independently, a commercial slurry was separated to produce chemical-less and abrasive-less slurries. These, along with the original slurry, were used in a series of polishing experiments. 152 Material removal in each of the three experiments was recorded and SEM analysis of the wafer surface and the abrasive particles was performed before and after polishing. 6.2 Experiments 6.2.1 Preparation of Slurry The abrasive-less and chemical-less slurries were prepared from a Nalco2352 colloidal silica polishing slurry with a mean abrasive particle diameter of 70-90 nm. The silica abrasives were examined using a scanning electron microscope (SEM), Fig. 6.1. The silica abrasives were spherical, and their sizes were evenly controlled. The procedure to prepare abrasive-less and chemical-less slurries is illustrated in Fig. 6.2. The slurry was centrifuged for approximately twenty hours (in 400 ml batches) in a Servall Superspeed centrifuge at 16,000 rpm. The chemicals were carefully decanted from the centrifuge tube with a precision pipette and the remaining abrasives were removed and dried thoroughly. The abrasive-less solution slurry was formulated using the extracted slurry chemical and de-ionized water in a 1:15.1 volume ratio. The chemical-less slurry was produced by combining the dried abrasive particles and de-ionized water in a 1:15.3 weight ratio. The pH of the chemical-less slurry was adjusted to that of the original slurry using a chemical buffer. These two ratios were determined to simulate the same 153 conditions (particle dispersion density and volume ratio of the chemical) used in the standard slurry. To aid dispersion, the mixture was agitated in a specialized mixer and the pH was adjusted to that of the original slurry (10-11) to hinder particle agglomeration. 6.2.2 Polishing A schematic of the experimental setup is the same as the one used in chapter 3, shown in Fig. 3.4 except for the fact that friction force was not measured in this study. This experiment used a commercially available Rodel IC60 pad to polish a bare silicon wafer (p-type, <100> orientation). The slurry, which was continuously stirred by a magnetic stirrer, was supplied to the pad surface using a peristaltic pump. Slurry delivery rates ranged from 30-120ml/min depending on rotational velocity of the wafer, which ranged from 2-16cm/s. Three different types of slurries were used: 1) unaltered Nalco2352, 2) chemicalless, and 3) abrasive-less slurries as discussed above. The wafers were polished with a normal force of 10kPa, which corresponds to a pressure of 1.46psi. Each wafer was polished for 2-3 hours and material removal was measured on an electric balance to a resolution of 0.1mg every 10 minutes. During the experiment, the relative velocity of the silicon wafer and the polishing pad was controlled to induce a variation of slurry film thickness. The material removal per 154 sliding distance with respect to the velocity was calculated for the chemical and the mechanical polishing experiments and compared with the material removal for standard CMP. Scanning Electron Microscope (SEM) analysis of the abrasives was conducted to verify that the abrasives had not been damaged after being removed from suspension in the chemical slurry and reconstituted in the de-ionized water. The waste slurry was also observed with the SEM to investigate possible abrasive shape change and residual particles in the slurry. The wafer surface before and after polishing was observed with the SEM to help understand the material removal mechanism in both abrasive-less and chemical-less polishing. Finally, to compare the characterization of the wafer surface before and after CMP, phosphorus silica glass (PSG) oxide wafers were fabricated by a chemical vapor deposition (CVD) process and polished using ILD1300 oxide. 6.3 Results and discussion The possible transformation of abrasive size or shape during the preparation of the chemical-less slurries was inspected before conducting experiments since the variation in geometrical properties of the abrasives also plays a role in material removal. Silica particles from the normal slurry and the chemical-less slurry were sampled and observed 155 under the SEM, Fig. 6.3. Although the particle size distribution was not quantified here, it was observed that the centrifuging and agitating processes used in preparing the chemicalless slurry have no noticeable effect on the abrasive size and shape. Hence, it is believed that the effect of the variation of particle size and shape on the material removal of each polishing process due to the experimental procedure is negligible. By using normal, chemical-less, and abrasive-less slurries, silicon wafer polishing was conducted under identical process conditions such as velocity, normal pressure, polishing pad, and slurry flow rate. The measured mass change of the silicon wafer and the relative velocity were used to calculate the material removal per sliding distance, Fig. 6.4. In Fig. 6.4, the x-axis is velocity ranging from 0 to 20 cm/s and the y-axis is material removal per sliding distance ranging from 0 to 250 Þ/m. The graphs from the tip to bottom indicate the material removal per sliding distance of normal CMP, mechanical polishing, and chemical polishing. In standard CMP, the material removal per wafer sliding distance ranged from 75 to 210 Þ/m. At a low relative speed the material removal was aggressive and prominent due to the increased contact of the polishing pad with the wafer. As the velocity increases, the wafer-pad contact area decreases due to hydrodynamic effects and this, in turn, causes the decrease in material removal. As the relative velocity increased, the material removal asymptotically approached a value in the range of 75 to 80 Þ/m. The material removal in chemical polishing using the abrasive-less slurry, however, was almost zero and had no correlation with the relative velocity. In mechanical polishing using the chemical-less 156 slurry, the material removal ranged from 40 to 80 Þ/m, which is approximately 40-60% of that of standard CMP depending on the relative velocity. The material removal per sliding distance of mechanical polishing decreased slightly with increasing relative velocity. The surface characteristics of silicon wafer specimens after each polishing process were examined using SEM, Fig. 6.5. From the SEM analysis, no noticeable differences on the wafer surfaces were observed after normal, chemical, and mechanical polishing processes. This may indicate that the material removal mechanism in mechanical polishing is similar to that in standard CMP. Micro- or nano-scratches caused by abrasives were not observed. To compare the characterization of the wafer surface before and after CMP, phosphorus silica glass (PSG) oxide wafers were fabricated by a chemical vapor deposition (CVD) process and polished using ILD1300 oxide under the identical process conditions used in silicon wafer polishing. The SEM pictures of the oxide wafer surface clearly show the change in the surface characteristics after CMP, Fig. 6.6. Compared to the oxide surface fabricated by thermal oxidation process, the oxide film by CVD was initially rough and had a certain micro-texture. It became smooth after CMP. Again, no micro- or nano-scratches by abrasives were detected. The waste slurry was also observed with the SEM to investigate possible abrasive shape change and residual particles in the slurry. The abrasive particles in the “new” slurry were well controlled and evenly distributed in size and shape. On the other hand, after 157 SEM analysis, it was observed that the size and shape of abrasive particles in the “used” slurry became relatively uneven and irregular, Fig. 6.7. This may be due to particle fracture and breakage during polishing, the attachment of chemically reacted material from the wafer surface to the abrasive particles, or the wear of the particles themselves during the CMP. From the experimental results, it can be observed that material removal in CMP is not simply the sum of the removal due to the mechanical polishing and the chemical polishing elements of the process. Enhanced material removal can only be obtained when the chemical components (chemicals in slurry) and the mechanical components (abrasives in slurry) combine and act together during the process. It is believed that the material properties of the wafer surface are changed by chemical reaction. Due to the relative softness of the pad, each abrasive particle can become momentarily embedded in the polishing pad, acting like an abrasive in the grinding wheel in grinding process. Then, the particles can abrade the wafer surface at the atomic/molecular scale in 2- or 3-body abrasion, Fig. 6.8. The combination of chemical and mechanical effects creates a ‘synergy effect’, which is proposed to be the material removal mechanism in the CMP process. In chemical polishing, due to the absence of the ‘cutting tool’ (abrasives) in the slurry, there is little material removed even though a chemically reacted wafer surface is created, Fig. 6.9. In mechanical polishing due to the absence of the ‘softened’ (chemically reacted) surface, the material removed is much lower than that in CMP using the regular abrasive slurry which has chemical and abrasives components. Although the ‘cutting tool’ 158 (abrasives) is present in the mechanical polishing, the materal removal was low since the wafer surface was not softened. Based on the results of these experiments, a more detailed explanation of the roles of the chemical and mechanical actions in the presence of the pad surface in CMP is proposed. The chemically reacted wafer surface is abraded on an atomic/molecular scale by individual abrasives in the slurry or abrasives attached on the pad surface rolling or sliding against the wafer surface. Each particle, acting as a fixed abrasive (similar to twobody interaction in typical abrasive processes such as grinding or tape burnishing), is momentarily embedded in the surface elements or asperities of the polishing pad. As the normal pressure increases, the particle is pressed more firmly into the wafer and the number of abrasives in actual contact with the wafer surface increases due to the increased pad contact area. At a given normal pressure, the decreased material removal per sliding distance is due to the decreased wafer-pad contact area as the relative velocity increases. At low relative velocities, the mechanical removal will be the dominant material removal mechanism. As the velocity increases, this mechanical removal will decrease and the chemical reaction will increase due to the increased slurry flow rate under the wafer. Hence, at a certain slurry film thickness or a wafer-pad contact mode, the variation of the amount of the material removal will be dominated by the chemical effect since the thickness of the ‘softened’ layer will be influenced by the slurry chemical, Fig. 6.10. In the presence of a certain slurry chemical, the trend that the material removal per distance 159 asymptotically approaches a constant value will be influenced by mechanical aspects in CMP such as speed, pressure, abrasive geometry, or slurry viscosity. This is due to the variation of the slurry film thickness or the wafer-pad contact mode. 6.4 Summary The mechanical and chemical contributions to material removal in CMP were investigated independently by using ‘chemical-less’ and ‘abrasive-less’ slurries. In order to create both slurries, a commercial slurry, Nalco2352 colloidal silica polishing slurry with a mean abrasive particle diameter of 70-90 nm, was centrifuged to extract the abrasives from the chemical. Then the abrasives and the chemical were mixed separately with deionized water to create chemical-less and abrasive-less slurries. The experiment used a commercially available Rodel IC60 pad to polish a bare silicon wafer (p-type, <100> orientation) on a laboratory CMP machine. In chemical polishing using abrasive-less slurry, the amount of material removal decreased to almost zero. In mechanical polishing using chemical-less slurry, the material removal was only 40-60 % of that of CMP using normal slurry. This indicates that the material removal of the CMP process is more than the sum of the removal due to the mechanical and the chemical polishing effects. 160 The test results verify the important effect of the combination of chemical and mechanical action to achieve high material removal rates in CMP. Based on the analysis of the abrasive particles before and after polishing with and without conventional slurry chemicals, some additional insight into potential material removal mechanisms at the micro level is gained. This supports the theory that there is a synergy effect that amplifies material removal only when the chemical and mechanical components act concurrently in CMP. 161 (a) (b) (c) Fig. 6.1 Silica abrasives in Nalco2352 silicon wafer polishing slurry, (a)(b) x45,000 and (c) x200,000 magnification respectively. 162 Abrasive slurry Abrasive particles DI water ‘Chemical-less slurry’ Chemical DI water ‘Abrasive-less slurry’ Centrifuge Fig. 6.2 Preparation of abrasive-less and chemical-less slurries. 163 (a) (b) Fig. 6.3 Silica abrasives sampled from (a) the normal and (b) the chemical-less slurries. 164 250 First and second order regression 225 200 175 150 125 100 CMP 75 50 Mechanical polishing 25 0 Chemical polishing -25 0 2 4 6 8 10 12 14 16 18 20 Velocity(cm/s) Fig. 6.4 Material removal per sliding distance of the chemical, the mechanical, and the normal polishing. 165 (a) (b) (c) (d) 166 Fig. 6.5 SEM pictures of silicon wafer surfaces (a) before CMP, (b) after normal CMP, (c) after chemical polishing, and (d) after mechanical polishing. 167 (a) (a) (b) (c) (d) Fig. 6.6 PSG oxide wafer surfaces before ((a) x45,000, (b) x85,000) and after ((c) x45,000, (d) x85,000) CMP. 168 (a) (b) Fig. 6.7 Irregular silica abrasives sampled from the used silicon wafer CMP slurry. 169 Fig. 6.8 Schematic of the material removal mechanism in CMP. 170 (a) Abrasive particle Silicon wafer Polishing pad Pad asperity Silicon wafer (b) ‘Softened’ surface by chemical reaction Pad asperity Polishing pad Fig. 6.9 Schematic of (a) the mechanical and (b) the chemical polishing action. 171 Fig. 6.10 Mechanical and chemical effect on the material removal in CMP. 172 CHAPTER 7 CONCLUSIONS Mechanical aspects of the material removal mechanism in CMP were investigated analytically and experimentally. Among many variables, the role of consumables (polishing pad and abrasive particles in slurry) in CMP performance was evaluated. Tribological characteristics (lubrication, friction, and wear) observed in CMP were also analyzed. The influence of chemistry on the mechanical removal mechanism was inspected, and the mechanical and chemical contributions to material removal in CMP were studied. CMP processing using silicon wafers and three different types of polishing pads was conducted to study the effects of the properties of the polishing pad (density, compressibility, and surface roughness) on the material removal rate and friction force between the silicon wafer and the polishing pad. The friction force applied on the wafer surface was also correlated with the material removal rate of the silicon wafer in CMP. The material removal rate of silicon wafers is inversely proportional to the pad density and proportional to the pad compressibility and surface roughness. This is due to the fact that the material removal of silicon wafers in CMP is closely related to the actual pad contact area of the wafer, which is an indication of the probability for abrasives to contact the wafer surface. Pads with low density also have low elastic and shear moduli, 172 E and G. This causes larger deformation and higher compressibility of the pad surface. The large deformation of the pad surface causes increased contact between the polishing pad surface and the wafer. The increased pad contact due to the large deformation of the pad will increase the probability that the abrasive particles trapped between the wafer and the pad asperity abrade the wafer surface. The pad density is inversely proportional to its compressibility and the effect of compressibility, was opposite that of the density effect. With higher surface roughness of the pad, there is more contact between the pad and the wafer. The restriction of the slurry flow due to the pad surface roughness can be another factor to control the actual contact area between the polishing pad and the wafer surface. A polishing pad with high surface roughness can prevent the free flow of the abrasive slurry and, thus, the formation of the slurry film between the wafer and the pad as the wafer slides over the pad. A thin slurry film induced by a rough pad surface results in more pad contact with the wafer surface. The friction force applied on the wafer during the process was inversely proportional to the pad density and proportional to the pad compressibility and the surface roughness since the actual pad contact area on the wafer surface highly influences the friction force applied on the wafer surface. Thus, the material removal rate is proportional to the friction force between the workpiece and the polishing pad and also to the work done by the friction force on the workpiece for the CMP process with silicon. By using the friction force data, the relationship between Preston’s coefficient and the friction coefficient was estimated and integrated in Preston’s equation. 173 Preston’s coefficient is linearly proportional to the friction coefficient during CMP. Therefore, the material removal can be predicted by measuring the friction coefficient applied between the wafer and the pad during the CMP process. Since CMP uses an aqueous slurry, the lubrication effect of the slurry is an important element in determining process performance. The effect of the slurry film thickness variation on CMP performance, defined in terms of material removal, planarization, surface defects, and surface roughness, was investigated. The friction force variation with velocity was correlated to the slurry film thickness under the wafer surface. The abrasive slurry greatly reduces friction between the wafer and the pad, and the friction force decreases as the slurry film thickness between the wafer and the pad increases. Thus, from the Stribeck curve, the lubrication condition under the wafer in CMP is closer to boundary lubrication or elasto-hydrodynamic lubrication, than to hydrodynamic lubrication. The contact mode between the wafer and pad surfaces is direct contact or semi-direct contact, not hydroplane sliding mode. When the wafer has a thin slurry film under it due to high pressure and low velocity, the actual contact area of the polishing pad on the wafer surface increases. The increased pad contact will also increase the number of active abrasive particles which actually contact and abrade the wafer surface. Due to the increase of contact of abrasives on the wafer surface, the material removal is high and mechanical removal (nano-plowing and nano-scratching) is dominant compared to chemical removal (erosion). The mechanically dominant removal increases the possibility of generating surface defects such as nano- 174 scratches on the wafer surface and, thus, a relatively rough surface is generated, compared to a surface finished by a chemically dominant removal. The intimate contact of the polishing pad on the wafer surface, however, creates a better globally planarized surface after CMP. When the wafer has a large slurry film under it due to low pressure and high velocity, the actual contact area of the polishing pad on the wafer surface decreases. The decreased pad contact will also decrease the number of active abrasive particles which actually contact and abrade the wafer surface. Due to the decrease of contact of abrasives on the wafer surface, the material removal is low and chemical removal (erosion) by the slurry plus a minor mechanical removal (minor nano-plowing and nano-scratching) becomes the dominant material removal mechanism. The dominant chemical removal and minor mechanical removal combination decreases the possibility of generating surface defects such as nano-scratches on the wafer surface and, thus, a relatively smooth surface is generated, compared to a surface finished by a mechanically dominant removal. It is more difficult, however, to achieve global planarization since the chemically dominant material removal is more isotropic in directionality, as in an etching process. The slurry film thickness between the wafer and the pad is proportional to the velocity of the wafer and the Hersey number, and the effect of slurry film thickness variation on the CMP process performance (material removal, planarization, surface defects and roughness) is significant. Since the dependence of material removal on the slurry film thickness is not explained by Preston’s equation, it needs to be modified to be useful as a practical CMP model. Furthermore, it may prove useful to optimize the slurry 175 film thickness to balance the mechanical and the chemical removal effects to maximize the material removal and improve planarization (as measured by WIWNU) in the CMP process. The chemical in the slurry plays a key role in the mechanical action as well as the chemical action in material removal rate in CMP. To identify the effect of slurry chemical on the mechanical removal, the influence of the slurry chemical on the extension of ductile/brittle transition depth in silicon was investigated by using scanning electron microscope (SEM) analysis and by monitoring acoustic emission signals during a diamond cutting test. The surface property of a silicon wafer following chemical treatment was changed so that the ductile machining regime was extended. This was verified from the SEM and the AE monitoring analysis. The AE signal measured during scratching indicated three unique cutting regimes: air-cut, ductile-mode, and brittle-mode cutting. In addition, this study clarified the slurry chemical influence on the ductile/brittle transition depth. The chemically reacted silicon layer is believed to be in the range from 1.7 to 22 nm thick which is the difference of the ductile/brittle transition depths of the untreated silicon wafer and the chemically treated silicon wafer. The chemically reacted silicon layer is the cause of the extension of the brittle/ductile transition depth, and the brittle cutting behavior transition point becomes less distinctive. The chemically reacted ‘ductile’ layer is proposed to be the origin of the scratch/defect-free surface after CMP. 176 CMP employs abrasives and chemicals in slurry to polish the wafer surface. Thus, the mechanical action by abrasives and the chemical action by slurry chemical are synchronized in removing materials during CMP. The mechanical and chemical contributions to material removal in CMP were investigated independently by using ‘chemical-less’ and ‘abrasive-less’ slurries. In order to investigate the role of the abrasive and the chemical independently, a commercial slurry was separated to produce chemicalless and abrasive-less slurries. These, along with the original slurry, were used in a series of polishing experiments. In chemical polishing using abrasive-less slurry, the amount of material removal decreases to almost zero. In mechanical polishing using chemical-less slurry, the material removal is only 40-60 % of that of CMP using normal slurry. This indicates that the material removal of the CMP process is more than the sum of the removal due to the mechanical and the chemical polishing effects. The test results verify the important effect of the combination of chemical and mechanical action to achieve high material removal rates in CMP. Based on the analysis of the abrasive particles and the wafer surface before and after polishing with and without conventional slurry chemicals, some additional insight into potential material removal mechanisms at the micro level is gained. This supports the theory that there is a synergy effect that amplifies material removal only when the chemical and mechanical components act concurrently in CMP. As a summary of the conclusions, it is proposed that the role of abrasive particles in determining material removal in CMP is critical. Little or no material removal is achieved 177 in the absence of abrasives. The chemical in the slurry can increase the degree of material removal, but cannot change the key mechanism of material removal in CMP. The amount of abrasives in contact with wafer surface during CMP, therefore, determines the material removal of the wafer. This abrasive amount is substantially influenced by the actual waferpad contact area. The actual contact area between the wafer and the pad is determined by the slurry film thickness under the wafer, i.e., wafer-pad contact mode, and the mechanical and material properties of the polishing pad. The pad properties as well as the wafer-pad contact mode also determine the degree of wafer planarization and the characteristics (surface roughness and defects) of the wafer surface after CMP. The influence of the mechanical aspects on the material removal mechanism in CMP was quite significant. To understand the exact material removal mechanism of the CMP process and, eventually, develop a practical model to predict and control CMP process are critical. The key for this knowledge lies in identifying the mechanical and chemical aspects, and their interactions in the material removal mechanism. 178 REFERENCES Ali, I., 1995, “Physical Characterization of Chemical Mechanical Planarized Surface for Trench Isolation,” Journal of Electrochemical Society, Vol. 142, No. 9, pp. 30883092. Ashby, M. F., 1983, “The Mechanical Properties of Cellular Solids,” Metallurgical Transactions A, Vol. 14A, pp. 755-769. Bajaj, R., 1994, “Effect of Polishing Pad Material Properties on Chemical Mechanical Material Research Society Symposium Proceeding, Vol. 337, pp. 637–644. Bhushan, M., Rouse, R., and Lukens, J. E., 1995, “Chemical-Mechanical Polishing in Semidirect Contact Mode,” Journal of Electrochemical Society, Vol. 142, No. 11, pp. 3845-3851. Bibby, T. and Holland, K., 1998, “Endpoint Detection for CMP,” Journal of Electronic Materials, Vol. 27, No. 10, pp. 1073-1081. Bifano, T. G. and Yi, Y., 1992, “Acoustic emission as an indicator of material-removal regime in glass micro-machining,” Journal of Precision Engineering, Vol. 14, No. 4, pp. 219-228. Bifano, T. G., Blake, P., Dow, T. A., and Scattergood, R. O., 1988, “Precision machining of ceramic materials,” American Ceramic Society Bulletin, Vol. 67, pp. 10381044. Bifano, T. G., Dow, T. A., and Scattergood, R. O., 1988, “Ductile-regime grinding of brittle materials: experimental results and the development of a mode,” 179 Proceeding of the International Society for Optical Engineering (SPIE), Vol. 966, pp. 108-115. Bifano, T. G., Dow, T. A., and Scattergood, R. O., 1991, “Ductile-regime grinding: a new technology for machining brittle materials,” Journal of Engineering Industry, Vol. 113, pp. 184-189. Blackley, W. S. and Scattergood, R. O., 1991, “Ductile-regime machining model for diamond turning of brittle materials,” Journal of Precision Engineering, Vol. 13, No. 2, pp. 95-103. Boning, D. S., 1996 “Statistical Metrology: Understanding Spatial Variation in Semiconductor Manufacturing,” Proceeding of the International Society for Optical Engineering (SPIE), Symposium on Microelectronic Manufacturing, October. Bonora, A. C., 1977, “Flex-Mount Polishing of Silicon Wafers,” Solid State Technology, October, pp. 55-62. Brinksmeier, E. and Schmütz, J., 1996, “Ultraprecision Machining Key to Advanced Products,” Proceeding of American Society for Precision Engineering (ASPE), Vol. 14, pp. 506-509. Brown, N. J., 1990, Optical Fabrication, Report MISC-4476 Rev. 1, Lawrence Livermore National Laboratory. Buijs, M. and Korpel-Van Houten, K., 1993, “Three-Body Abrasion of Brittle Materials as Studied by Lapping,” Wear, 166, pp. 237-245. Buijs, M., Korpel, K., and Houten, V., 1993, “A Model for Lapping of Glass,” Journal of Materials Science, 28, pp. 3014-3020. 180 Burggraaf, P., 1995, “CMP: Suppliers Integrating, Applications Spreading,” Semiconductor International, Nov., pp. 74-82. Burke, P. A., 1991, “Semi-empirical modeling of SiO2 chemical-mechanical polishing planarization,” Proceeding of Very Large Scale Integration (VLSI) conference, pp. 379-384. Chang, Y, 1995, Monitoring and Characterization of Grinding and Lapping Processes, Ph.D. Dissertation, University of California, Berkeley. Chauhan, R., Ahn, Y., Chaudrasekar, S., and Farris, T. N., 1993, “Role of Indentation Fracture in Free Abrasive Machining of Ceramics,” Wear, 162-164, pp. 246-257. Clarke, D. R., Kroll, M. C., Kirchner, P. D., and Cook, R. F, 1988, “Amorphization and conductivity of silicon and germanium induced by indentation,” Physical Review Letters, Vol. 60, No. 21, pp. 2156-2159. Cook, L., 1990, “Chemical Processes in Glass Polishing,” Journal of Non-Crystalline Solids, Vol. 120, pp.152-171. Coppeta, J., Rogers, J., Racz, L., Philipossian, A., and Kaufman, F., 1998, “Pad Effects on Slurry Transport Beneath A Wafer During Polishing,” Proceeding of 3rd International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 36-43. Daniel, J. P., 1996, Applications of Quantitative Acoustics in Monitoring Precision Manufacturing, Ph.D. Dissertation, University of California, Berkeley. Davari, B., 1989, “A New Planarization Technique, Using a Combination of RIE and Chemical Mechanical Polish (CMP),” IEEE, IEDM Technical Digest 89, pp. 341344. 181 Davari, B., Koburger, C. W., Schulz, R., Warnock, J. D., Furukawa, T., Jost, M., Taur, Y., Schwitteck, W. G., DeBrosse, J. K., Kerbaugh, M. L., and Mauer, J. L., 1989, “A New Planarization Technique, Using a Combination of RIE and Chemical Mechanical Polish (CMP),” IEEE, IEDM Technical Digest, pp. 61-64. Desai, M. and Jairath, R., 1994, “Chemical Mechanical Polishing for Planarization in Material Research Society Symposium Proceeding, Vol. 337, pp. 99-104. Dishon, G., Finarov, M., and Kipper, R., 1997, “Monitoring Choices of CMP Proceeding of 2nd International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 391-398. Dornfeld, D. A. and Chang, P., 1993, “Chatter and Surface Pattern Detection for Cylindrical Grinding Using a Fluid Coupled Acoustic Emission Sensor,” Proceeding of International Conference on Machining of Advanced Materials, July, pp. 159-167. Dornfeld, D. A. and Liu, J. J., 1993, “Abrasive Texturing and Burnishing Process Monitoring Using Acoustic Emission,” Annals of the International Seminar on Manufacturing Systems (CIRP), Vol. 42/1, pp. 397-400. Dornfeld, D. A., 1980, “Acoustic Emission and Metalworking Survey of Potential and Examples of Applications,” Proceeding of 8th North American Manufacturing Research Conference (NAMRC), May, pp. 207-213. Freeman, P. W. and Markert, L., 1996, “Characterization of Pad Conditioning Profiles in Oxide Chemical-Mechanical Polishing,” Proceedings of 1st International 182 Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), Feb., pp. 57-60. Fried, J. R., 1995, Polymer Science and Technology, Prentice-Hall Inc., New Jersey. Fukuroda, A., Nakamura, K., and Arimoto, Y., 1995, “In Situ CMP Monitoring Technique for Multi-Layer Interconnection,” IEEE, IEDM Technical Digest, pp. 469-472. Fury, M., 1995, “CMP Standards: A Frustration Cure,” Semiconductor International, November, pp. 123-128. Gao, R. X. and Friedrich, C. R., 1994, “Acoustic Emission Measurement for the InProcess Monitoring of Diamond Turning,” Proceeding of International Machine Tool Design and Research Conference, May 10-12, pp. 757-760. Golini, D., and Jacobs, S. D., 1991, “Physics of Loose Abrasive Microgrinding,” Applied Optics, Vol. 30, No. 19, pp. 2761-2777. Guo, Y., Tang, J., and Dornfeld, D. A., 1998, “A Finite Element Model for Wafer Material Removal Rate and Non-uniformity in Chemical Mechanical Polishing Process,” Proceeding of 3st International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 113-118. Hansen, D. A., Barr, M., King, J., Kerba, E., and Mogi, K., 1996, “Characterization of a Multiple-Head Chemical Mechanical Polisher for Manufacturing Applications,” Proceeding of 1st International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 209-215. Hayashi, Y., Nakajima, T., and Kunio, T., 1996, “Ultrauniform Chemical Mechanical -Chuck”, Featured by Wafer Mounting on a 183 Quartz Glass Plate with Fully Flat, Water-Supported Surface,” Japanese Journal of applied Physics, Vol. 35, pp. 1054-1059. Hersey, M. D., 1966, Theory and Research in Lubrication, John Wiley & Sons. Hetherington, D. and Farino, A. J., 1996, “Characterizing Variations in ILD CMP planarization Rates Using Atomic Force Microscopy,” Proceedings of 1st International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 74-81. Heyboer, W., 1991, “Chemomechanical Silicon Polishing,” Journal of Electrochemical Society, Vol. 138, No. 3, pp. 774-777. Hocheng, H., Tsai, H., and Chen, L., 1997, “A Kinematic Analysis of CMP Based on Velocity Model,” Proceeding of 2nd International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 277-279. Hutchings, I. M., 1992, Tribology – Friction and Wear of Engineering Materials, CRC Press. Inasaki, I., 1985, “Monitoring of Dressing and Grinding Processes with Acoustic Annals of International Seminar on Manufacturing Systems (CIRP), Vol. 34/1, pp. 277-280. Izumitani, T. and Suzuki, I., 1973, “Indentation Hardness and Lapping Hardness of Glass Technology, Vol. 14, No. 2, pp. 35-41. Jaeger, R., 1989, Introduction to Microelectronic Fabrication, Volume V, AddisonWesley. 184 Jairath, R., Desai, M., Stell, M., and Tolles, R., 1994, “Consumables for the Chemical Mechanical Polishing (CMP) of Dielectrics and Conductors,” Material Research Society Symposium Proceeding, Vol. 337, pp. 121-131. Johnson, K. L., 1989, Contact Mechanics, Cambridge University Press, Cambridge. Kaufman, F. B., Thompson, D. B., Broadie, R. E., Jaso, M. A., Guthrie, W. L., Pearson, D. J., and Small, M. B., 1991, “Chemical-Mechanical Polishing for Fabricating Patterned W Metal Features as Chip Interconnects,” Journal of Electrochemical Society, Vol. 138, No. 11, pp. 3460-3465. Kerstan, M., Ehlert, A., Huber, A., Helmreich, D., Beinert, J., Dšll, W., and Schinker, M., 1998, “Ultraprecision Grinding and Single Point Diamond Turning of Silicon Wafers and Their Characterization,” Proceeding of American Society for Precision Engineering (ASPE), Vol. 17, pp. 32-36. Kirk, N. B. and Wood, J. V., 1994, “Glass Polishing,” British Ceramic Transactions, Vol. 93, No. 1, pp. 25-30. Komvopoulos, K., 1990, Fundamentals of Tribology and Contact Mechanics, University of California at Berkeley. Kunz, R. R., Clark, H. R., Nitishin, P. M., and Rothschild, M., 1996, “High resolution studies of crystalline damage induced by lapping and single-point diamond machining of Si(100),” Journal of Material Research, Vol. 11, No. 5, pp. 12281237. Lambropoulos, J. C., Burns, S. J., Chen, K., and Jacobs, S. D., 1998, “Deformation of Silicon by Shear Flow or Phase Transition,” Proceeding of American Society for Precision Engineering (ASPE), Vol. 17, pp. 11-14. 185 Lee, Y. and Dornfeld, D. A., 1998, “Ductile-regime Machining Process Planning of Brittle Material Using Bayesian Statistics,” Laboratory for Manufacturing Automation Technical Report, University of California, Berkeley. Leung, T. P., Lee, W. B., and Lu, X. M., 1998, “Diamond turning of silicon substrates in ductile-regime,” Journal of Materials Processing Technology, 73, pp. 42-48. Levert, J., Baker, R., Mess, F., Danyluk, S., Salant, R., and Cook, L., 1996, “In-Situ Slurry Film Measurements for Chemical-Mechanical Polishing,” Proceeding of the American Society for Precision Engineering (ASPE), Vol. 14, pp. 80-85. Li, W. and Shin, D. W., 1995, “The Effect of the Polishing Pad Treatments on the Chemical Mechanical Polishing of SiO2 Films,” Thin Solid Films, 270, pp. 601– 606. Liu, C. W., Dai, B. T., Tseng, W. T., and Yeh, C. F., 1996, “Modeling of the Wear Mechanism during Chemical-Mechanical Polishing,” Journal of Electrochemical Society, Vol. 143, No. 2, pp. 716-721. Marshall, D. B., Lawn, B. R., and Evans, A. G., 1982, “Elastic/Plastic Indentation Damage in Ceramics: The Lateral Crack System,” Journal of the American Ceramic Society, Vol. 65, No. 11, pp. 561-567. McCrum, N. G., Buckley, C. P., and Bucknall, C. B., 1997, Principles of Polymer Engineering, 2nd Edition, Oxford Science Publications, Oxford. Miller, R. K. and Mclntire, P., 1987, Nondestructive Testing Handbook, 2nd, Vol. 5: Acoustic Emission Testing, American Society for Nondestructive Testing. Morris, J. C., Callahan, D. L., Kulik, J., Patten, J. A., and Scattergood, R. O., 1995, “Origins of the Ductile Regime in Single-Point Diamond Turning of 186 Semiconductors,” Journal of American Ceramic Society, Vol. 78, No. 8, pp. 2015-2020. Nanz, G. and Camilletti, L. E., 1995, “Modeling of Chemical-Mechanical Polishing: A Review,” IEEE Transactions on Semiconductor Manufacturing, Vol. 8, No. 4, pp. 382-389. O’Mara, W. C., 1994, “Planarization by CMP: Forecasting the Future,” Semiconductor International, July, pp. 140-146. Oliver, M. R., Evans, D. R., Hetherington, D. L., Stein, D. J., Stevens, J. E., and Hosali, S. D., 1999, “Selective Slurry in A Self-Stopping ILD CMP Process,” Proceeding of 4th International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 383-389. Ouma, D., Stine, B., Divecha, R., Boning, D., Chung, J., Shinn, G., Ali, I., and Clark, J., 1997, “Wafer-Scale Modeling of Pattern Effect in Oxide Chemical Mechanical Polishing,” Proceeding of the International Society for Optical Engineering (SPIE), Microelectronics Manufacturing Conference, Austin, TX. Patrick, W., Guthrie, W. L., Standley, C. L., and Schiable, P. M., 1991, “Appication of Chemical Mechanical Polishing to the Fabrication of VLSI Circuit Interconnections,” Journal of Electrochemical Society, Vol. 138, No. 6, pp. 17781784. Philipossian, A., Moinpour, M., and Oehler, A., 1996, “An Overview of Current Issues and Future Trends in CMP Consumables,” Proceeding of 1st International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 13-19. 187 Phillips, K., Crimes, G. M., and Wilshaw, T. R., 1977, “On the Mechanism of Material Removal by Free Abrasive Grinding of Glass and Fused Silica,” Wear, Vol. 41, pp. 327-350. Pietsch, G. J., 1995, “Hydrogen on Si: Ubiquitous Surface Termination after WetChemical Processing,” Applied Physics A, Vol. 60, pp. 347-363. Pietsch, G. J., Chabal, Y. J., and Higashi, G. S., 1995, “Infrared-absorption Spectroscopy of Si(100) and Si(111) Surfaces after Chemomechanical Polishing,” Journal of Applied Physics, Vol. 78, No. 3, pp. 1650-1658. Pietsch, G. J., Chabal, Y. J., and Higashi, G. S., 1995, “The Atomic-Scale Removal Mechanism during Chemo-Mechanical Polishing of Si(100) and Si(111),” Surface Science 331-333, pp. 395-401. Pietsch, G. J., Higashi, G. S., and Chabal, Y. J., 1994, “Chemomechanical Polishing of Silicon: Surface Termination and Mechanism of Removal,” Applied Physics Letter, Vol. 64, No. 23, pp. 3115-3117. Preston, F. W., 1927, “The Theory and Design of Plate Glass Polishing Machine,” Journal of The Society of Glass Technology, Vol. 11, pp. 214-256. Puttick, K., Jeynes, C., and Gee, A., 1998, “Surface Amorphization of Machined Proceeding of American Society for Precision Engineering (ASPE), Vol. 17, pp. 15-18. Rabinowicz, E., 1975, “Abrasive Wear Resistance as a Materials Test,” Lubrication Engineering, Vol. 33, No. 7, 378 – 381. Rabinowicz, E., 1995, Friction and Wear of Materials, 2nd Edition, John Wiley & Sons. 188 Rajan, K., 1996, “Chemical-Mechanical Polishing of Oxide Thin Films: The RebinderWestwood Phenomenon Revisited,” Journal of Electric Materials, Vol. 25, No. 10, pp. 1581-1584. Rajan, K., 1998, “Mechanical Processes in Chemical-Mechanical Planarization: Plasticity Effects in Oxide Thin Films,” Journal of Electronic Materials, Vol. 27, No. 10, pp.1107-1111. Rodel Inc., 1994, Rodel Catalog, pp. 1-9. Rogers, C., Coppeta, J., Racz, L., Philipossian, A., Kaufman, F. B., and Bramono, D., 1998, “Analysis of Flow Between a Wafer and Pad during CMP Processes,” Journal of Electronic Materials, Vol. 27, No. 10, pp. 1082-1087. Runnels, S. R. and Eyman, L. M., 1994, “Tribology Analysis of Chemical Mechanical Journal of Electrochemical Society, Vol. 141, No. 6, pp. 1698-1701. Runnels, S. R. and Olavson, T., 1995, “Optimizing Wafer Polishing Through Phenomenological Modeling,” Journal of Electrochemical Society, Vol. 142, No. 6, pp. 2032-2036. Runnels, S. R. and Renteln, P., 1993, “Modeling the effect of polish pad deformation on wafer surface stress distribution during chemical-mechanical polishing,” Dielectric Science Technology, pp. 110-121. Runnels, S. R., 1994, “Feature Scale Fluid-based Erosion Modeling for Chemical Mechanical Polishing,” Journal of Electrochemical Society, Vol. 141, No. 7, pp. 1900-1904. Runnels, S. R., 1996, “Advances in Physically Based Erosion Simulators for CMP,” Journal of Electronic Materials, Vol. 25, No. 10, pp 1574-1580. 189 Runnels, S. R., Kim, I., Schleuter, J., Karlsrud, C., and Desai, M., 1998, “A Modeling Tool for Chemical-Mechanical Polishing Design and Evaluation,” IEEE Transactions on Semiconductor Manufacturing, Vol. 11, No. 3, pp. 501-510. Sainio, C. A., Duquette, D. J., Steigerwald, J., and Murarks, S. P., 1996, “Electrochemical Effects in the Chemical-Mechanical Polishing of Copper for Integrated Circuits,” Journal of Electronic Materials, Vol. 25, No. 10, pp. 15931598. Schinker, M., 1991, “Subsurface damage mechanisms at high-speed ductile machining of optical glasses,” Journal of Precision Engineering, Vol. 13, No. 3, pp. 208-218. Schlichting, H., 1979, Boundary Layer Theory, 7th Edition, McGraw Hill. Semiconductor Industry Association, 1997, The National Technology Roadmap for Semiconductor. Sethuraman, A. R., Wang, J. F., and Cook, L., 1996, “Review of Planarization and Reliability Aspects of Future Interconnect Materials,” Journal of Electronic Materials, Vol. 25, No. 10, pp. 1617-1622. Shibata, T., Fujii, S., Makino, E., and Ikeda, M., 1996, “Ductile-regime turning mechanism of single-crystal silicon,” Journal of Precision Engineering, Vol. 18, pp. 129-137. Singer, P., 1994, “Chemical-Mechanical Polishing: A New Focus on Consumables,” Semiconductor International, pp. 48-52. Sivaram, S., Bath, H., Lee, E., Leggett, R., and Tolles, R., 1992, “Measurement and modeling of pattern sensitivity during chemical-mechanical polishing of interlevel dielectrics,” Technical Report, SEMATECH, Austin, TX. 190 Sivaram, S., Bath, H., Leggett, R., Maury, A., Monnig, K., and Tolles, R., 1992, “Planarizing Interlevel Dielectrics by Chemical-Mechanical Polishing,” Solid State Technology, May, pp. 87-91. Steigerwald, J. M., Murarka, S. P., and Gutmann, R. J., 1997, Chemical Mechanical Planarization of Microelectronic Materials, John Wiley & Sons. Stein, D., 1996, “Optical Interferometry for Surface Measurements of CMP Pads,” Journal of Electronic Materials, Vol. 25, No. 16. Stell, M., 1994, “Planarization Ability of Chemical Mechanical Planarization(CMP) Material Research Society Symposium Proceeding, Vol. 337, pp. 151156. Stine, B. E., 1997, “Analysis and Decomposition of Spatial Variation in Integrated Circuit Processes and Devices,” IEEE Trans. Semi. Manufacturing, Vol. 10, No. 1. Stine, B., Ouma, D., Divecha, R., Boning, D., Chung, J., Heherington, D., Ali, I., Shinn, G., Clark, J., Nakagawa, O. S., and Oh, S. Y., 1997, “A Closed-Form Analytic Model for ILD Thickness Variation in CMP Processes,” Proceeding of 2nd International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 266-273. Suh, N. P., 1986, Tribophysics, Prentice-Hall. Tang, J., Dornfeld, D. A., Pangrle, S. K., and Dangca, A., 1998, “In-Process Detection of Microscratching During CMP Using Acoustic Emission Sensing Technology,” Journal of Electronic Materials, Vol. 27, No. 10, pp. 1099-1103. 191 Ticky, J., Levert, J. A., Shan, L., and Danyluk, S., 1999, “Contact Mechanics and Lubrication Hydrodynamic of Chemical-Mechanical Polishing,” Journal of Electrochemical Society, Vol. 146, No. 4, pp. 1523-1528. Tomozawa, M., 1985, “Water in Glass,” Journal of Non-Crystalline Solids, Vol. 73, pp. 197-204. Tseng, W. and Wang, Y., 1997, “Re-examination of Pressure and Speed Dependences of Removal Rate during Chemical-Mechanical Polishing Processes,” Journal of Electrochemical society, Vol. 144, No. 2, pp. L15-L17. Wang, J. F., Sethuraman, A. R., Cook, L., Kistler R. C., and Schwartz G. P., 1995, “Chemical-Mechanical Polishing of Dual Damascene Aluminum Interconnect Structures,” Semiconductor International, October, pp. 117-120. Warnock, J., 1991, “A Two-Dimensional Process Model for Chemimechanical Polish Planarization,” Journal of Electrochemical Society, Vol. 138, No. 8, pp. 23982402. Webster, J., Marinescu, I., and Bennett, R., 1994, “Acoustic Emission for Process Control and Monitoring of Surface Integrity during Grinding,” Annals of International Seminar on Manufacturing Systems (CIRP), Vol. 43/1, pp. 299-304. White, F. M., 1986, Fluid Mechanics, 2nd Edition, McGraw Hill. Williams, J. A., 1994, Engineering Tribology, Oxford University Press, Oxford. Wolf, S. and Tauber, R. N., 1986, Silicon Processing for the VLSI Era – Volume 1: Process Technology, Lattice Press. 192 Yamada, A., 1993, “A Selective Polishing Approach for the Fabrication of BondedWafer Silicon-on-Insulator,” Review of Scientific Instruments, Vol. 64, No. 10, pp. 2993-2998. Yasseen, A. A., Mourlas, N. J., and Mehregany, M., 1997, “Chemical-Mechanical Polishing for Polysilicon Surface Micromachining,” Journal of Electrochemical Society, Vol. 144, No. 1, pp. 237-242. Yu, T. K., Yu, C. C., and Orlowski, M., 1993, “A Statistical Polishing Pad Model for Chemical-Mechanical Polishing,” IEDM Technical Digest, pp. 865-868. Zhang, Y., 1996, “Effects of Pre-Polish Wafer Dimensional Characteristics on Chemical Mechanical Planarization,” Proceeding of 1st International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 90-96. Zhao, B. and Shi, F. G., 1999, “Chemical Mechanical Polishing in IC Processes: New Proceeding of 4th International Chemical-Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference (CMP-MIC), pp. 13-22. 193