®
Getting Started with the
LeonardoSpectrum
Software
July 2001, ver. 1.0
Application Note 168
Introduction
This application note is a quick-start guide to using the Exemplar Logic®
LeonardoSpectrumTM software, and covers tips that apply to both the
Altera- and Exemplar-distributed software versions. It describes the
options available in the Synthesis Wizard and the Quick Setup tab
through the graphical user interface (GUI); advanced settings are not
discussed.
f
Licensing
Requirements
f
For detailed information on installing the LeonardoSpectrum software
and setting up the working environment, see Quartus® II or
MAX+PLUS® II Help.
The LeonardoSpectrum-Altera (Level 1) software can be licensed through
a software guard (T-guard) fixed license, a network/server floating
license, or a network interface card (NIC) fixed license. Licenses are
obtained through the Altera web site at http://www.altera.com. Each
Altera user is allowed one license for either VHDL or Verilog HDL.
Network licenses with multiple users may have their seats split between
VHDL and Verilog HDL in any ratio.
The LM_LICENSE_FILE environment variable must be set to the location
of the license file. For details on setting the LM_LICENSE_FILE variable,
see Quartus II or MAX+PLUS II Help.
For information on obtaining a full (Level 3) software license, contact
Exemplar Logic. For more information on setting up the Exemplardistributed software, see LeonardoSpectrum Help.
Network Licenses
The LeonardoSpectrum-Altera software uses a Mentor Graphics® license
daemon. The LeonardoSpectrum-Altera version specifically uses the
mgcld daemon (native Altera tools use the alterad license daemon).
1
Altera Corporation
A-AN-168-1.0
For an Exemplar-distributed software version, a
Mentor Graphics daemon other than mgcld may be used. In this
case, detailed licensing instructions should be obtained from
Exemplar.
1
AN 168: Getting Started with the LeonardoSpectrum Software
The license server must have the license daemon available. The following
instructions apply to the LeonardoSpectrum-Altera software. If the
LeonardoSpectrum-Altera software is not installed on the machine acting
as the license server, copy the mgcld.exe file over from another machine
where it is installed. The mgcld.exe file can be found in the
<LeonardoSpectrum-Altera installation directory> \license\<platform>
directory. See the appropriate licensing document
(http://www.altera.com) to help set up the network license server.
Compilation
To begin compiling designs in the LeonardoSpectrum software, click on
one of the following icons:
Synthesis Wizard
The Synthesis Wizard (also available from the Tools menu)
walks you through the major global settings and starts synthesis
when you click Finish. This walk-through is intended for the
first-time user, and contains generally the same options as the
Quick Setup tab.
Quick Setup Tab
The Quick Setup tab (also available from the Tools menu) allows
you to set most of the major global settings. Click Run Flow to
begin synthesis.
Settings &
Options for
Quick Setup
Tab
2
The following section explains the different settings and options available
in the Quick Setup tab (see Figure 1).
Altera Corporation
AN 168: Getting Started with the LeonardoSpectrum Software
Figure 1. Quick Setup Tab
Technology
The Technology section allows you to choose an Altera device family and
select a Device and Speed Grade from the drop-down menus. Only the
device family selection affects the design optimization. If you choose an
invalid device and speed grade combination, errors may occur in the
Quartus II or MAX+PLUS II software.
Input
The Input section allows you to browse to the Working Directory by
clicking on the folder icon. This directory is the starting point for all
relative path names. The LeonardoSpectrum software places all its output
files in the Working Directory, but the Working Directory does not have
to be the same location of the source files.
To browse and select your design files from the Open files directory, click
on the folder icon.
Altera Corporation
3
AN 168: Getting Started with the LeonardoSpectrum Software
Constraints
The Constraints section allows you to specify the global Clock Frequency
(fMAX). This option affects optimization and will be passed to the
Quartus II or MAX+PLUS II software.
Optimize Effort
The Optimize Effort section allows you to select the desired optimization
effort. Slide the bar to the left for the fastest run-time (single-pass,
optimized for area). Slide the bar to the right to increase run-time and
possibly improve performance and/or area.
Output
The Output section allows you to enter the name and directory structure
for the output EDIF file. The LeonardoSpectrum software chooses a
default file based on your working directory and input file names.
Place & Route
The Place And Route section allows you to launch the Quartus II or
MAX+PLUS II place-and-route software from within the
LeonardoSpectrum software after synthesis is complete. Checking the
Run Integrated Place and Route box will start compilation using the
Quartus II or MAX+PLUS II software and will report accurate fitting and
performance information.
To use integrated place-and-route, specify the location of the Quartus II
and/or MAX+PLUS II executable file on the Place and Route Paths tab
(Options -> Tools menu). Browse to <Quartus II install directory>/bin
and/or <MAX+PLUS II install directory>, respectively.
Run Flow
Click the Run Flow button to begin synthesis.
Saving a
Project
4
Select Save Project (File menu) to enter a file name and then click Save.
The LeonardoSpectrum project will contain all the settings you have
made in the Quick Setup tab or Synthesis Wizard.
Altera Corporation
AN 168: Getting Started with the LeonardoSpectrum Software
Compilation
Results
The Transcript or Information window reports compilation results.
Information messages are indicated with a green dot. The
LeonardoSpectrum software reports successful synthesis with an
information message.
Syntax and other errors are indicated with an error message and a red dot.
The compiler usually stops once it encounters an error. Double-clicking
on the error message opens up the appropriate design file with a dot on
the line of code generating the error. Hovering the mouse over the code
will display the error message again.
Warnings are indicated with a warning message and a blue dot. Again,
double-clicking on the message brings up the design file marked with the
line of code causing the warning. Warning messages do not halt
compilation; however, they should be investigated. They can be ignored
if you understand the meaning of the message.
Estimated device utilization and timing results are reported in the Device
Utilization section of the results (see Figure 2). While the device
utilization estimates are very accurate, the LeonardoSpectrum software
estimates the timing results based on timing models. The tool does not
know how the design will be placed and routed in the Quartus II or
MAX+PLUS II software so it cannot report accurate routing delays.
Additionally, if your design includes any Altera-specific functions, the
LeonardoSpectrum software will not report timing information for these
functions. Final timing results are generated from Altera software and
will be reported separately in the Transcript or Information window if
the Run Integrated Place and Route box was checked.
Figure 2. Device Report
Altera Corporation
5
AN 168: Getting Started with the LeonardoSpectrum Software
Place & Route
in Quartus II or
MAX+PLUS II
Software
The LeonardoSpectrum software generates an EDIF netlist file that can be
read as an input file in the Quartus II and MAX+PLUS II software.
Quartus II Software
The LeonardoSpectrum software writes out a Tool Command Language
(Tcl) File called <project name>.tcl. This file contains commands to create a
Quartus II project along with constraints and other assignments.
To create and compile a Quartus II project using the Tcl file output from
the LeonardoSpectrum software, perform the following steps:
1.
Locate the EDIF and Tcl files in the same directory.
2.
Open the Quartus II Tcl Console (View -> Auxiliary Windows -> Tcl
console).
3.
Type source <path>/<project name>.tcl.
4.
Open the new project (File -> Open Project) and start compilation
(Processing -> Start Compilation).
MAX+PLUS II Software
The LeonardoSpectrum software generates an Assignment &
Configuration File (.acf) called <project name>.acf containing device
information along with constraints and other assignments.
To create and compile a MAX+PLUS II project using the ACF output from
the LeonardoSpectrum software, perform the following steps:
6
1.
Locate the EDIF and ACF files in the same directory.
2.
Open the EDIF file in the MAX+PLUS II software.
3.
Set the project to this EDIF file (File -> Project -> Set Project to
Current File). The MAX+PLUS II software will automatically use the
ACF file created by the LeonardoSpectrum software.
4.
Start compilation by selecting Compiler (MAX+PLUS II menu) and
clicking Start.
Altera Corporation
AN 168: Getting Started with the LeonardoSpectrum Software
f
For more information on the LeonardoSpectrum software, see the
following documents:
■
■
Application Note 102 (Improving Performance in FLEX 10K Devices with
the LeonardoSpectrum software)
Technical Brief 67 (Advanced Synthesis with LeonardoSpectrum)
®
101 Innovation Drive
San Jose, CA 95134
(408) 544-7000
http://www.altera.com
Applications Hotline:
(800) 800-EPLD
Customer Marketing:
(408) 544-7104
Literature Services:
lit_req@altera.com
Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all
other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the
trademarks and service marks of Altera Corporation in the U.S. and other countries. LeonardoSpectrum and
Exemplar Logic are trademarks of Exemplar Logic. Mentor Graphics is a trademark of Mentor Graphics. All
other product or service names are the property of their respective holders. All rights reserved. Altera products
are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and
copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance
with Altera’s standard warranty, but reserves the right to make changes to any products and services at any
time without notice. Altera assumes no responsibility or liability arising out of the application
or use of any information, product, or service described herein except as expressly agreed to
in writing by Altera Corporation. Altera customers are advised to obtain the latest version of
device specifications before relying on any published information and before placing orders
for products or services. All rights reserved.
Copyright  2001 Altera Corporation.
7
Altera Corporation
Printed on Recycled Paper.