CDA 5155 Out-of-order execution: Scoreboarding and Tomasulo Week 2

advertisement
CDA 5155
Out-of-order execution:
Scoreboarding and Tomasulo
Week 2
A more realistic pipeline
Dependencies
• Read after Write (RAW): true dependency
– add 1 2 3 ; nand 3 4 5
• Write after Read (WAR): Anti-dependency
– add 1 2 3 ; nand 5 6 2
• Write after Write (WAW): output dependency
– add 1 2 3 ; nand 5 6 3
• Read after Read (RAR): usually not a problem
– add 1 2 3 ; nand 1 5 6
Out-of-order execution:
• Variable latencies make out-of-order execution
desirable
• How do we prevent WAR and WAW hazards?
• How do we deal with variable latency?
– Forwarding for RAW hazards harder.
Instruction 1 2 3 4 5 6 7 8 9 10 11 12 13 14
add 1 2 3 IF ID EX M WB
mul 4 5 6
IF ID E1 E2 E3 E4 E5 E6 E7 M WB
div 6 7 8
IF ID x x x x x x E1 E2 E3 E4 …
add 1 2 7
IF ID EX M WB
sub 1 2 8
IF ID EX M WB
CDC 6600
Scoreboard: a bookkeeping technique
• Out-of-order execution divides ID stage:
1. Issue—decode instructions, check for structural hazards
2. Read operands—wait until no data hazards, then read
operands
• Scoreboards date to CDC6600 in 1963
• Instructions execute whenever not dependent on
previous instructions and no hazards.
• CDC 6600: In order issue, out-of-order execution, outof-order commit (or completion)
– No forwarding!
– Imprecise interrupt/exception model
Registers
FP Mult
FP Mult
FP Divide
FP Add
Integer
SCOREBOARD
Fetch/Issue
Functional Units
Scoreboard Architecture
(CDC 6600)
Memory
Scoreboard Implications
• Out-of-order completion => WAR, WAW hazards?
• Solutions for WAR:
– Stall writeback until all prior uses of the destination
register have been read
– Read registers only during Read Operands stage
• Solution for WAW:
– Detect hazard and stall issue of new instruction until other
instruction completes
• Need to have multiple instructions in execution phase
=> multiple execution units or pipelined execution units
• Scoreboard keeps track of dependencies between
instructions that have already issued.
• Scoreboard replaces ID, EX, M and WB with 4 stages
Four Stages of Scoreboard Control
• Issue—decode instructions & check for structural
hazards (ID1)
– Instructions issued in program order (for hazard checking)
– Don’t issue if structural hazard
– Don’t issue if instruction is output dependent on any
previously issued but uncompleted instruction (no WAW
hazards)
• Read operands—wait until no data hazards, then
read operands (ID2)
– All real dependencies (RAW hazards) resolved in this
stage, since we wait for instructions to write back data.
– No forwarding of data in this model!
Four Stages of Scoreboard Control
• Execution—operate on operands (EX)
– The functional unit begins execution upon receiving
operands. When the result is ready, it notifies the scoreboard
that it has completed execution. This includes memory ops.
• Write result—finish execution (WB)
– Stall until no WAR hazards with previous instructions:
Example:
DIV 1 2 3
ADD 3 4 5
NAND 6 7 4
CDC 6600 scoreboard would stall NAND until ADD reads
operands
Three Parts of the Scoreboard
• Instruction status: Which state the instruction is in
• Functional unit status:—Indicates the state of the functional
unit (FU). 9 fields for each functional unit
–
–
–
–
–
–
Busy: Indicates whether the pipeline unit is busy or not
Op: Operation to perform in the unit (e.g., + or –)
Fi: Destination register (for writeback and WAW check)
Fj,Fk:Source-register numbers (for reg read and WAR check)
Qj,Qk:
Functional units producing source registers Fj, Fk (wake up)
Rj,Rk:
Flags indicating when Fj, Fk are ready (and when already read)
• Register result status:—Indicates which functional unit will
write each register, if one exists. Blank when no pending
instructions will write that register
Scoreboard Example
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
S1
Fj
S2
Fk
FU
Qj
FU
Qk
F2
F4
F6
F8 F10 F12
Fj?
Rj
Fk?
Rk
...
F30
No
No
No
No
No
Register result status:
Clock
F0
FU
Op
dest
Fi
Detailed Scoreboard Pipeline Control
Instruction
status
Issue
Read
operands
Execution
complete
Write
result
Wait until
Bookkeeping
Busy(FU) yes; Op(FU) op;
Pipeline available
Fi(FU) `D’; Fj(FU) `S1’;
Not busy (FU)
and
Fk(FU) `S2’; Qj Result(‘S1’);
and not result(D)
no WAW possible Qk Result(`S2’); Rj not Qj;
Rk not Qk; Result(‘D’) FU;
Both src operands
Rj and Rk
Rj No; Rk No
are available
Functional unit
done
no WAR hazard
f((Fj(f)Fi(FU)
f(if Qj(f)=FU then Rj(f) Yes);
Rj(f)=No)
&
(noorearlier
instr has
f(if Qk(f)=FU then Rj(f) Yes);
(Fk(f)Fi(FU)
or
yet
to read the dest
Result(Fi(FU)) 0; Busy(FU) No
Rk(
f
)=No))
reg to be written)
Scoreboard Example: Cycle 1
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
Op
dest
Fi
Yes
No
No
No
No
Load
F6
F2
F4
Register result status:
Clock
F0
1
FU
S1
Fj
S2
Fk
FU
Qj
FU
Qk
Fj?
Rj
R2
F6
Integer
F8 F10 F12
Fk?
Rk
Yes
...
F30
Scoreboard Example: Cycle 2
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
2
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
Op
dest
Fi
Yes
No
No
No
No
Load
F6
F2
F4
Register result status:
Clock
F0
2
FU
S1
Fj
S2
Fk
FU
Qj
FU
Qk
Fj?
Rj
R2
F6
F8 F10 F12
Integer
• Issue 2nd LD? No Integer pipeline is busy.
Fk?
Rk
Yes
...
F30
Scoreboard Example: Cycle 3
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
2
3
Busy
Op
dest
Fi
Yes
No
No
No
No
Load
F6
F2
F4
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Register result status:
Clock
F0
3
FU
S1
Fj
S2
Fk
FU
Qj
FU
Qk
Fj?
Rj
R2
F6
F8 F10 F12
Integer
• Issue MULT? No, still trying to issue LD
Fk?
Rk
No
...
F30
Scoreboard Example: Cycle 4
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
2
3
4
Op
dest
Fi
S1
Fj
S2
Fk
F2
F4
F6
F8 F10 F12
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
FU
FU
Qk
Fj?
Rj
Fk?
Rk
...
F30
No
No
No
No
No
Register result status:
Clock
F0
4
FU
Qj
0
Scoreboard Example: Cycle 5
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
2
3
4
Busy
Op
dest
Fi
S1
Fj
Yes
No
No
No
No
Load
F2
F2
F4
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Register result status:
Clock
F0
5
FU
Integer
S2
Fk
FU
Qj
FU
Qk
Fj?
Rj
R3
F6
F8 F10 F12
Fk?
Rk
Yes
...
F30
Scoreboard Example: Cycle 6
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
2
6
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
4
S1
Fj
S2
Fk
R3
F4
Busy
Op
dest
Fi
Yes
Yes
No
No
No
Load
Mult
F2
F0
F2
F2
F4
F6
Register result status:
Clock
F0
6
3
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
Integer
No
Yes
Yes
F8 F10 F12
...
F30
FU Mult1 Integer
• Issue MULT? Yes, but cant read F2 until LD completes.
Scoreboard Example: Cycle 7
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
2
6
3
7
4
Busy
Op
dest
Fi
S1
Fj
S2
Fk
Yes
Yes
No
Yes
No
Load
Mult
F2
F0
F2
R3
F4
Sub
F8
F6
F2
F2
F4
F6
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Register result status:
Clock
F0
7
FU Mult1 Integer
FU
Qj
FU
Qk
Integer
Integer
F8 F10 F12
Add
• Read multiply operands? No, F2 is not ready
Fj?
Rj
Fk?
Rk
No
No
Yes
Yes
No
...
F30
Scoreboard Example: Cycle 8a
(First half
of Write
clock cycle)
Read Exec
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Issue Oper Comp Result
1
5
6
7
8
2
6
3
7
4
Busy
Op
dest
Fi
S1
Fj
S2
Fk
Yes
Yes
No
Yes
Yes
Load
Mult
F2
F0
F2
R3
F4
Sub
Div
F8
F10
F6
F0
F2
F6
F2
F4
F6
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Register result status:
Clock
F0
8
FU Mult1 Integer
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
No
No
Yes
Mult1
Yes
No
No
Yes
F8 F10 F12
...
F30
Integer
Integer
Add Divide
• LD completes. Update Multd and subd waiting on F2
Scoreboard Example: Cycle 8b
(SecondRead
half
clock cycle)
Execof
Write
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Issue Oper Comp Result
1
5
6
7
8
2
6
3
7
4
8
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Sub
Div
F8
F10
F6
F0
F2
F4
F6
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
8
FU Mult1
FU
Qj
Fj?
Rj
Fk?
Rk
F4
Yes
Yes
F2
F6
Mult1
Yes
No
Yes
Yes
F8 F10 F12
...
F30
Add Divide
FU
Qk
Scoreboard Example: Cycle 9
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
2
6
9
9
3
7
4
8
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Sub
Div
F8
F10
F6
F0
F2
F4
F6
Functional unit status:
Note
Remaining
Time Name
Integer
10 Mult1
Mult2
2 Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
9
FU Mult1
FU
Qj
Fj?
Rj
Fk?
Rk
F4
Yes
Yes
F2
F6
Mult1
Yes
No
Yes
Yes
F8 F10 F12
...
F30
Add Divide
• Read operands for MULT & SUB? yes
• Issue ADDD? No, add pipline busy
FU
Qk
Scoreboard Example: Cycle 10
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
2
6
9
9
3
7
4
8
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Sub
Div
F8
F10
F6
F0
F2
F4
F6
Functional unit status:
Time Name
Integer
9 Mult1
Mult2
1 Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
10
FU Mult1
FU
Qj
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F8 F10 F12
...
F30
Add Divide
FU
Qk
Scoreboard Example: Cycle 11
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
2
6
9
9
11
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Sub
Div
F8
F10
F6
F0
F2
F4
F6
Functional unit status:
Time Name
Integer
8 Mult1
Mult2
0 Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
11
FU Mult1
3
7
4
8
FU
Qj
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F8 F10 F12
...
F30
Add Divide
FU
Qk
Scoreboard Example: Cycle 12
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
2
6
9
9
3
7
4
8
11
12
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
F4
Div
F10
F0
F6
F2
F4
F6
Functional unit status:
Time Name
Integer
7 Mult1
Mult2
Add
Divide
Busy
No
Yes
No
No
Yes
Register result status:
Clock
F0
12
FU Mult1
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
No
No
Mult1
No
Yes
F8 F10 F12
...
F30
Divide
• Read operands for DIVD? No, F0 is not available
Scoreboard Example: Cycle 13
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
4
8
11
12
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
Functional unit status:
Time Name
Integer
6 Mult1
Mult2
Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
13
FU Mult1
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
Yes
No
Yes
Yes
F6
F8 F10 F12
...
F30
Add
Divide
Scoreboard Example: Cycle 14
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
4
8
11
12
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
14
Functional unit status:
Time Name
Integer
5 Mult1
Mult2
2 Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
14
FU Mult1
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
Yes
No
Yes
Yes
F6
F8 F10 F12
...
F30
Add
Divide
Scoreboard Example: Cycle 15
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
4
8
11
12
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
14
Functional unit status:
Time Name
Integer
4 Mult1
Mult2
1 Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
15
FU Mult1
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F6
F8 F10 F12
...
F30
Add
Divide
Scoreboard Example: Cycle 16
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
4
8
11
12
14
16
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
Functional unit status:
Time Name
Integer
3 Mult1
Mult2
0 Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
16
FU Mult1
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F6
F8 F10 F12
...
F30
Add
Divide
Scoreboard Example: Cycle 17
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
4
8
11
12
14
16
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
Functional unit status:
Time Name
Integer
2 Mult1
Mult2
Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
17
FU Mult1
WAR Hazard!
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F6
F8 F10 F12
...
F30
Add
Divide
• Why not write result of ADD???
FU
Qj
FU
Qk
Scoreboard Example: Cycle 18
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
4
8
11
12
14
16
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
Functional unit status:
Time Name
Integer
1 Mult1
Mult2
Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
18
FU Mult1
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F6
F8 F10 F12
...
F30
Add
Divide
Scoreboard Example: Cycle 19
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
19
11
14
16
Op
dest
Fi
S1
Fj
S2
Fk
Mult
F0
F2
Add
Div
F6
F10
F8
F0
F2
F4
Functional unit status:
Time Name
Integer
0 Mult1
Mult2
Add
Divide
Busy
No
Yes
No
Yes
Yes
Register result status:
Clock
F0
19
FU Mult1
4
8
12
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
F4
No
No
F2
F6
Mult1
No
No
No
Yes
F6
F8 F10 F12
...
F30
Add
Divide
Scoreboard Example: Cycle 20
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
3
7
19
11
14
16
Busy
Op
dest
Fi
S1
Fj
S2
Fk
No
No
No
Yes
Yes
Add
Div
F6
F10
F8
F0
F2
F6
Register result status:
Clock
F0
F2
F4
F6
F8 F10 F12
Add
Divide
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
20
FU
4
8
20
12
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
No
Yes
No
Yes
...
F30
Scoreboard Example: Cycle 21
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
21
14
Functional unit status:
3
7
19
11
4
8
20
12
16
Busy
Op
dest
Fi
No
No
No
Yes
Yes
Add
Div
F6
F10
F8
F0
Register result status:
Clock
F0
F2
F4
F6
F8 F10 F12
Add
Divide
Time Name
Integer
Mult1
Mult2
Add
Divide
21
FU
S1
Fj
S2
Fk
F2
F6
FU
Qj
FU
Qk
Fj?
Rj
Fk?
Rk
No
Yes
no
No
Yes
no
...
F30
• WAR Hazard is now gone... Complete the add
Scoreboard Example: Cycle 22
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
Read Exec Write
k Issue Oper Comp Result
R2
R3
F4
F2
F6
F2
1
5
6
7
8
13
2
6
9
9
21
14
Functional unit status:
3
7
19
11
4
8
20
12
16
22
S1
Fj
S2
Fk
F6
Busy
Op
dest
Fi
No
No
No
No
Yes
Div
F10
F0
Register result status:
Clock
F0
F2
F4
F6
Time Name
Integer
Mult1
Mult2
Add
39 Divide
22
FU
FU
Qj
FU
Qk
F8 F10 F12
Divide
Fj?
Rj
Fk?
Rk
No
No
...
F30
…
Scoreboard Example: Cycle 61
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
21
14
3
7
19
11
61
16
4
8
20
12
Busy
Op
dest
Fi
S1
Fj
S2
Fk
No
No
No
No
Yes
Div
F10
F0
F6
Register result status:
Clock
F0
F2
F4
F6
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
0 Divide
61
FU
22
FU
Qj
FU
Qk
F8 F10 F12
Divide
Fj?
Rj
Fk?
Rk
No
No
...
F30
Scoreboard Example: Cycle 62
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
21
14
3
7
19
11
61
16
4
8
20
12
62
22
Op
dest
Fi
S1
Fj
S2
Fk
F2
F4
F6
F8 F10 F12
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
FU
FU
Qk
Fj?
Rj
Fk?
Rk
...
F30
No
No
No
No
No
Register result status:
Clock
F0
62
FU
Qj
Scoreboard Example: Cycle 62
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Read Exec Write
Issue Oper Comp Result
1
5
6
7
8
13
2
6
9
9
21
14
3
7
19
11
61
16
4
8
20
12
62
22
Op
dest
Fi
S1
Fj
S2
Fk
F2
F4
F6
F8 F10 F12
Functional unit status:
Time Name
Integer
Mult1
Mult2
Add
Divide
Busy
FU
Qk
Fj?
Rj
Fk?
Rk
...
F30
No
No
No
No
No
Register result status:
Clock
F0
62
FU
Qj
FU
• In-order issue; out-of-order execute & commit
CDC 6600 Scoreboard
• Historical context:
– Speedup 1.7 from compiler; 2.5 by hand
BUT slow memory (no cache) limits benefit
• Limitations of 6600 scoreboard:
– No forwarding hardware
– Limited to instructions in basic block (small window)
– Small number of functional units (structural hazards),
especially integer/load store units
– Do not issue on structural hazards
– Wait for WAR hazards
– Prevent WAW hazards
• Precise interrupts?
IBM 360/91
Another Dynamic Algorithm:
Tomasulo’s Algorithm
• For IBM 360/91 about 3 years after CDC 6600 (1966)
• Goal: High Performance without special compilers
• Differences between IBM 360 & CDC 6600 ISA
– IBM has only 2 register specifiers/instr vs. 3 in CDC 6600
– IBM has 4 FP registers vs. 8 in CDC 6600
– IBM has memory-register ops
• Small number of floating point registers prevented interesting
compiler scheduling of operations
– This led Tomasulo to try to figure out how to get more effective
registers — renaming in hardware!
• Why Study? The descendants of this have flourished!
– Alpha 21264, HP 8000, MIPS 10000, Pentium II, PowerPC 604,
…
Tomasulo Algorithm vs.
Scoreboard
• Control & buffers distributed with Function Units (FU) vs.
centralized in scoreboard;
– FU buffers called “reservation stations”; have pending operands
• Registers in instructions replaced by values or pointers to
reservation stations(RS); called register renaming ;
– avoids WAR, WAW hazards
– More reservation stations than registers, so can do optimizations
compilers can’t
• Results to FU from RS, not through registers, over Common Data
Bus that broadcasts results to all FUs
• Load and Stores treated as FUs with RSs as well
• Integer instructions can go past branches, allowing
FP ops beyond basic block in FP queue
Tomasulo Organization
FP Registers
From Mem
FP Op
Queue
Load Buffers
Load1
Load2
Load3
Load4
Load5
Load6
Store
Buffers
Add1
Add2
Add3
Mult1
Mult2
FP adders
Reservation
Stations
To Mem
FP multipliers
Common Data Bus (CDB)
Reservation Station Components
Op: Operation to perform in the unit (e.g., + or –)
Vj, Vk: Value of Source operands
– Store buffers has V field, result to be stored
Qj, Qk: Reservation stations producing source registers (value to
be written)
– Note: No ready flags as in Scoreboard; Qj,Qk=0 => ready
– Store buffers only have Qi for RS producing result
Busy: Indicates reservation station or FU is busy
Register result status—Indicates which functional unit will write
each register, if one exists. Blank when no pending instructions
that will write that register.
Three Stages of Tomasulo
Algorithm
1. Issue—get instruction from FP Op Queue
If reservation station free (no structural hazard),
control issues instr & sends operands (renames registers).
2. Execute—operate on operands (EX)
When both operands ready then execute;
if not ready, watch Common Data Bus for result
3. Write result—finish execution (WB)
Write on Common Data Bus to all awaiting units;
mark reservation station available
• Normal data bus: data + destination (“go to” bus)
• Common data bus: data + source (“come from” bus)
– 64 bits of data + 4 bits of Functional Unit source address
– Write if matches expected Functional Unit (produces result)
– Does the broadcast
Tomasulo Example
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
Load1
Load2
Load3
Reservation Stations:
Time Name Busy
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 No
Register result status:
Clock
0
FU
Busy Address
Op
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F0
F2
F4
F6
F8
No
No
No
F10
F12
...
F30
Tomasulo Example Cycle 1
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
Reservation Stations:
Time Name Busy
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 No
Register result status:
Clock
1
FU
Busy Address
Load1
Load2
Load3
Op
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F0
F2
F4
F6
F8
Load1
Yes
No
No
34+R2
F10
F12
...
F30
Tomasulo Example Cycle 2
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
Reservation Stations:
Time Name Busy
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 No
Register result status:
Clock
2
FU
Busy Address
Load1
Load2
Load3
Op
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F0
F2
F4
F6
F8
Load2
Yes
Yes
No
34+R2
45+R3
F10
F12
...
F30
Load1
Note: Unlike 6600, can have multiple loads outstanding
(This was not an inherent limitation of scoreboarding)
Tomasulo Example Cycle 3
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
Reservation Stations:
Time Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes MULTD
Mult2 No
Register result status:
Clock
3
FU
F0
Busy Address
3
S1
Vj
Load1
Load2
Load3
S2
Vk
RS
Qj
Yes
Yes
No
34+R2
45+R3
F10
F12
RS
Qk
R(F4) Load2
F2
Mult1 Load2
F4
F6
F8
...
Load1
• Note: registers names are removed (“renamed”) in
Reservation Stations; MULT issued vs. scoreboard
• Load1 completing; what is waiting for Load1?
F30
Tomasulo Example Cycle 4
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
Reservation Stations:
Busy Address
3
4
4
Load1
Load2
Load3
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
No
Yes
No
45+R3
F10
F12
Time Name Busy Op
Add1 Yes SUBD M(A1)
Load2
Add2
No
Add3
No
Mult1 Yes MULTD
R(F4) Load2
Mult2 No
Register result status:
Clock
4
FU
F0
Mult1 Load2
M(A1) Add1
• Load2 completing; what is waiting for Load1?
...
F30
Tomasulo Example Cycle 5
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
2 Add1 Yes SUBD M(A1) M(A2)
Add2
No
Add3
No
10 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
5
FU
F0
Mult1 M(A2)
No
No
No
F10
M(A1) Add1 Mult2
F12
...
F30
Tomasulo Example Cycle 6
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
1 Add1 Yes SUBD M(A1) M(A2)
Add2 Yes ADDD
M(A2) Add1
Add3
No
9 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
6
FU
F0
Mult1 M(A2)
Add2
• Issue ADDD here vs. scoreboard?
No
No
No
F10
Add1 Mult2
F12
...
F30
Tomasulo Example Cycle 7
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
3
4
Busy Address
4
5
Load1
Load2
Load3
7
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
0 Add1 Yes SUBD M(A1) M(A2)
Add2 Yes ADDD
M(A2) Add1
Add3
No
8 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
7
FU
F0
No
No
No
Mult1 M(A2)
Add2
F10
Add1 Mult2
• Add1 completing; what is waiting for it?
F12
...
F30
Tomasulo Example Cycle 8
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
7
8
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
2 Add2 Yes ADDD (M-M) M(A2)
Add3
No
7 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
8
FU
F0
Mult1 M(A2)
No
No
No
F10
Add2 (M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 9
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
7
8
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
1 Add2 Yes ADDD (M-M) M(A2)
Add3
No
6 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
9
FU
F0
Mult1 M(A2)
No
No
No
F10
Add2 (M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 10
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
3
4
4
5
7
8
Busy Address
Load1
Load2
Load3
10
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
0 Add2 Yes ADDD (M-M) M(A2)
Add3
No
5 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
10
FU
F0
No
No
No
Mult1 M(A2)
F10
Add2 (M-M) Mult2
• Add2 completing; what is waiting for it?
F12
...
F30
Tomasulo Example Cycle 11
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
7
8
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
4 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
11
FU
F0
Mult1 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
• Write result of ADDD here vs. scoreboard?
• All quick instructions complete in this cycle!
F12
...
F30
Tomasulo Example Cycle 12
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
7
8
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
3 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
12
FU
F0
Mult1 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 13
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
7
8
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
2 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
13
FU
F0
Mult1 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 14
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
4
5
Load1
Load2
Load3
7
8
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
1 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
14
FU
F0
Mult1 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 15
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
Busy Address
3
4
15
7
4
5
Load1
Load2
Load3
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
0 Mult1 Yes MULTD M(A2) R(F4)
Mult2 Yes DIVD
M(A1) Mult1
Register result status:
Clock
15
FU
F0
Mult1 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 16
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
3
4
15
7
4
5
16
8
Load1
Load2
Load3
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 No
40 Mult2 Yes DIVD M*F4 M(A1)
Register result status:
Clock
16
FU
F0
Busy Address
M*F4 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
F12
...
F30
Faster than light computation
(skip a couple of cycles)
Tomasulo Example Cycle 55
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
3
4
15
7
4
5
16
8
Load1
Load2
Load3
10
11
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 No
1 Mult2 Yes DIVD M*F4 M(A1)
Register result status:
Clock
55
FU
F0
Busy Address
M*F4 M(A2)
No
No
No
F10
(M-M+M)(M-M) Mult2
F12
...
F30
Tomasulo Example Cycle 56
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
3
4
15
7
56
10
4
5
16
8
Load1
Load2
Load3
S1
Vj
S2
Vk
RS
Qj
RS
Qk
56
FU
F0
F2
F4
F6
F8
M*F4 M(A2)
No
No
No
11
Time Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 No
0 Mult2 Yes DIVD M*F4 M(A1)
Register result status:
Clock
Busy Address
F10
(M-M+M)(M-M) Mult2
• Mult2 is completing; what is waiting for it?
F12
...
F30
Tomasulo Example Cycle 57
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
k
R2
R3
F4
F2
F6
F2
Exec Write
Issue Comp Result
1
2
3
4
5
6
Reservation Stations:
3
4
15
7
56
10
4
5
16
8
57
11
Load1
Load2
Load3
S1
Vj
S2
Vk
RS
Qj
RS
Qk
F2
F4
F6
F8
Time Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 Yes DIVD M*F4 M(A1)
Register result status:
Clock
56
FU
F0
Busy Address
M*F4 M(A2)
No
No
No
F10
F12
...
(M-M+M)(M-M) Result
• Once again: In-order issue, out-of-order execution
and completion.
F30
Compare to Scoreboard Cycle 62
Instruction status:
Instruction
LD
F6
LD
F2
MULTD F0
SUBD
F8
DIVD
F10
ADDD
F6
j
34+
45+
F2
F6
F0
F8
Read Exec Write
k Issue Oper Comp Result
R2
R3
F4
F2
F6
F2
1
5
6
7
8
13
2
6
9
9
21
14
3
7
19
11
61
16
4
8
20
12
62
22
Exec Write
Issue ComplResult
1
2
3
4
5
6
• Why take longer on scoreboard/6600?
• Structural Hazards
• Lack of forwarding
3
4
15
7
56
10
4
5
16
8
57
11
Tomasulo v. Scoreboard
(IBM 360/91 v. CDC 6600)
Pipelined Functional Units
Multiple Functional Units
(6 load, 3 store, 3+, 2x/÷)
(1 load/store, 1+, 2x, 1÷)
window size: ≤ 14 instructions
≤ 5 instructions
No issue on structural hazard
same
WAR: renaming avoids
stall completion
WAW: renaming avoids
stall issue
Broadcast results from FU
Write/read registers
Control: reservation stations
central scoreboard
Tomasulo Drawbacks
• Complexity
– delays of 360/91, MIPS 10000, IBM 620?
• Many associative stores (CDB) at high speed
• Performance limited by Common Data Bus
– Each CDB must go to multiple functional units high
capacitance, high wiring density
– Number of functional units that can complete per
cycle limited to one!
• Multiple CDBs  more FU logic for parallel assoc stores
• Non-precise interrupts!
– We will address this later
Tomasulo Loop Example
Loop:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
R1
R1
0
R1
F4 F0
0
R1
R1 #8
Loop
F2
• Assume Multiply takes 4 clocks
• Assume first load takes 8 clocks (cache miss),
second load takes 1 clock (hit)
• To be clear, will show clocks for SUBI, BNEZ
• Reality: integer instructions ahead
Loop Example
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 No
Op
Vj
Exec Write
Issue CompResult
S1
Vk
S2
Qj
RS
Qk
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
No
No
No
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
Fu
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
0
F0
R1
80
Fu
F2
F4
F6
F8
F10 F12
Loop Example Cycle 1
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 No
Op
Vj
Exec Write
Issue CompResult
1
S1
Vk
S2
Qj
RS
Qk
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
No
No
No
No
No
80
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
1
R1
80
F0
Fu Load1
F2
F4
F6
F8
F10 F12
Loop Example Cycle 2
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
Vj
Exec Write
Issue CompResult
1
2
S1
Vk
S2
Qj
RS
Qk
R(F4) Load1
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
No
No
No
No
No
80
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
2
R1
80
F0
Fu Load1
F2
F4
Mult1
F6
F8
F10 F12
Loop Example Cycle 3
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
Vj
Exec Write
Issue CompResult
1
2
3
S1
Vk
S2
Qj
RS
Qk
R(F4) Load1
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
No
No
Yes
No
No
80
80
Mult1
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
3
R1
80
F0
Fu Load1
F2
F4
F6
F8
F10 F12
Mult1
• Implicit renaming sets up “DataFlow” graph
Loop Example Cycle 4
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
Vj
Exec Write
Issue CompResult
1
2
3
S1
Vk
S2
Qj
RS
Qk
R(F4) Load1
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
No
No
Yes
No
No
80
80
Mult1
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
4
R1
80
F0
Fu Load1
F2
F4
F6
F8
Mult1
• Dispatching SUBI Instruction
F10 F12
Loop Example Cycle 5
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
Vj
Exec Write
Issue CompResult
1
2
3
S1
Vk
S2
Qj
RS
Qk
R(F4) Load1
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
No
No
Yes
No
No
80
80
Mult1
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
5
R1
72
F0
Fu Load1
F2
F4
Mult1
• And, BNEZ instruction
F6
F8
F10 F12
Loop Example Cycle 6
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
Vj
Exec Write
Issue CompResult
1
2
3
6
S1
Vk
S2
Qj
RS
Qk
R(F4) Load1
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
Yes
No
Yes
No
No
80
72
80
Mult1
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
6
R1
72
F0
Fu Load2
F2
F4
F6
F8
F10 F12
Mult1
• Notice that F0 never sees Load from location 80
Loop Example Cycle 7
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 Yes Multd
Vj
Exec Write
Issue CompResult
1
2
3
6
7
S1
Vk
S2
Qj
RS
Qk
R(F2) Load1
R(F2) Load2
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
Yes
No
Yes
No
No
80
72
80
Mult1
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
7
R1
72
F0
Fu Load2
F2
F4
F6
F8
F10 F12
Mult2
• Register file completely detached from computation
• First and Second iteration completely overlapped
Loop Example Cycle 8
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
Vj
S1
Vk
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 Yes Multd
S2
Qj
RS
Qk
R(F2) Load1
R(F2) Load2
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
Yes
No
Yes
Yes
No
80
72
80
72
Mult1
Mult2
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
8
R1
72
F0
Fu Load2
F2
F4
Mult2
F6
F8
F10 F12
Loop Example Cycle 9
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
9
Vj
S1
Vk
S2
Qj
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 Yes Multd
RS
Qk
R(F2) Load1
R(F2) Load2
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
Yes
Yes
No
Yes
Yes
No
80
72
80
72
Mult1
Mult2
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
9
R1
72
F0
Fu Load2
F2
F4
F6
F8
F10 F12
Mult2
• Load1 completing: who is waiting?
• Note: Dispatching SUBI
Loop Example Cycle 10
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
4
Exec Write
Issue CompResult
1
2
3
6
7
8
S1
Vk
9
10
10
S2
Qj
Name Busy Op
Vj
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd M[80] R(F2)
Mult2 Yes Multd
R(F2) Load2
RS
Qk
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
Yes
No
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
Fu
72
80
72
Mult1
Mult2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
10
R1
64
F0
Fu Load2
F2
F4
F6
F8
F10 F12
Mult2
• Load2 completing: who is waiting?
• Note: Dispatching BNEZ
Loop Example Cycle 11
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
3
4
Exec Write
Issue CompResult
1
2
3
6
7
8
S1
Vk
Name Busy Op
Vj
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd M[80] R(F2)
Mult2 Yes Multd M[72] R(F2)
9
10
10
11
S2
Qj
RS
Qk
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
64
80
72
Fu
Mult1
Mult2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
11
R1
64
F0
Fu Load3
F2
F4
Mult2
• Next load in sequence
F6
F8
F10 F12
Loop Example Cycle 12
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
2
3
Exec Write
Issue CompResult
1
2
3
6
7
8
S1
Vk
Name Busy Op
Vj
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd M[80] R(F2)
Mult2 Yes Multd M[72] R(F2)
9
Busy Addr
10
10
11
S2
Qj
RS
Qk
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
64
80
72
Fu
Mult1
Mult2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
12
R1
64
F0
Fu Load3
F2
F4
F6
F8
Mult2
• Why not issue third multiply?
F10 F12
Loop Example Cycle 13
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
1
2
Exec Write
Issue CompResult
1
2
3
6
7
8
S1
Vk
Name Busy Op
Vj
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd M[80] R(F2)
Mult2 Yes Multd M[72] R(F2)
9
10
10
11
S2
Qj
RS
Qk
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
64
80
72
Fu
Mult1
Mult2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
13
R1
64
F0
Fu Load3
F2
F4
Mult2
F6
F8
F10 F12
Loop Example Cycle 14
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
0
1
Exec Write
Issue CompResult
1
2
3
6
7
8
9
14
10
11
S1
Vk
S2
Qj
RS
Qk
Name Busy Op
Vj
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd M[80] R(F2)
Mult2 Yes Multd M[72] R(F2)
10
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
64
80
72
Fu
Mult1
Mult2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
14
R1
64
F0
Fu Load3
F2
F4
F6
F8
F10 F12
Mult2
• Mult1 completing. Who is waiting?
Loop Example Cycle 15
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
Reservation Stations:
Time
0
Exec Write
Issue CompResult
1
2
3
6
7
8
9
14
10
15
11
S1
Vk
S2
Qj
RS
Qk
Name Busy Op
Vj
Add1
No
Add2
No
Add3
No
Mult1 No
Mult2 Yes Multd M[72] R(F2)
10
15
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
64
80
72
Fu
[80]*R2
Mult2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
15
R1
64
F0
Fu Load3
F2
F4
F6
F8
F10 F12
Mult2
• Mult2 completing. Who is waiting?
Loop Example Cycle 16
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
9
14
10
15
11
16
Vj
S1
Vk
S2
Qj
RS
Qk
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
10
15
R(F2) Load3
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
No
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
64
80
72
Fu
[80]*R2
[72]*R2
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
16
R1
64
F0
Fu Load3
F2
F4
Mult1
F6
F8
F10 F12
Loop Example Cycle 17
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
9
14
10
15
11
16
Vj
S1
Vk
S2
Qj
RS
Qk
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
10
15
R(F2) Load3
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
Yes
64
80
72
64
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
[80]*R2
[72]*R2
Mult1
Register result status
Clock
17
R1
64
F0
Fu Load3
F2
F4
Mult1
F6
F8
F10 F12
Loop Example Cycle 18
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
9
14
18
10
15
10
15
Vj
S1
Vk
S2
Qj
RS
Qk
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
11
16
R(F2) Load3
Busy Addr
Fu
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
Yes
Yes
Yes
64
80
72
64
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
[80]*R2
[72]*R2
Mult1
Register result status
Clock
18
R1
64
F0
Fu Load3
F2
F4
Mult1
F6
F8
F10 F12
Loop Example Cycle 19
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
9
14
18
10
15
19
10
15
19
11
16
Vj
S1
Vk
S2
Qj
RS
Qk
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
R(F2) Load3
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
No
Yes
Yes
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
Fu
64
72
64
[72]*R2
Mult1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
19
R1
64
F0
Fu Load3
F2
F4
Mult1
F6
F8
F10 F12
Loop Example Cycle 20
Instruction status:
ITER Instruction
1
1
1
2
2
2
LD
MULTD
SD
LD
MULTD
SD
F0
F4
F4
F0
F4
F4
j
k
0
F0
0
0
F0
0
R1
F2
R1
R1
F2
R1
1
2
3
6
7
8
9
14
18
10
15
19
10
15
19
11
16
20
Vj
S1
Vk
S2
Qj
RS
Qk
Reservation Stations:
Time
Exec Write
Issue CompResult
Name Busy Op
Add1
No
Add2
No
Add3
No
Mult1 Yes Multd
Mult2 No
R(F2) Load3
Busy Addr
Load1
Load2
Load3
Store1
Store2
Store3
No
No
Yes
No
No
Yes
Code:
LD
MULTD
SD
SUBI
BNEZ
F0
F4
F4
R1
R1
Fu
64
64
Mult1
0
F0
0
R1
Loop
R1
F2
R1
#8
...
F30
Register result status
Clock
20
R1
64
F0
Fu Load3
F2
F4
Mult1
F6
F8
F10 F12
Why can Tomasulo overlap
iterations of loops?
• Register renaming
– Multiple iterations use different physical destinations for
registers (dynamic loop unrolling).
• Reservation stations
– Permit instruction issue to advance past integer control
flow operations
– Also buffer old values of registers - totally avoiding the
WAR stall that we saw in the scoreboard.
• Other idea: Tomasulo building “DataFlow” graph on the fly.
Summary #1
• Reservations stations: implicit register renaming to larger set of
registers + buffering source operands
– Prevents registers as bottleneck
– Avoids WAR, WAW hazards of Scoreboard
– Allows loop unrolling in HW
• Not limited to basic blocks
(integer units gets ahead, beyond branches)
• Helps cache misses as well
• Lasting Contributions
– Dynamic scheduling
– Register renaming
– Load/store disambiguation (see fig 3.5 – execute stage)
• 360/91 descendants are Pentium II; PowerPC 604; MIPS
R10000; HP-PA 8000; Alpha 21264
Download