Defect reduction in Cu chemical-mechanical polishing Please share

advertisement
Defect reduction in Cu chemical-mechanical polishing
The MIT Faculty has made this article openly available. Please share
how this access benefits you. Your story matters.
Citation
Eusner, Thor, Nannaji Saka,and Jung-Hoon Chun. "Defect
Reduction in Cu Chemical-Mechanical Polishing." ISSM 2010
conference proceedings, the Eighteenth International
Symposium on Semiconductor Manufacturing, October 18-20,
2010, Hyatt Regency Tokyo, Shinjuku, Tokyo. IEEE, 2010. ©
Copyright 2010 IEEE.
As Published
http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=57502
40
Publisher
Institute of Electrical and Electronics Engineers
Version
Final published version
Accessed
Wed May 25 20:28:19 EDT 2016
Citable Link
http://hdl.handle.net/1721.1/78335
Terms of Use
Article is made available in accordance with the publisher's policy
and may be subject to US copyright law. Please refer to the
publisher's site for terms of use.
Detailed Terms
[SSM 2010 POO-032
Defect Reduction in Cu Chemical-Mechanical Polishing
/PO-O-032 /
Thor Eusner, Nannaji Saka, Jung-Hoon Chun
Laboratory for Manufacturing and Productivity
Massachusetts Institute of Technology
Cambridge, MA, USA
teusner@mit. edu, nsaka@mit.edu, jchun@mit. edu
Abstract - The chemical-mechanical polishing (CMP) of
Cu is a critical step in the manufacture of ultra-large-scale
integrated (ULSl) semiconductor devices. During this process,
undesirable scratches are formed on the surface being
polished [I -3]. Recent research suggests that the "killer"
scratches found on the Cu wafers are due to the soft pad
asperities and not necessarily by the hard abrasives in the
slurry [4,5]. Figure 1 shows examples of scratches on a Cu
coating due to pad asperities. This paper presents the theory
and experimental validation of scratching by soft pad
asperities in Cu CMP. Based on the models and experimental
results, practical solutions for mitigating scratching by pad
asperities in Cu CMP are suggested.
strength of the pad, (Jy,p' Alternatively, if the pad deforms
fully-plastically with friction present, then the contact
pressure distribution is uniform, Figure 2(b), and the
maximum pressure that the pad can apply to the Cu coating is
3(Jy,p, or equivalently, the pad hardness, Hp.
If a pad asperity deforms elastically and at the onset of
yielding, as in Figure 2(a), then scratching of the Cu will not
occur if [6] :
H
(I)
-p
He
<1.0 ,(0::::,u::::0.3)
�P
INTRODUCTION
The chemical-mechanical polishing (CMP) of Cu is a
critical step in the manufacture of ultra-large-scale integrated
(ULSI) semiconductor devices. During this process,
undesirable scratches are formed on the surface being
polished [1-3] . Recent research suggests that the "killer"
scratches found on the Cu wafers are due to the soft pad
asperities and not necessarily by the hard abrasives in the
slurry [4, 5 ] . Figure 1 shows examples of scratches on a Cu
coating due to pad asperities. This paper presents the theory
and experimental validation of scratching by soft pad
asperities in Cu CMP. Based on the models and experimental
results, practical solutions for mitigating scratching by pad
asperities in Cu CMP are suggested.
r
(a)
(b)
Figure 2. (a) Elastic pad asperity at the onset of yielding with friction,
(b) Fully-plastic pad asperity with friction.
Figure 1. Examples of "killer" scratches on eu coatings created by
soft pad asperities.
SCRATCHING BY A SOFT PAD ASPERITY
It was assumed that the deformation that occurs in the pad
asperities is one of two extremes, i.e. elastic deformation at
the onset of yielding or fully-plastic deformation. If the
asperity deforms elastically and at the onset of yielding with
friction present, then the contact pressure distribution is
Hertzian, Figure 2(a), and the maximum pressure that the pad
can apply to the Cu coating is about 1. 5 times the yield
Hp
H,
l
2 (1-2V)2 +(1-2v)(2-v),wr + (16-4V+7V2),u27r2 'Y, (,11203) (2)
3
3
4
64
'
-<-
[
--
where Hp is the pad hardness, He is the Cu hardness, f1 is the
coefficient of friction during polishing, and v is the Poisson's
ratio of Cu. In order for the pad asperities to deform
elastically, the radii of curvature of every pad asperity must be
greater than a critical value, otherwise, to be conservative, it is
assumed that at least some asperities deform plastically.
Figure 3 shows Eqs. (1) and (2) labeled as "Hertzian Case. " If
the process operating point is located above the line, then
scratching of the Cu coating will occur. If, however, the
[SSM 2010 POO-032
operating point is below the line, then scratching is not
expected to occur.
For the case of a pad asperity that deforms fully­
plastically, finite element analyses (FEA) are used to analyze
the uniform contact pressure profile when friction is taken into
account. The FEA is used to locate the magnitude of the
largest equivalent stress in the Cu coating. Then, the Mises
yield criterion is used to obtain relations such that a fully­
plastic pad asperity will not scratch a Cu coating if [6] :
H
<0.34 , (0";,u";0.1)
(3)
�
1[
<- OA05+0.755,u+7.763,u2 J 2 , (,u�0.1)
4
(4)
-p
He
H
-p
He
Figure 3 shows Eqs. (3) and (4), labeled as "Plastic Case,"
plotted for different ratios of pad-to-Cu hardness and
coefficients of friction. If the pad deforms plastically, and the
process operating point is located above the respective line,
then scratching of the Cu coating will occur. If, however, the
operating point is below the line, then scratching is not
expected to occur.
1.4 .,-------,
1.2
�
a
�
:s,
1.0 t-----\
elastically only. However, if any of the pad asperities have a
radius of curvature smaller than Ra,crib then at least some of
the asperities will deform plastically.
ASPERITY RADIUS OF CURVATURE
In order to determine Ra,crit, statistical methods were used
to analyze a pad with multiple asperities, with a given
distribution of asperity heights, in contact with a Cu coating.
Multiple asperities, with a distribution of asperity heights, in
contact with a planar surface were first examined by
Greenwood and Williamson [7] . They assumed that the
asperities had a height distribution, such as a Gaussian
distribution. Furthermore, they assumed that every asperity
had the same radius of curvature. Their primary concern was
to calculate the real area of contact between the asperities and
the planar surface. Although their work provides a theoretical
framework to address the problem, the primary concern here
is to determine the smallest asperity radius of curvature, given
an asperity height distribution, such that the tallest asperity
does not deform plastically. Therefore, it is the goal to
determine Ra,crit such that the tallest asperity is at the onset of
yielding. If every pad asperity has a radius of curvature
Ra 2': Ra,crib then it can be guaranteed that every asperity in
contact with the planar Cu surface has a Hertzian contact
pressure distribution. Once Ra,crit is determined, it is possible
to properly design or break-in the pad.
1 1 1 11111 1114 11111
Hertzian Case
'"
'"
"
c
�
:c
'"
u
111
0.8
B
;; 0.6
E
;.,
;e
]
0.4
Figure 4 . A CMP pad i n contact with a C u wafer.
I Plastic Case
'"
c::
-------
-
+- ---------- - ----------
---------------
0.2
A rough pad with multiple asperities in contact with a
planar coating is shown in Figure 4. The critical radius of
curvature, Ra,crib is a complex function of many parameters:
0.0 +---.-----,--.--;--1
0.0
0.2
0.4
0.6
0.8
1.0
(5 )
Coefficient of Friction (p.)
Figure 3. Regions of Cu scratching and no-scratching.
The primary parameter that affects the type of asperity
deformation, i. e. elastic or plastic, is the asperity radius of
curvature, Ra. A large radius of curvature will translate into a
large contact area. As a result, for a given load, there is a
greater probability that the asperity will not deform plastically.
If every asperity has a radius of curvature greater than a
critical value, Ra,crit. then the pad asperities will deform
where
¢( z )
s
is the distribution of pad asperity heights,
(Is
is
the standard deviation of pad asperity heights, p is the
pressure applied to the pad, Aa is the pitch between pad
asperities, and E* is the equivalent modulus of the pad/coating
contact. In this analysis, four different distributions will be
considered for ¢(z,) : the Gaussian distribution, the
exponential distribution, the uniform distribution, and the
delta "distribution", for which case all of the asperities are the
same height. The Gaussian, exponential and uniform
distributions, shown in Figure 5 , all have the same standard
[SSM 2010 POO-032
deviation. Furthermore, the area under each of the curves
from z" = 0 to z" = CXJ is the same for all four curves. It is
important to note that the delta distribution should have a
height that stretches to infinity and should have a width that
approaches zero. Only the results of the Gaussian and delta
distributions are presented in this paper, but the value of Ra,crit
will be shown for all four distributions in Table 1.
¢(Z,)
Asperity Height,
Zs
(�m)
Figure 5. Four different asperity height distributions: Gaussian,
exponential, uniform and delta.
(8)
The standard deviation does not appear in Eq. (8) since it
was assumed that all of the asperity heights are identical.
Table 1 shows the values for Ra,crit for the four different
distributions. The second column of the table shows the value
of the tallest asperity. For the exponential and Gaussian
distributions, a truncated value had to be chosen because both
distributions stretch to infinity. Therefore, the third column in
the table shows the probability that an asperity is taller than
the truncation point shown in the second column. The fourth
column shows the value of the critical radius of curvature,
Ra,crit. This value was obtained using Eq. (6) for the Gaussian
distribution and Eq. (8) for the delta distribution. For each of
the distributions, if all of the pad asperities are greater than the
critical value, i. e. Ra:>: Ra,crito then the asperities are loaded
elastically and the elastic deformation curve can be used in
Figure 3. However, if any of the pad asperities have a radius
of curvature less than Ra,crito then the plastic deformation curve
must be used in Fig. 4 to analyze pad scratching.
Distribution
�
max
Exponential
lOu,
Gaussian
4lJs
1.70'.,
Uniform
Delta
RG, orit
P(�., >�rrmx )
0.002%
RG, orit (11m)
C
2103
0.49
0.003%
1012
0.70
500
0
144
1.86
500
0
21
(11m) (For C =1)
500
(6)
Table 1. Summary of the critical asperity radius of curvature.
where
EXPERIMENTAL
By solving Eq. (6) for Ra,crito it can be assured that if every
asperity has a radius of curvature Ra:>: Ra,crito then every pad
asperity will deform elastically for a pad that has asperity
heights Gaussian-distributed. Then the elastic deformation
curve in Figure 3 can be used. The integral in Eq. (6) cannot
be solved analytically. Therefore, it must be solved
numerically in order to determine the value of Ra,crit.
If the asperity height distribution is the delta distribution,
then all of the asperities are of the same height, and the
distribution of asperity heights is then defined as:
¢(Z.)=oo
at
=
z
s
z
(7)
max
where Zmax is a constant and the height of every asperity is
the same and equal to Zmax. For this distribution, the value of
Ra,crit can be obtained by a force balance on a single asperity,
since all of the asperities are identical.
Therefore, Ra,crit can be explicitly solved for:
By an appropriate choice of polishing pressure, pad
hardness, lubricant, and level of pad conditioning, it is
possible to significantly reduce scratching defects during
CMP. Figure 4 shows a schematic of a CMP pad in contact
with a wafer during polishing. Two Cu wafers were polished
under typical CMP conditions. Samples of Rohm & Haas
rclOOO pads were used to conduct sliding tests on a l-�m
thick Cu coated wafers using a sliding friction apparatus. The
samples of pads were either new, or had been conditioned.
The pads slid over the Cu wafers with either no lubricant or a
0. 01 molar solution of Sodium Dodecyl Sulfate (SDS) present,
in order to vary the coefficient of friction. A normal load of 2
N was applied to the pads, which had a diameter of 0. 02 m.
This corresponds to about 5 kPa, or 0. 73 psi, which is within a
typical range of pressure for CMP. The relative velocity of the
pad was 0. 005 mls and the range of the linear stage was 0. 025
m. That means that for every cycle of sliding, the linear stage,
which held the Cu wafer, moved 0. 025 m to the left and then
0. 025 m to the right, at a velocity in both directions of
0. 005 m/s.
[SSM 2010 POO-032
The first wafer was polished with a new pad and no
lubricant present, which corresponded to a coefficient of
friction of 0. 5 5 . The average asperity radius of curvature was
relatively small, about 15 /lm. The second wafer was polished
with a conditioned pad and SDS as the lubricant, which
corresponded to a coefficient of friction of 0. 19. The average
asperity radius of curvature was relatively large, about
100/lm.
SEM images of the two wafers polished with a new and a
conditioned pad are shown in Figure 6(a) and 6(b),
respectively. Table 2 shows the maximum scratch semi-with,
ac,rnax, as well as the density of micron-sized scratches. When
the wafer was polished with a new pad and large coefficient of
friction, the maximum scratch semi-width was about 20 /lm.
However, when a small coefficient of friction was used with a
conditioned pad, the largest scratch semi-width was about
25 0 nm. The data points in Figure 3 correspond to the
experiments. By lowering the coefficient of friction and by
increasing the radii of curvature, it was possible to decrease
the severity of pad scratching.
New Pad
New Pad
fJ = 0.55
fJ = 0.55
(a)
Conditioned Pad
Conditioned Pad
the smallest pad asperity radius of curvature is greater than
200/lm.
a
(11m) No. Scratches
ac
Pad
f1
New
0.55
20
> 10
Conditioned
0. 19
0.25
o
c ,max
:>: 1 11m in 0.03
mm2
Table 2, The largest scratch found on a Cu wafer surface, as well as
the frequency of scratches found, after polishing under typical CMP
conditions.
REFERENCES
[1] N. -S. Kim, K. -M. Kang, Y. -S. Lim, l-H. So, S. -T. Moon,
D. -l Lee, S. -M. Jun, "Correlations of Ceria Abrasives
Characteristics Between Calcination Temperature and
Polishing Performance," in Proceedings of the International
Symposium on Semiconductor Manufacturing, 2003, pp.44745 0.
[2] S. -M. Jung, J. -S. Uom, W. -S. Cho, Y. -J. Bae, Y. -K.
Chung, K-S. Yu, K. -Y. Kim, K. -T. Kim, "A Study of
Formation and Failure Mechanism of CMP Scratch Induced
Defects on ILD in W-Damascene Interconnect SRAM Cell,"
in Proceedings of the International Reliability Physics
Symposium, 2001, pp. 42-47.
[3] T. L. Neo, S. Y. Shang, C. M. Chong, M. Huang, C. M.
Chen, FJ. Hsu, "CMP Defect Reduction by Micro-Scratch
Control using New Monitoring technique," in Proceedings of
the
International
Symposium
on
Semiconductor
Manufacturing, 2001, pp. 321-324.
[4] N. Saka, T. Eusner, lH. Chun, "Scratching by Pad
Asperities in Chemical-Mechanical Polishing," CIRP Annals­
Manufacturing Technology, vol. 5 9, pp. 329-332, 2010.
(b)
Figure 6. SEM images of scratches on Cu wafers polished with: (a) a
new pad and fJ. = 0. 55, and (b) a conditioned pad and fJ. = 0. 19.
CONCLUSIONS
Based on the developed scratching models, as well as
experimental validation, scratching by pad asperities can be
eliminated. For a typical CMP pad, with a maximum pad
hardness of 0.3 GPa, pad scratching can be eliminated if the
coefficient of friction between the pad and the wafer is less
than 0. 2. Furthermore, the pad should be conditioned such that
[5 ] S. Lee, H. Kim, D. Dornfeld, "Development of a CMP
Pad with Controlled Micro Features for Improved
Performance," in Proceedings of the International Symposium
on Semiconductor Manufacturing, 2005 , pp. 173- 176.
[6] T. Eusner, "Multi-Scale Scratching in Chemical­
Mechanical Polishing," Ph. D. Thesis, MIT, Cambridge, MA,
2010.
[7] lA. Greenwood, lB. P. Williamson, "Contact of
Nominally Flat Surfaces," in Proceedings of the Royal Society
of London, Series A, Mathematical and Physical Sciences, vol.
295 , pp. 300-319, 1966.
Download