Diamond Nanophotonic Devices for Quantum

advertisement
Diamond Nanophotonic Devices for Quantum
Information Processing and Sensing
by
Luozhou Li
Submitted to the Department of Electrical Engineering and Computer
Science
in partial fulfillment of the requirements for the degree of
Doctor of Philosophy
MASSACHUSETTS INSTITUTE
OF TECHNOLOGY
at the
NOV 0 22015
MASSACHUSETTS INSTITUTE OF TECHNOLOGY
September 2015
@ Massachusetts Institute of Technology 2015. All rights reserved.
Signature redacted
Author ............
Department of Electrical Engineering and Computer Science
August 21, 2015
Certified by.....
Signature redacted
Dirk Englund
Jamieson Career Development P ofessor f Electrical Engineering and
Computer Science
Thesis Supervisor
Accepted by ..........
ARCHMVS
Signature redacted
/Proffk4JLeslie A. Kolodziejski
Chairman, Department Committee on Graduate Theses
LIBRARIES
Diamond Nanophotonic Devices for Quantum Information
Processing and Sensing
by
Luozhou Li
Submitted to the Department of Electrical Engineering and Computer Science
on August 21, 2015, in partial fulfillment of the
requirements for the degree of
Doctor of Philosophy
Abstract
The nitrogen vacancy (NV) center in diamond has in recent years emerged as a promising solid state system for quantum information processing and sensing applications.
However, using NV centers to build up quantum networks for these applications faces
several challenges, such as the lack of efficient interface between NVs and photons,
difficulty of maintaining spin coherence times, and scalable techniques for fabrication
of NV-photon networks. This thesis focuses on overcoming these challenges by fabricating diamond devices to improve the collection efficiency of NV photon emission,
especially from the zero phonon line (ZPL), while maintaining long spin coherence
times after fabrication.
After an introduction to the subject matter in Chapter 1, Chapter 2 discusses
a fabrication technique to produce vertical membranes out of bulk diamond plates.
This work showed that after reactive ion etching, the spin properties of isolated NVs
in diamond nanostructures were largely preserved. We also observed increased photoluminescence collection from shallow implanted NV centers in these slabs.
In Chapter 3, we describe a versatile nanofabrication method based on re-usable
silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology. These masks are transferred precisely onto targeted regions
of diamond membranes, where photonic devices can be realized without the need for
spin coating, wet etching or electron beam exposure.
Chapter 4 describes and demonstrates an alternative technique for fabricating
one-dimensional photonic crystal (PC) cavities in single-crystal diamond by a combination of reactive ion etching (RIE) and focused ion beam milling. We compare it
to transferred silicon hard mask lithography with RIE.
Chapter 5 demonstrate NV-nanocavity systems in the strong Purcell regime with
consistently high Q factors while preserving the long spin coherence times of NVs.
These systems enable coherent spin control of cavity-coupled semiconductor qubits
with coherence times exceeding 200 s - an increase by two orders of magnitude
over previously reported optical cavity-coupled solid-state qubits.
3
Chapter 6 introduces a circular diamond "bullseye" grating that achieves the highest reported photon collection rate from a single NV center of 4.56
0.08 Mcps at
saturation when fitted with the widely-used background counts subtraction method.
We also quantified the emission by a g( 2 -corrected saturation curve measurement
which gives a rigorous single photon count rate of 2.7
0.09 Mcps. By using dynamical decoupling sequences, we measured a spin coherence time of 1.7 t 0.1 ms, which
is comparable to the highest reported spin coherence times of NVs under ambient
conditions and also indicates that the bullseye fabrication process does not degrade
the spin properties noticeably. The planar architecture allows for on-chip integration,
and the circular symmetry supports left- and right-handed circularly polarized light
for spin-photon entanglement.
In Chapter 7, we demonstrate a top-down fabrication process using a porous metal
mask and a self-guiding RIE process that enables rapid nanocrystal creation across
the entirety of a high-quality chemical vapor deposited (CVD) diamond substrate.
High-purity CVD nanocrystals produced in this manner exhibit single NV phase
coherence times reaching 210 ps and magnetic field sensitivities of 290 nT.Hz- 1 / 2
without compromising the spatial resolution of a nanoscale probe.
Thesis Supervisor: Dirk Englund
Title: Jamieson Career Development Professor of Electrical Engineering and Computer Science
4
Acknowledgments
Throughout the course of my time at Massachusetts Institute of Technology (MIT)
and Columbia University, numerous individuals guided, helped, assisted and encouraged me along the path. Below is an incomplete list of those generous people and
mentors.
First and foremost, I would like to thank my advisor Professor Dirk Englund for
his guidance and support. Dirk invested a lot of time in meeting and mentoring me,
every time bursting with new ideas, while still providing me the freedom to try my
own ideas and to collaborate with people. He had huge patience towards improving
my writing skills and practicing talks for conferences. I have worked with Dirk from
the very beginning of his group with only him and me to now, over 20 researchers.
I feel grateful to have been part of this process and to have had an advisor with so
much knowledge, patience, and concern for his students. In his lab I was fortunate to
be exposed to a wide variety of advanced experimental methods and have hands-on
experience in state-of-the-art fabrication and characterization techniques.
I thank
him for allowing me to navigate through the sometimes very challenging waters of
experimental research while helping me to stay on course for discovery. From him, I
have learned to become a better presenter, writer, scientist, leader, and person.
I would like to thank Professors Karl Berggren and Terry Orlando for assisting
me through my time at MIT. It is my great honor to have them in my doctoral
committee. Prof. Berggren is a leading expert in nanofabrication. I have learned a
lot of nanofabrication techniques from his publications and his group. Prof. Orlando
is a leading expert and pioneer in quantum information science, and I thank him
for his patience and mentorship.
In addition, I would like to thank my graduate
counselor, Professor Jeff Lang, who allowed me to seek advice and never be turned
down.
I would also like to acknowledge our collaboration with Columbia University,
Brookhaven National Laboratory (BNL) and beyond. First, my deep gratitude to
our collaborator Professor Richard Osgood, who carefully revised my first paper and
5
helped monitor the whole process. Special thanks go to our collaborators from BNL
nanofabrication experts, namely to Dr. Ming Lu, Dr. Aaron Stein, Dr. Fernando
Camino, Dr. Chang-Yong Nam, Dr. Mingzhao Liu, and Dr. Chuck Black. I would
like to thank Dr. Mircea Cotlet for his advice and for his help on confocal measurements at BNL. In addition, my deep gratitude to the ion implantation team
at Albany State University led by Professor Hassaram Bakhru. And I would like to
thank Dr. Matthew Markham and Dr. Daniel Twitchen from Element Six for offering
world-leading diamond membrane samples.
I thank the entire Englund group members (past and present) for the support and
comradeship. I thank people in the diamond subgroup, including in particular Dr.
Jonathan Hodges, Dr. Ophir Gaathon, Dr. Igal Bayn, Dr. Tim Schr6der, Dr. Florian
Dolde, Dr. Sinan Karaveli, Edward Chen, Matthew Trusheim, Hannah Clevenson,
Jiabao Zheng, Michael Walsh, Sara Moudirain, Christopher Foy, Donggyu Kim, Ben
Lienhard, Hyeongrak Choi, Reyu Sakakibara, Noel Wan, and Rish Patel. Especially,
I would like to acknowledge two members of the group with whom I had the closest
interaction with: Dr. Tim Schr6der and Edward Chen. I have learned tremendously
from both of you. Your insight, creativity and work ethics are truly admirable. Thank
you for your encouragement and our friendship.
Finally, I thank Mark Mondol and James Delay from the NSL cleanroom, as well
as Kurt Broderick from EML and Vicky Diadiuk from MTL, who helped me a lot on
developing fabrication processes at MIT. And I would like to thank MIT Writing and
Communication Center, especially Elizabeth Fox (Betsy), for the assistance and help
on improving my English writing skills.
6
Contents
. . . . . . . . .
23
1.2
Nitrogen Vacancy (NV) Centers . . . . .
. . . . . . . . .
23
1.3
Challenges using NVs for QIP and sensing
. . . . . . . . .
25
1.4
Thesis Overview . . . . . . . . . . . . . .
. . . . . . . . .
26
1.5
Relevant publications . . . . . . . . . . .
. . . . . . . . .
27
.
.
Quantum information processing (QIP)
29
2.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . .
29
2.2
Experiments . . . . . . . . . . . . . . . . . . . . . . . .
.
30
2.3
Material properties of diamond nanoslabs . . . . . . . .
.
36
2.4
Spectral properties of NV centers in diamond nanoslabs
41
2.5
Conclusion and Outlook
44
.
.
Diamond membrane fabrication
.
. . . . . . . . . . . . . . . . .
45
Transferred hard mask lithography
Introduction . . . . . . . . . . . . . . . . . . . . . . . .
. . . .
45
3.2
Two methods for silicon mask transfer
. . . . . . . . .
. . . .
47
3.3
Silicon masks for etching . . . . . . . . . . . . . . . . .
. . . .
50
3.4
Dry lift-off . . . . . . . . . . . . . . . . . . . . . . . . .
3.5
D iscussion . . . . . . . . . . . . . . . . . . . . . . . . .
.
.
.
3.1
53
. . . .
55
One-dimensional photonic crystal cavities in single-crystal diamond 57
4.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
.
4
1.1
.
3
23
.
2
Introduction
.
1
7
57
5
6
7
4.2
RIE-FIB approach
4.3
Silicon mask approach
. . . . . . . . . . . . . . . . . . . . . . . . . .
60
4.4
Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . .
63
4.5
C onclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
65
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
Coherent spin control of nanocavity-enhanced
58
NV qubits in dia-
mond
67
5.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
68
5.2
Simulations
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
70
5.3
Nanofabrication using silicon masks . . . . . . . . . . . . . . . . . . .
70
5.4
Optical measurements and cavity tuning
. . . . . . . . . . . . . . . .
73
5.5
Spin properties of nanocavity-coupled NVs . . . . . . . . . . . . . . .
81
5.6
D iscussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
81
Bullseye circular gratings to enhance broadband NV photoluminescence collection efficiency
85
6.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
85
6.2
D esign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
86
6.3
Fabrication
87
6.4
Optical characterization
6.5
NV photon count rate estimation
. . . . . . . . . . . . . . . . . . . .
89
6.6
Spin properties of NVs inside the bullseye . . . . . . . . . . . . . . . .
93
6.7
D iscussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
94
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
88
Scalable fabrication of high purity diamond nanocrystals with longspin-coherence nitrogen vacancy centers
95
7.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
95
7.2
Fabrication procedure . . . . . . . . . . . . . . . . . . . . . . . . . . .
96
7.3
Optical and spin characterization
. . . . . . . . . . . . . . . . . . . .
100
7.4
D iscussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
101
8
8
103
Summary and Outlook
8.1
Diamond nanoslab fabrication ......................
8.2
Transferred hard mask lithography
8.3
Photonic crystal cavities for coherent spin control of NV qubits
103
. . . . . . . . . . . . . . . . . . .
103
. . .
104
8.4
Circular bullseye gratings . . . . . . . . . . . . . . . . . . . . . . . . .
105
8.5
Long-coherence diamond nanocrystals . . . . . . . . . . . . . . . . . .
105
9
10
List of Figures
1-1
Confocal fluorescence image of NV centers in diamond, which was obtained by scanning the sample over the laser spot of a confocal microscope. ........
1-2
24
...................................
Fluorescence spectrum of a single NV. Note the presence of Raman
line at 572 nm, the zero phonon line (ZPL) at ~ 637 nm, and phonon
sideband (PSB) when the 532-nm laser is focused on the NV.
2-1
....
25
Detailed diamond membrane fabrication procedure using RIE. (a) HSQ
spin coating; (b) electron beam lithography and development; (c) initial oxygen plasma etching of diamond; (d) and (e) Cr deposition at an
oblique angle; (f) continued oxygen plasma etching of diamond; (g) mechanically separated diamond nano-slabs from diamond; (h) diamond
nano-slabs transferred to a patterned silicon substrate; (i) if necessary,
further thinning of diamond nano-slabs with oxygen or chlorine plasma
etching.
2-2
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
31
Diamond membrane fabricated using RIE. (a) This process alternates
between oxygen plasma etching and Cr mask deposition steps and results in a high-aspect-ratio diamond membrane. (b) Diamond membrane (top view) stands vertically on a bulk diamond sample before
mechanical separation.
(c) Diamond membrane (side view) is trans-
ferred onto a patterned silicon substrate.
11
. . . . . . . . . . . . . . .
32
2-3
Diamond membrane fabrication procedure using FIB. (a) Diamond
membrane (side view), resulting from an FIB cut, is picked up from a
bulk diamond sample and placed near a TEM grid. The inset shows a
top view of the same diamond membrane after two 6 tm-deep trenches
were then milled into both sides of the membrane. (b) Expanded view
of a sample bonded to a TEM grid.
(c) Diamond sample after FIB
thinning of a region, denoted by the black ellipse, to a thickness of less
than 100nm for HRTEM imaging.
2-4
. . . . . . . . . . . . . . . . . . .
34
Raman spectra from a pristine CVD diamond (curve shown in blue),
FIB-processed diamond (curve shown in green), and RIE-processed
diamond (curve shown in red). FIB-processed diamond shows a broadbackground Raman feature surrounding the Raman line.
2-5
. . . . . . .
35
TEM investigation of FIB- and RIE-processed diamond membranes.
Low-magnification TEM images are taken from (a) FIB- and (b) RIEprocessed diamond membranes with electron diffraction patterns (inset). HRTEM images are taken from (c) FIB- and (d) RIE-processed
diamond membranes.
(c) is the expanded view of the edge of black
ellipse region in (a) to show the near-surface interface between amorphous and crystalline diamond. (d) is the expanded view of the black
rectangular region of (b) to show diamond crystal without any visible
damage with atomic resolution.
2-6
. . . . . . . . . . . . . . . . . . . . .
38
Images of exfoliated nanoslabs. Slabs are removed from the bulk diamond substrate by abrasion with a hypodermic syringe and transferred
to a glass slide (a) using a PDMS stamping technique. The diamond
slabs did not show characteristic bright spots, indicative of NVs, at
first. Repeated implantation and annealing caused an accumulation of
NVs inside the slabs. The sample is then scanned over the laser spot
of a confocal microscope to obtain a fluorescence image (b).
12
. . . . .
40
2-7
Fluorescence spectrum of a single NV in a nanoslab attached to the
bulk. Note the presence of the ZPL at 637 nm and PSB from 650 nm
to 800 nm when the optical excitation is focused on the NV.
2-8
. . . . .
42
Second-order autocorrelation function (g( 2 ) (T)) of the emitted photons
as measured in a Hanbury-Brown-Twiss configuration. Note that the
g( 2 )(0) value falls well below jg(2 )(T
2-9
-+
oc), indicating a single emitter.
43
Diamond membrane fabricated with PCs using FIB (top view). Unfortunately spectroscopy measurements did not give us any cavity resonance. We attributed the reason for no found resonance to the the
FIB surface damage.
3-1
. . . . . . . . . . . . . . . . . . . . . . . . . . .
44
Mask production and micro-PDMS transfer technique. (a) Arrays of
free-standing silicon masks on an SOI wafer. Inset: Scanning electron
micrograph (SEM) of a typical suspended silicon mask using 250-nmwide, 500-nm-long bridges connected to the substrate. The bridges are
denoted by white circles. (b) A micro-PDMS adhesive attached to a
tungsten probe tip (sideview) for transfer of a silicon hard mask. (c)
Illustration of a silicon mask attached to the micro-PDMS adhesive on
a tungsten tip during the transfer. (d) A silicon mask attached to the
micro-PDMS adhesive on a tungsten tip in air (bottom view).
silicon mask is circled by a blue dotted line.
3-2
The
. . . . . . . . . . . . . .
48
Millimeter-scale masks were transferred onto a piece of quartz using a
polytetrafluoroethylene (PTFE) sheet.
13
. . . . . . . . . . . . . . . . .
50
3-3
Illustration of patterning a diamond membrane with a silicon membrane as an etch mask. (I) A patterned silicon mask was transferred
onto a diamond membrane (less than 300 nm in thickness, adhering
to a bulk silicon substrate) using a micro-PDMS adhesive.
(II) The
silicon membrane on top of the diamond membrane served as an etch
mask for oxygen plasma etching.
(III) The diamond membrane was
patterned with nanostructures during oxygen etching after subsequent
mask removal.
(IV) An SF6 isotropic dry etching removed the sili-
con underneath and suspended the diamond membrane at the devices'
locations.
3-4
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
51
(a) Optical image of a silicon mask covering a diamond membrane that
is circled by the blue dotted line. (b) SEM of a suspended diamond L7
PC cavity. Inset: Measured cavity resonance (blue dots) at 623.3 nm
with a Lorentzian fit, yielding a
3-5
Q factor
of 4,700 (red line).
. . . . .
51
Quality of diamond dry etching using silicon masks. (a) Pattern transfer from silicon masks onto diamond membranes with vertical sidewalls.
(b) Oxygen reactive ion etching of bulk diamond with silicon masks.
The etch depth was 8.5 ltm. The image was taken when the sample
was tilted at 800. We found no visible change in the mask thickness. .
3-6
53
Illustration of dry lift-off: (I) A patterned silicon mask was transferred
onto the substrate. (II) A metal layer was deposited via an electron
beam or thermal evaporation. (III) A tungsten tip was swept across a
silicon mask to mechanically remove the mask. . . . . . . . . . . . . .
3-7
54
(a) SEM image of a nanoscale pattern. (b) Expanded view of the white
rectangular region in (b). The minimum linewidth that we achieved
w as 10 nm .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
14
54
3-8
Patterning on a fiber facet. (a) A silicon membrane with patterned gold
dot arrays was transferred onto a fiber facet using a micro-PDMS adhesive. Inset: Expanded view of the silicon membrane on the fiber core.
(b) After silicon mask transfer, gold dot arrays were tone-reversely
patterned on a fiber facet by deposition of a layer of 70-nm gold and
removal of silicon masks using a tungsten tip. Inset: Expanded view
of the white rectangular region to show gold dots on the fiber facet.
4-1
55
Illustration of RIE transferring the patterns from HSQ into bulk diamond and FIB cutting the bottom to suspend the nanobeams.
4-2
.
.
.
.
58
Cavity fabrication in bulk diamond using RIE-FIB. (a) The cross section of RIE-etched nanobeams shows straight sidewalls for the first
400 nm of etching into the diamond. The top surface is coated with Cr
to prevent charging during FIB cutting. (b) SEM of a representative
nanobeam cavity (I) after RIE, (II) after FIB milling of the bottom diamond, and (III) after annealing at 1,000 'C for 2 hours in vacuum. (c)
SEM of the same nanobeam cavity as in (c) after annealing at 1,000 'C
for 2 hours in vacuum. The sample was tilted by 300 for imaging.
15
.
.
59
4-3
Illustration of patterning on a diamond membrane using a silicon membrane as an etch mask: (a) NVs were created
-
100 nm below the 5- Lm
diamond membrane surface by implantation of
15
N atoms. The dia-
mond was subsequently annealed at 850 'C. (b) The 5-jLrm diamond
membrane was flipped over on a silicon substrate and thinned by RIE
to ~ 200-nm thickness. (c) A patterned silicon mask was transferred
onto a diamond membrane (less than 300 nm in thickness, adhering to
a bulk silicon substrate) using a micro-PDMS adhesive. (d) The silicon
membrane on top of the diamond membrane served as an etch mask
for oxygen plasma etching. (e) The diamond membrane was patterned
with nanostructures during oxygen etching after subsequent mask removal. (f) An SF6 isotropic dry etching removed the silicon underneath
and suspended the diamond membrane at device locations.
. . . . .
60
. . . . . . . . . . . . . . . . .
61
4-4
SEM of 200-nm diamond memrbanes.
4-5
SEMs of one-dimensional PC cavities produced by silicon mask method.
(a) Side view and (b) top view of an array of one-dimensional PC
cavities with rectangular holes. (c) A close-up image of a single onedimensional PC cavity with rectangular holes. (d) Top view and (e)
side view of an array of one-dimensional PC cavities with circular holes.
4-6
62
Optical characterization of one-dimensional PC cavities with circular
holes. (a) Measured cavity resonance (black dots) with a quality factor
Q
~ 1, 710 from a Lorentzian fit (blue line). (b) The spectrum taken
at low temperature from a different sample with a Raman line at 573
nm, NV0 ZPL at 575 nm, NV- ZPL at 637 nm, and three cavity
resonance peaks at 614 nm, 688 rn, and 741 nm. Inset: normalized
second-order auto-correlation measurement with g(2 ) (0) = 0.378 for the
weakly cavity-coupled NV.
. . . . . . . . . . . . . . . . . . . . . . .
16
64
5-1
On-chip NV-nanocavity system in diamond. a, The diamond PC cavities are integrated on a silicon substrate with metallic striplines for coherent spin control and optically addressed using a confocal setup with
532-nm CW excitation and photoluminescence collected > 630 nm.
The inset shows the NV-nanocavity system with g the NV-nanocavity
Rabi frequency, -y the NV natural spontaneous emission (SE) decay
rate, and
i
the cavity intensity decay rate. The NV consists of a sub-
stitutional nitrogen atom adjacent to a vacancy in the diamond lattice.
I, denotes the current through the stripline, and h the PC thickness.
b, Simulated electric field energy density for the optimized fundamental cavity mode. The PC has a width W and a lattice constant varying
from 0.9a at the center to a = 220 nm over five periods. c, SEM of
a representative cavity structure.
The scale bar represents 1 pLm. d,
Measured cavity resonance (dots) with a quality factor
from a Lorentzian fit (blue line).
5-2
FDTD simulation.
Q
-
9, 900
200
. . . . . . . . . . . . . . . . . . . .
a, Structural parameters.
69
a denotes the lattice
constant, w the beam width, h the thickness, h, the hole width, and
hy the hole length. b, Illustration of the linear cavity lattice constant
profile, which defines the potential well. c, Cavity Q/Vmode as the hole
widths and lengths are varied. The sweep parameter hy was limited to
below 2a to avoid multimode operation along the y-direction. . . . . .
17
70
5-3
Fabrication procedure (left column) and SEM of representative structures (right column). a, NVs were created ~100 nm below the surface
of the diamond membranes by implantation of
sequent annealing at 850
0
15
N atoms and sub-
C. Right: SEM of 200 nm membrane.
b,
Silicon masks were patterned on SOI, released, and transferred onto
diamond membranes.
Right: Patterned silicon mask before transfer.
The scale bar represents 1 tm. c, Oxygen RIE was used to pattern diamond membranes. Right: The false-color SEM shows the silicon mask
(purple) on diamond after oxygen etching. The scale bar represents 1
im. d, Patterned diamond membrane on microwave striplines for optical and spin characterization. Right: SEM of diamond PC structures
above metallic striplines in silicon channels. The scale bar represents
5
m.
e, Distribution of cavity
Q
factors from one fabrication run.
78 (blue bars) of 83 cavities showed resonances in the range of 600800 nm, while five (red bar) showed no resonances in this wavelength
range. The mean
5-4
Q
is 6,200.
. . . . . . . . . . . . . . . . . . . . . .
71
(a) SEM of the diamond devices integrated with the microwave architecture. (b) Close-up SEM of the diamond photonic crystals on top of
m icrowave striplines.
. . . . . . . . . . . . . . . . . . . . . . . . . . .
18
73
5-5
Optical characterization of NV-nanocavity system A. a, Photoluminescence confocal image of diamond PC structures. The scale bar is 5 Lm.
Single NVs are identified by circular white spots. System A: The dotted red circle shows a single NV close to the cavity center (indicated by
the blue dotted line). Inset: Normalized second-order auto-correlation
measurement with g(2 )(0)
=
b, Gas tuning of system A. The
0.28.
logarithmic plot shows the cavity resonance and two strain-split ZPL
branches from a single NV (EY and E2, 2A = 286 GHz). As the gas
condensation red-shifts the cavity resonance, it sequentially enhances
the two ZPL branches. The inset shows the intensity of the E. ZPL
transition as a function of cavity detuning.
This curve follows the
expected Lorentzian dependence of the Purcell enhancement given by
Eqn. 5.1 and shows that the cavity
out the tuning process.
Q
factor remains constant through-
c, Spectra of system A in the uncoupled (I)
and coupled cases with Ac,
= AEy (II) and
cav
= AE. (III). Note
the difference in scaling between E_ and E. cases. The black lines are
Lorentzian fits to the data, yielding
Q
= 1,700 t 300 for the cavity.
74
. . . . . . . . . . . . . . . . . . . . . . . . .
5-6
NV energy level model.
5-7
Optical characterization of NV-nanocavity system B. a, System B at
78
The inset shows a close-up of the
maximum Purcell enhancement.
spectrum. The ZPL transitions of four individual NVs (including the
cavity-coupled ZPL) are visible, each with a different strain-induced
spectral position. The accumulated phonon sidebands of these NVs
are also apparent. b, High resolution spectra of system B in cavitycoupled and uncoupled cases, respectively. The insets show the lifetime
measurements corresponding to r
19
= 6.7 ns and Trff
=
18.4 ns.
.
.
.
79
6-1
(a) Illustration of an array of diamond bullseye gratings adjacent to a
microwave (MW) strip line. (b) Schematic of the circular grating. a
denotes the lattice constant and gap the air spacing between circular
gratings. (c) Simulated electric field intensity (log scale) in the x = 0
plane with air above and glass below the diamond. A dipole emitter
was placed in the center of the bullseye grating, and was oriented along
the horizontal direction.
6-2
. . . . . . . . . . . . . . . . . . . . . . . . .
(a) Scanning electron micrograph and (b) PL scan of an NV within a
diamond bullseye grating (system A). . . . . . . . . . . . . . . . . . .
6-3
86
87
(a). Spectrum of an NV inside the bullseye grating. (b) Convolution of
standard NV spectrum (pink) with a simulated, wavelength-dependent
collection efficiency (blue). . . . . . . . . . . . . . . . . . . . . . . . .
6-4
a-d: Simulated and experimental back-focal-plane images.
88
The con-
centric circles are in units of numerical aperture, and the color intensities for all four images are normalized to their respective maximum
intensity value for wavelengths from 640 - 650 nm for the same E, polarization (pointing left-right). Measured far-field emission pattern of
an NV in the ~300 nm thick diamond membrane with (a) and without
(c) a grating structure. Simulated far-field emission pattern of a dipole
oriented along the horizontal direction inside a membrane with (b) and
without (d) a grating structure.
. . . . . . . . . . . . . . . . . . . . .
20
90
6-5
(a) The saturation curves of the bullseye-enhanced single NV in system
A. The red curve is a fit to data with background counts subtracted,
and asymptotically approaches 3.27
tation power of 77
0.37 Mcps at a saturation exci-
30 pW. The blue curve is a fit to g(2 )-corrected
counts (for details, see main text), and asymptotically approaches
0.2 Mcps at a saturation excitation power of 84
2.41
30 LW. The
second-order auto-correlation measurement (inset) indicates a minimum g( 2 ) (0) = 0.320
0.005 at 10
1
W. (b) Characterization of system
B. The red curve is a fit to data with background counts subtracted,
and asymptotically approaches 4.56
tation power of 255
0.08 Mcps at a saturation exci-
20 pW. The blue curve is a fit to g(2)-corrected
counts, and asymptotically approaches 2.70+0.09 Mcps at a saturation
excitation power of 150
16 pW. The second-order auto-correlation
measurement (inset) indicates a minimum g( 2 )(0) = 0.279
10
6-6
W.
0.003 at
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
91
(a) Saturation curve analysis of the bullseye-enhanced single NV in
system B. The green curve is a fit to the total count rate, which asymptotically approaches 4.38
of 288
0.3 Mcps at a saturation excitation power
30 kW with the linear background term a = 2215 t 200
counts/W given a fitting function C(P) =
-
+ aP. The blue
curve is a linear fit to background counts measured ~600 nm away
with a = 2100 t 100 counts/
7-1
Process schematic.
. . . . . . . . . . . . . . . . . . . . .
93
(a) Bulk diamond is masked by sputter-coated
AuPd. (b) 02 inductively coupled plasma etches the diamond with the
AuPd as a mask. (c) As the etch continues, the AuPd is completely
removed. (d) The diamond is implanted with nitrogen, annealed, and
chemically treated to form NV centers. (e) The CVD nanodiamonds
are mechanically removed from bulk and (f) transferred onto glass coverslips for confocal microscopy . . . . . . . . . . . . . . . . . . . . . .
21
97
7-2
Scanning electron micrographs. (a) AuPd mask. (b) Sideview and (c)
top-view of nanocrystals attached to bulk diamond. (d) Nanocrystals
separated from bulk and transferred onto a silicon substrate. . . . . .
7-3
99
Optical characterization. (a) Scanning confocal image of CVD nanodiamonds on glass. The fluorescence from a single NV is indicated by
the red square. (b) Spectrum of a single NV center in a CVD diamond
nanocrystal showing the NV ZPL at 638 nm. (c) Second-order autocorrelation function of NV photoluminescence indicating single-emitter
behavior with g( 2 )(0) < 0.5. Blue line: fit to function 1 + AeI(t/T) with
g(2 )(0) = 0.247 and
T
the excited state lifetime 13.57 ns.
22
. . . . . . .
100
Chapter 1
Introduction
1.1
Quantum information processing (QIP)
The field of quantum information processing (QIP) takes advantage of the properties of quantum mechanics to perform tasks that have no known solutions in classical physics [1], including exponentially faster computational algorithms [2, 3], longdistance quantum state teleportation [4, 5], and efficient simulation of many-body
quantum systems [6, 71. A central aim of QIP is the ability to create efficient quantum entanglement among a large number of quantum memories that are individually
addressable.
This entanglement can be created through atom-photon interactions,
allowing the establishment of quantum networks [8]. Quantum networks require sufficiently spaced, long lived quantum memories as stationary qubits and photons as
flying qubits for the information transfer. There is strong interest in solid-state implementations for scalability, stability, and device integration [91, which is now becoming
possible using nanofabrication techniques that were developed in the semiconductor
industry over the past decades.
1.2
Nitrogen Vacancy (NV) Centers
Among solid-state quantum bits (qubits), the negatively charged nitrogen vacancy
(NV) center in diamond [10] has in recent years emerged as a promising system. The
23
F
2
x 10,
112
10
4
8
6
6
8
10
E2
12
8
10
12
14
16
18
Figure 1-1: Confocal fluorescence image of NV centers in diamond, which was obtained by scanning the sample over the laser spot of a confocal microscope.
NV center consists of a nitrogen atom adjacent to a vacancy in the diamond lattice.
Not only NV centers exist in natural diamond, but also they can be produced in
artificial diamond either during the chemical vapor deposition growth process [11] or
by nitrogen ion implantation and subsequent annealing [12]. Excited by the green
laser, it is fluorescent in red (See Figure 1-1 for the confocal fluorescence scan of typical
NV centers). It has a zero phonon line (ZPL) at ~ 637 nm and broad phonon sideband
(PSB) ranging from 650 nm to 800 nm (Figure 1-2). Spin-selective optical transitions
allow individual NV electron spins to be easily observed using standard confocal
microscopy through optically detected magnetic resonance (ODMR) [131.
In the
simplified energy level diagram of NVs, we consider three different electronic states,
one ground state, one excited state and one metastable state. The NV ground state
has an associated spin triplet. The energy difference between associated magnetic
sublevels m, = 0 and m, =
1 states is ~2.88 GHz. The degeneracy of m, =
1
states can be lifted by an external magnetic field via inducing a Zeeman shift [141.
One can employ two sub-levels of the triplet to encode a qubit. Because of the nearly
spin-free carbon lattice and weak spin-lattice interactions, these electronic ground
states have extremely long coherence [15].
Although the advantages of NVs are obvious, such as optical spin initialization
24
180016001400-
-
1200
1000-
80060 50
600
650
700
wavelength (nm)
750
Figure 1-2: Fluorescence spectrum of a single NV. Note the presence of Raman line
at 572 nm, the zero phonon line (ZPL) at - 637 nm, and phonon sideband (PSB)
when the 532-nm laser is focused on the NV.
and readout [16, 171, and long spin coherence times [15], NV centers have an inefficient spin-photon quantum interface due to two reasons. First, the overall collection
efficiency of the NV is low due to high index contrast of the diamond-air interface.
Second, the NV has a small Debye Waller factor (the ratio of emission into the ZPL
over both ZPL and phonon sideband) with only ~ 1-3% of photons emitted into the
ZPL transition [18]. For optical entanglement of two NVs, the photon emission needs
to be a coherent process, i.e., the photons must be emitted in the ZPL. The inefficient
interface between NV qubits and optical photons causes low entanglement generation
rate [19J.
1.3
Challenges using NVs for QIP and sensing
Using NVs to build up quantum networks for QIP and sensing presents three main
challenges:
1. We lack an efficient interface between NV qubits and optical photons.
Re-
cently quantum entanglement [19] and teleportation [201 have been achieved
between two NV memories, but the entanglement generation rate is low, about
25
one entangled photon pair per several minutes, which prevents scaling the entanglement to more qubits. Only 3% useful photons are emitted through ZPL
for the above-mentioned entanglement protocol, and the high refractive index
of diamond prevents photons from being collected through an objective lens. A
more efficient NV-photon interface [21] is needed for faster QIP.
2. It is difficult to maintain spin coherence times for quantum computation after
device nanofabrication.
Diamond nanostructures and nanocrystals with long
spin coherence times are desired for quantum information and sensing applications, but the production method may introduce paramagnetic impurities or
lattice damage that limit the spin coherence times of NV centers [22, 231.
3. It is desirable to scalably fabricate individual quantum nodes in diamond and
integrate these nodes to form quantum networks.
1.4
Thesis Overview
The aim in my thesis is to build up photonic devices for NV centers. My research
focuses on six related areas to overcome these challenges: (A) development of lessdamaging methods of scalable thin diamond membrane fabrication, (B) development
of transferred hard mask lithography for membrane-based diamond device fabrication,
(C) one-dimensional photonic crystal cavities in single-crystal diamond, (D) coherent spin control of nanocavity-enhanced NV qubits, (E) bullseye circular gratings to
enhance NV photoluminescence collection efficiency, and (F) diamond nanocrystals
with long spin coherence times. Specifically, areas (B), (C), (D) (E) contribute to
overcoming Challenge 1. (A), (D), (E) and (F) target Challenge 2 while (A) and (F)
address Challenge 3. The next step would be to make use of these devices and our
developed skill sets to build quantum networks.
26
1.5
Relevant publications
Most of the chapters have been published previously as journal papers. Details are
listed below.
Chapter 2:
* J. S. Hodges, L. Li, M. Lu, E. H. Chen, M. E. Trusheim, S. Allegri, X. Yao,
0. Gaathon, H. Bakhru, and D. Englund. Long-lived NV- spin coherence in
high-purity diamond membranes. New J. Phys., 14(9):093004, September 2012.
9 Luozhou Li, Matthew Trusheim, Ophir Gaathon, Kim Kisslinger, Ching-Jung
Cheng, Ming Lu, Dong Su, Xinwen Yao, Hsu-Cheng Huang, Igal Bayn, Abraham Wolcott, Richard M. Osgood, and Dirk Englund.
Reactive ion etching:
Optimized diamond membrane fabrication for transmission electron microscopy.
J. Vac. Sci. Technol. B, 31(6):06FF01-06FF01, 2013.
Chapter 3:
* Luozhou Li, Igal Bayn, Ming Lu, Chang-Yong Nam, Tim Schr6der, Aaron Stein,
Nicholas C Harris, and Dirk Englund. Nanofabrication on unconventional substrates using transferred hard masks. Sci. Rep., 5:7802, 2015.
Chapter 4:
* Luozhou Li, Tim Schr6der, Edward Chen, Michael Walsh, Igal Bayn, Ophir
Gaathon, Matthew Trusheim, Ming Lu, Jacob Mower, Mircea Cotlet, Matthew
Markham, Daniel Twitchen, and Dirk Englund.
Coherent spin control of a
nanocavity-enhanced qubit in diamond. Nat. Commun., 6:6173, 2015.
Chapter 5:
* Luozhou Li, Tim Schr6der, Edward H Chen, Hassaram Bakhru, and Dirk Englund.
One-dimensional photonic crystal cavities in single-crystal diamond.
Phot. Nano. Fund. Appl., 15:130-136, June 2015. DOI:10.1016/j.photonics.2015.03.002
Chapter 6:
27
* Luozhou Li, Edward H Chen, Jiabao Zheng, Sara L Mouradian, Florian Dolde,
Tim Schr6der, Sinan Karaveli, Matthew L Markham, Daniel J Twitchen, and
Dirk Englund. Efficient photon collection from a nitrogen vacancy center in a
circular bullseye grating. Nano Lett., 15(3):1493-1497, 2015. DOI: 10.1021/n1503451j
Chapter 7:
* Matthew E Trusheim, Luozhou Li, Abdelghani Laraoui, Edward H Chen, Hassaram Bakhru, Tim Schr6der, Ophir Gaathon, Carlos A Meriles, and Dirk Englund.
Scalable fabrication of high purity diamond nanocrystals with long-
spin-coherence nitrogen vacancy centers. Nano Lett., 14(1):32-36, 2013. DOI:
10.1021/n1402799u
28
Chapter 2
Diamond membrane fabrication
The electronic spin associated with the NV color center in diamond is an excellent
candidate for a solid-state qubit functioning as a quantum register or sensor. However,
the lack of thin membrane technologies for single-crystal diamond with low impurity
levels hampers the development of photonic interfaces to such diamond-based qubits.
Thin membranes of single-crystal diamond containing NV centers are needed to build
quantum networks for QIP applications [241. But unlike established thin-film technologies and commercial production for many semiconductors (e.g., Si, GaAs, GaN,
etc.), diamond thin membrane fabrication methods need to be developed.
In our
group, we tried a mass fabrication technique to produce vertical membranes out of
bulk diamond plates [251. We measured spin coherence times approaching 100
s and
observed increased photoluminescence collection from shallow implant NV centers in
these slabs [261. Although these nanoslabs were too small to accommodate multiple
photonic devices, we anticipate that these slabs will be appealing as quantum memory
nodes in hybrid diamond nanophotonic systems.
2.1
Introduction
Solid-state systems provide a unique platform for QIP given their practical scalability
and connection to device physics and well-understood models within the context of
condensed matter physics [27, 281. Within the field of solid-state quantum optics,
29
there has been much interest in the NV center in diamond due to its optical addressability and readout [29], high-fidelity state preparation [30], and long spin coherence
time [31] with a controllable set of ancilla qubits [321 - all available at room temperature. Before the publication of our results, long coherence times (on the order
of a few millisecond in isotopically engineered high-purity diamond) were reported
on bulk diamond samples [31].
However, most photonic engineering of the opti-
cal photons emitted by NVs, including zirconium solid-immersion lens [331, gallium
phosphide cavities [23] and plasmonic resonances [34, 351 have used nanocrystalline
diamond of lesser quality than bulk diamond. In order to engineer optical interfaces
to useful NV spin qubits, a requirement for quantum repeaters, increased coupling
is necessary between the emitted photons and spins with long-lived coherence.
A
promising path forward is to leverage the advances of metamaterials, specifically
photonic band-gap engineered two-dimensional (2D) devices [36, 37] and apply these
to diamond substrates. However, there are currently no thin film heterogrowth technologies for long-spin-coherence ultrapure diamond. Various approaches have been
investigated, including triangular nanobeam cavities carved using focused ion beams
(FIB) [38] and 2D cavities by combination of ion slicing and FIB [39, 40], as well
as thin film heterogrowth with FIB [41]; however, none have shown reliable spectral
and spin properties.
Recently reported diamond membranes [42], formed through
epitaxial growth, show photoluminescence (PL) spectra consistent with bulk defects,
but these films do not yet exhibit excellent spin properties. This chapter outlines a
method for mass-producing diamond nanoslabs, down to 200 nm in thickness, with
heights up to 10 tm and lengths exceeding 10 tm. This procedure maintains the purity of near-pristine diamond samples, as evidenced by spin coherence times of single
NVs exceeding 100
2.2
s in a nano-structured material [26].
Experiments
We started the diamond nanoslab fabrication using single-crystal diamond plates
(sourced from Element Six) with extremely low native nitrogen impurities (<5 ppb).
30
HSQ patterns
....
b
a
d I
'e
g
14V1
plasma
C
Ill
f
Cr
HI~"Oxygen
plasma
Oxygen
h
Mechanically separated
diamond nano-slabs
Oxygen
thinning
Diamond nano-slabs
Patterned silicon substrate
Figure 2-1: Detailed diamond membrane fabrication procedure using RIE. (a) HSQ
spin coating; (b) electron beam lithography and development; (c) initial oxygen
plasma etching of diamond; (d) and (e) Cr deposition at an oblique angle; (f) continued oxygen plasma etching of diamond; (g) mechanically separated diamond nanoslabs from diamond; (h) diamond nano-slabs transferred to a patterned silicon substrate; (i) if necessary, further thinning of diamond nano-slabs with oxygen or chlorine
plasma etching.
31
a
Bulk
~
1Cr
Oxygen
plasma
CrDim
C
diamon C-,
d444
n
Diamond
membrane
Figure 2-2: Diamond membrane fabricated using RIE. (a) This process alternates
between oxygen plasma etching and Cr mask deposition steps and results in a highaspect-ratio diamond membrane. (b) Diamond membrane (top view) stands vertically
on a bulk diamond sample before mechanical separation. (c) Diamond membrane
(side view) is transferred onto a patterned silicon substrate.
The purity of this sample was confirmed using standard confocal microscopy and PL
techniques (detailed below). The plate was implanted with isotopically purified
ions at a fluence of 5 x 10 9 /cm
2
15
N
and accelerating energy of 6 keV, with an estimated
mean implantation depth of 10 nm as simulated using Stopping Ranging of Ions in
Matter (SRIM) software. The sample was annealed for 2 hours in high vacuum at
800 'C to convert nitrogen defects to NV 0 and NV- color centers. A density of 2NVcenters/ pm 2 was confirmed using confocal microscopy.
The fabrication process using reactive ion etching (RIE) is summarized in Figure 21. We used electron beam lithography to define the thickness of diamond membranes,
and then employed several cycles of oxygen plasma etchings and mask depositions to
form vertical membranes. With this approach, many membranes were formed in a
single run. Low surface roughness of the resultant diamond membrane was achieved
using 500 nm of hydrogen silsesquioxane (HSQ) as both electron beam resist and dry
32
etch mask. This resist allowed for a one-step pattern transfer, which performed much
better than the ZEP-520/Cr two-step pattern transfer, previously reported [261.
In more detail, a JBX6300FS electron beam lithography (EBL) tool was used to
expose line array patterns of 10 pim long and minimum 200 nm wide with dosage
2
variation from 10,000 kC/cm 2 to 15,000 pC/cm at an acceleration voltage of 100
kV. After exposure, our HSQ patterns were developed in a salty developer [43] (an
aqueous mixture of 1 wt % NaOH alkali and 4 wt % NaCl salt) for 4 minutes, and the
developer was then removed in DI water for 10 minutes. Subsequently deep pattern
transfer in the diamond was done via oxygen plasma etching in a TRION RIE tool
at 20 sccm gas flow, 50 mTorr pressure and 100 W power. By this process, a sample
with a 3.6-pm etch depth in the diamond was achieved, with depth limited by erosion
of the etch mask as the selectivity of HSQ etch mask of diamond was only
-
7:1. To
etch more deeply, a process was adopted which alternates between plasma etching
and mask-deposition steps, as detailed in Figure 2-2a: after 2-pm-deep etching, we
removed the diamond plate from the RIE chamber and deposited 20-nm-thick Cr on
both sides at a 450 incident angle. The deposition after etching reformed the hard
mask and protected both edges of the mask to further avoid sidewall etching from the
upper diamond edge. The incident angle could be varied, with limits based on the
ratio of etch depth and gap between lines. After the initial 2-ptm-deep etching, the
sidewalls of HSQ mask remained smooth. Oblique Cr deposition only covered the top
surface and the top part of the sidewalls, while leaving the bottom of trenches between
vertical membranes open for further etching. After four cycles of oblique deposition
and etching, vertical membranes measured up to 10 ptm in depth and had nearvertical sidewalls. Following the dry etching process, the Cr layer was removed using
a wet etchant (CR-1A, Union Etchant International); the HSQ layer was removed in
a buffered oxide etch 10:1. The top view of these vertical membranes is shown in
Figure 2-2b.
The FIB process used an FEI HELIOS Nanolab 600 Dual Beam (FIB/SEM)
Microscope system for both FIB etching and SEM imaging of the etched sample [441.
Prior to processing 30-nm Cr was deposited on the chemically cleaned diamond surface
33
Figure 2-3: Diamond membrane fabrication procedure using FIB. (a) Diamond membrane (side view), resulting from an FIB cut, is picked up from a bulk diamond sample
and placed near a TEM grid. The inset shows a top view of the same diamond membrane after two 6 km-deep trenches were then milled into both sides of the membrane.
(b) Expanded view of a sample bonded to a TEM grid. (c) Diamond sample after
FIB thinning of a region, denoted by the black ellipse, to a thickness of less than
100nm for HRTEM imaging.
to prevent charging-induced sample vibration during the FIB process. After loading
the sample into the FEI system, a 10 Rm x 1.5 Rm platinum (Pt) box was deposited
onto a selected area of the sample using a metal-organic gas injector. The electron
beam was initially used to deposit a thin protective coating of carbon-rich Pt, which
did not damage the diamond, followed by 0.27 nA ion beam deposited Pt. Using a
2.7 nA gallium ion beam at 30 keV, two 6-Rm-deep trenches were then milled into
diamond on both sides of the Pt box shown in the inset image of Figure 2-3a. Etching
with a 0.9 nA gallium ion beam, tilted at 520 to form an undercut and sidecut, released
the 1 pm thin membrane from the bulk diamond while leaving only two connection
points on both sides. An Omniprobe Autoprobe 200 in situ "lift-out" tungsten tip
was then inserted along with the metal-organic gas injector so as to sit on the top
surface of the vertical membrane. Pt was then deposited to attach the sample to the
tungsten tip. Following this Pt bonding, a 2.7 nA gallium ion beam was used to sever
the connection points between the smaller sample and bulk diamond crystal slab, and
the sample was lifted out using a tungsten tip. In order to prepare the diamond sample
for thinning and subsequent imaging using a HRTEM, an Omniprobe copper grid was
pre-loaded with the sample diamond. To carry this out, the Omniprobe tungsten tip
34
-Parent diamond
-FIB processed
-RIE processed
1
0.8
S0.6,
-0 0.4
N
*j 0.2
E
1100
1200 1300 1400
Wavenumber (cm- 1
1500
1600
)
Z 1000
Figure 2-4: Raman spectra from a pristine CVD diamond (curve shown in blue),
FIB-processed diamond (curve shown in green), and RIE-processed diamond (curve
shown in red). FIB-processed diamond shows a broad-background Raman feature
surrounding the Raman line.
with the vertical membrane, which were still attached, were moved adjacent to the
copper gird, as shown in Figure 2-3a. The membrane was bonded to the grid with Pt
using a 300 pA ion beam. Once bonded, the Omniprobe tungsten tip was cut away
from the sample using a 260 pA focused ion beam, as shown in Figure 2-3b. Both
the metal-organic gas injector and the Omniprobe tungsten tip were then retracted.
At this point in the process, the sample was approximately 10 pLm x 6 pLm x 1
ptm in dimensions, and was thus too thick for TEM imaging. Tilting the stage normal
to the ion column, the membrane was thinned using gallium FIB, first using a 300
pA and then 90 pA with a constant beam energy of 30 keV. To minimize the etched
surface roughness after the 30 keV etch, a short "polishing" etch with a beam energy
of 2 keV was performed after etching at the higher energy [45]. The membrane was
thinned from a +20
and -2' to normal with an alternating scan-rotation setting of
+2' and -2'. This process resulted in a thickness of less than 100 nm in the region
near the top section attached to the grid. Figure 2-3c shows the membrane after it
was rendered thin enough for HRTEM imaging; the thinned region is that region in
the black ellipse.
35
2.3
Material properties of diamond nanoslabs
Following vertical etching, the diamond membranes were mechanically released from
the bulk diamond while visually imaged with a long-working-distance stereoscope.
A syringe needle mounted on a manual stage was used to mechanically separate
specific rows of membranes from the diamond sample, leaving the remaining rows
intact. Polydimethylsiloxane (PDMS) stamps were used to transfer these diamond
membranes onto various substrates, such as glass cover slips, bulk silicon substrates,
patterned silicon substrates (Figure 2-2c), and TEM grids, for various applications.
The versatility of the fabrication and transfer technique enables simple diamondmembrane preparation for spectroscopy and microscopy studies as well as device
fabrication.
The Raman evaluation of these samples was performed after they were transferred
onto a glass cover slip. Both FIB- and RIE-produced membrane samples were excited
with a 5 mW 532 nm continuous-wave (CW) diode-pumped solid-state laser focused
to a diffraction-limited spot size of 300 nm using a commercial confocal microscope
(Zeiss Axio Observer, EC Epiplan- Neofluar Objective (x100 NA=0.9)). The Raman
spectra were acquired with a grating spectrometer. Both samples were also imaged
with a JEOL JEM2100F, high-resolution analytical transmission electron microscope
at 200 kV. In-situ energy-dispersive X-ray spectra and electron diffraction patterns
were used to identify the orientation and crystallinity of the thin diamond membranes.
Diamond has a single Raman first-order phonon mode at the center of the Brillouin zone with T2g symmetry; this F phonon mode is due to interpenetrating fcc
groups. The presence of this sharp Raman line allows diamond to be identified, even
in the presence of a graphitic carbon background [46, 471. Visible-Raman spectroscopy
is 50-250 times more sensitive to sp 2 -hybridized carbon than sp3 -hybridized carbon
and is qualitatively very robust in examining carbon species with various bonding
geometries [48, 49, 50j. Figure 2-4 shows Raman data from RIE and FIB-produced
diamond membranes.
Notably, the Raman spectrum from the RIE-processed dia-
mond has only the F phonon mode at -1332
36
cm-1, with no other detectable sp 2
species. This single-feature spectrum indicates that the crystalline structure of the
RIE-processed diamond is preserved, and that graphitization and amorphitization
are not occurring. In contrast, the FIB-processed diamond membrane shows a broad2
background Raman feature most likely due to D and G bands of sp hybridized carbon
centered at -1330
cm-
1
and 1580 cm- 1, respectively [481. This result is consistent
with a previous report on FIB-generated diamond photonic structures [411. The Raman spectrum indicates that sp 2 -hybridized species form on the diamond during the
FIB processing. This material consists of a combination of graphitized carbon and
amorphous carbon species [51].
The FIB-processed membrane is shown in a low-resolution TEM image with a
selected-area electron diffraction (SAED) pattern of the single-crystal membrane (Figure 2-5a and inset). This pattern exhibits distinct spots indexed to the (100) and
(110) crystal facets. A faint glow, corresponding to an amorphous carbon surface,
is visible. Correspondingly the SAED pattern allows us to know that the zone-axis
is along the 1100] direction, and that the FIB-process direction was parallel to [100].
In contrast, the diffraction pattern of RIE-processed diamond membrane given in
the inset of Figure 2-5b shows diffraction spots without the halo corresponding to
amorphous material. The diffraction spots are indexed to the (111), (200), and (220)
crystal facets.
Since the 110] zone-axis is observed in the SAED, the RIE sample
was prepared by cutting parallel to the (110) plane. The (110) plane has the dens2
est number of atoms per facet area with -22 atoms/nm . This difference in atomic
planes is due to the different spatial orientation of the diamond crystal.
An HRTEM image of FIB-processed diamond sample is shown in Figure 2-5c. It
clearly shows the damaged layer on the edge of the FIB sample; the amorphous character of this layer is about 11 nm in width. Apparently, gallium-ion bombardment
damaged the diamond lattice, as a result of implantation into the diamond surface
region. The FIB process thus coated the surface with amorphous carbon [52, 53]. The
d-spacing between adjacent (100) lattice planes is 0.356 nm and would be expected
to be readily imaged by 200 keV electrons with a wavelength of 2.5 pm. But gallium atoms and other superfluous carbon species coated the surface of the diamond
37
11nm
A
0_690(
2 nm
10 nm
Figure 2-5: TEM investigation of FIB- and RIE-processed diamond membranes. Lowmagnification TEM images are taken from (a) FIB- and (b) RIE-processed diamond
membranes with electron diffraction patterns (inset). HRTEM images are taken from
(c) FIB- and (d) RIE-processed diamond membranes. (c) is the expanded view of
the edge of black ellipse region in (a) to show the near-surface interface between
amorphous and crystalline diamond. (d) is the expanded view of the black rectangular region of (b) to show diamond crystal without any visible damage with atomic
resolution.
38
membrane, preventing the clear observation of the single-crystal diamond lattice.
Simulations of the FIB process with 30-keV gallium ions using a Stopping and
Range of Ions in Matter (SRIM) Monte Carlo code shows that the gallium ions have
a penetration depth of 14.3 nm in diamond. However, these simulations do not take
into account volumetric change in the diamond's surface region, which may affect
the precision of the estimated ion penetration. In particular, the diamond surface
would swell due to the effects of implanted gallium and the decreased density of
carbon atoms from 3.515 g/cm 3 to 1.8 g/cm
3
during full amorphization [53]. Bayn
et al. [54] reported a 20-nm amorphous layer when FIB is performed with a beam
energy of 30 keV. In that work, the layer thickness was measured by time of flight
secondary ion mass spectrometry (TOF-SIMS). Our measurement of the amorphous
layer thickness is smaller than both SRIM simulation and previous SIMS results due
to a short polishing etch with 2 keV after 30 keV etch. This damaged diamond layer,
including implanted gallium atoms, would also have an adverse effect on diamond
optical-performance, i.e. such as lower cavity resonances of photonic crystal defect
cavities [41, 40, 551; this effect is always present using the FIB process.
The RIE-based method enabled atomic-resolution imaging of the membrane. Figure 2-5b shows contrast changes (from dark to light) due to the etching process,
which indicates that the RIE-produced membrane has a tapered, thinner region at
the edge. Individual atoms are resolved under high magnification; the [1111 and [1101
directions are highlighted in Figure 2-5d and produce an angle of 900. Note that no
amorphous layer or graphite layer is visible on this RIE-produced membrane. Both
electron-diffraction patterns and HRTEM images indicate that the RIE process does
not introduce any detectable damage (i.e., graphitization or amorphitization), even
at atomic resolution. This result is consistent with the clean Raman-scattering measurements presented above. The membrane becomes thinner at the edge, and the.
increased electron transparency allows for enhanced imaging. To explain the RIEpreparation result, first note that the bias voltage for oxygen plasma was measured
to be -250 V, which sets the upper limit of the acceleration energy of generated ions.
SRIM simulations show that at the above-mentioned voltage, oxygen ions penetrate
39
4
a
10
1
1o(
8
0
0~
Figure 2-6: Images of exfoliated nanoslabs. Slabs are removed from the bulk diamond
substrate by abrasion with a hypodermic syringe and transferred to a glass slide (a)
using a PDMS stamping technique. The diamond slabs did not show characteristic
bright spots, indicative of NVs, at first. Repeated implantation and annealing caused
an accumulation of NVs inside the slabs. The sample is then scanned over the laser
spot of a confocal microscope to obtain a fluorescence image (b).
0.8 nm into the diamond, which is equivalent to ~2 atomic layers of 100 (d=0.356
nm) and ~4 atomic layers of 111 (d=0.205 nm). In addition, the RIE process is based
on etching that involves both oxygen-mediated chemical reactions and ion bombardment.
Thus, the shallow damage layer is removed during the RIE process by the
chemical reaction of carbon and oxygen, leaving the diamond surface in the form of
a mixed-stoichiometry of CO and CO 2 gases. This reaction allows for the etching
process to eliminate graphite and amorphous carbon species accumulation.
Our study emphasizes the importance of nonperturbative techniques to generate
TEM samples for TEM studies with atomic resolution.
The need to understand
growth defects and crystallographic damage will ultimately impact diamond devices
based on NVs for quantum computing and sensing applications.
40
2.4
Spectral properties of NV centers in diamond
nanoslabs
Given the density of defects within the implantation layer, it is likely that each slab
contains more than one NV. We begin by examining the slabs while they are still
attached to the bulk substrate (Figure 2-2b). We confirm the presence of NVs using
the standard confocal microscopy technique: the sample is illuminated with 532 nm
laser light using a diffraction limited spot. The resulting fluorescence from the excited
metastable triplet state ZPL at 637 nm; PSB emission up to 800 nm) is focused into
a single mode fiber and detected with Si avalanche photodiodes (Figure 2-6).
The
bright spots within the confocal image are verified to be NVs using a combination of
PL spectroscopy, which shows the characteristic emission spectrum (Figure 2-7), and
second order autocorrelation functions. The autocorrelation function of the photon
emission for a single center is confirmed using a fiber-based Hanbury-Brown-Twiss
interferometer and measuring the arrival times of the photons. The dip at zero delay
time, g( 2)(0) < 0.5, indicates emission from a single NV. The bunching phenomenon,
as seen in Figure 2-8 when the g( 2 )(7) value exceeds the steady-state rate of 25 Hz,
is indicative of driving the NV near optical saturation.
We note that for a given
alignment of the excitation and collection beam paths, the nanoslab emission rates
show 100% increase from NV in the bulk diamond (~90 and -45 kHz, respectively).
We attribute this to the reduced effective index of refraction due to the nanopatterning
of the slabs, similar to those reported here [561.
The novelty of nanoslabs for quantum information and sensing purposes cannot
be fully realized with the slabs attached to the bulk substrate. For example, patterning of the slabs into planar 2D photonic crystals suitable for enhancing light-matter
interactions is the most straightforward with top-down, lateral lithography. To this
end, we seek to remove the slabs from the bulk and verify that they behave similarly
on heterogeneous substrates. First, we exfoliate the slabs from the surface using a
syringe to fracture the slabs near the base. The slabs are then transferred from the
surface of the diamond substrate to a glass substrate using a polydimethylsiloxane
41
7001
PSB
680 - ZPL
-
660
2640-
C-620
00
640
660
680
700
720
Wavelength (nm)
740
760
Figure 2-7: Fluorescence spectrum of a single NV in a nanoslab attached to the bulk.
Note the presence of the ZPL at 637 nm and PSB from 650 nm to 800 nm when the
optical excitation is focused on the NV.
(PDMS) stamping technique. Here, a 1-mm-thick square of PDMS is pressed onto
the diamond surface with loose slabs whereby the tacky PDMS conforms to the slabs.
When the PDMS is lifted, the slabs are transferred to the polymer. Slabs are subsequently transferred from the polymer to a plasma-cleaned glass substrate by pressing
the PDMS square onto the glass and applying slight pressure. Confocal microscopy
of the slabs on this substrate, however, did not show any isolated NVs. The reason
for this absence could be twofold. First, N' 5 ion implantation occurs within a shallow region, roughly 10 nm below the diamond surface, with a straggle (spreading of
implant depth) of 10 nm. Under these conditions, the majority of NVs would be near
the edges of the detached walls, where scattering is maximal, and not in the center
where reflection dominates. Second, the shape and depth of the slabs could lead to
total internal reflection of fluorescence of NV emission when viewed from the planar
face of the slab. Note that in the vertical, attached geometry, the pump beam excites
the NV on the narrow edge (300-nm thick) and fluorescence occurs through the same
side, increasing the out coupling by minimizing the index mismatch within the mode.
Recent studies [57] have shown this collection technique to be near optimal.
In order to understand the absence of NVs from the detached slabs (Figure 2-6),
we implanted them in a planar position with another, higher dose of N1 5 ions (90 keV,
42
Af]
35-
30
25
0
4f 15
10
5
0
-60
-40
-20
0
20
40
Delay between detection events
A (ns)
60
Figure 2-8: Second-order autocorrelation function (g( 2 ) (T)) of the emitted photons as
measured in a Hanbury-Brown-Twiss configuration. Note that the g( 2 ) (0) value falls
2
)(w -+ o), indicating a single emitter.
well below
jg(
Ix 10" N/cm 2 ) and intended to create NVs 100 nm from the surface. Furthermore, we
annealed the sample under the same conditions cited above. Imaging the reimplanted
slabs showed the characteristic NV spots, which in turn demonstrated the photon
anti-bunching indicative of quantum emitters and the NV fluorescent spectrum (see
Figure 2-7). We note that despite a 20-fold increase in ion implantation, we observed
a low density of NVs compared to the bulk crystal. One possible explanation is that
in-plane wave guiding of the fluorescence emission does not couple normal to the slab
surface. This fact suppressed the planar-collected signal, except around rare surface
defects such as the one central in the nanoslab indicated in Figure 2-6. However,
the observation of NVs in the exfoliated nanoslabs confirms that these materials,
despite several processing steps, can support the quantum system of interest. It may
be possible to employ near-field scanning optical microscope techniques, as recently
demonstrated with diamond nanocrystals [58], in order to capture edge emission from
a detached slab.
43
Figure 2-9: Diamond membrane fabricated with PCs using FIB (top view). Unfortunately spectroscopy measurements did not give us any cavity resonance. We
attributed the reason for no found resonance to the the FIB surface damage.
2.5
Conclusion and Outlook
This chapter showed that vertical diamond nanoslabs fabricated in high-purity singlecrystal diamond by EBL and oxygen plasma dry etching can exhibit long coherence
times, approaching 100
s, comparable to the coherence times seen in the host dia-
mond material. The electron spin coherence time may be enhanced into the second
range using isotopically purified (12 C) diamond measured with dynamic decoupling
sequences at low temperature [31, 15]. Moreover, the diamond slabs can serve as individual nodes for hybrid, distributed quantum networks. Furthermore, the diamond
slab presented here is promising for post-processing into various structures such as
photonic crystal nanocavities (Figure 2-9) to enhance optical transitions of the NV
ZPL as efficient optical interfaces to QIP, magnetic or electric field sensors [22, 591,
or spin-based frequency standards [60].
44
Chapter 3
Transferred hard mask lithography
A major challenge in nanofabrication on diamond membranes is the difficulty in
spin coating and wet chemical steps. Compared to a commercial bulk diamond with
flat and even surfaces, it is difficult to produce uniformly flat diamond membranes
with lateral dimensions on the scale of hundreds of microns [611. Patterning such
100- m-scale membranes is challenging for conventional nanofabrication techniques
due to the difficulty of spin-coating uniform resist films. In addition, we found that
spin coating would sometimes float off these diamond membranes because of their
inefficient surface bonding with silicon substrates. This chapter describes a versatile
nanofabrication method based on re-usable silicon membrane hard masks, patterned
using standard lithography and mature silicon processing technology [62].
These
masks, transferred precisely onto targeted regions of diamond membranes, can be on
the millimeter scale. Photonic devices were realized on diamond membranes without
the need for spin coating, wet etching or electron beam exposure.
3.1
Introduction
The ability to define patterns on the nanometer scale is a cornerstone of modern
nanotechnology with applications in chemistry, biology, medicine, electronics, optics, material science, and other fields. In top-down fabrication processing, patterns
are produced in a resist film by commonly used lithography methods [631, including
45
electron-beam lithography (EBL) 1641 and optical lithography 1651. The patterns can
then be transferred onto the substrate using subtractive or additive methods, such as
dry etching or lift-off [66, 67]. However, these lithography techniques are restricted to
a certain subset of target samples, which must be flat and typically several millimeters
or more in size so that a uniform resist film can be applied by spin coating [681. Spin
coating is difficult on many other types of substrates 169], including fiber facets, thin
and fragile samples, or small regions on pre-fabricated devices such as semiconductor
lasers [70] and atomic force microscope (AFM) cantilevers [71]. Also, many samples
have low electrical conductivity and are therefore not suitable for EBL or require the
coating of additional conductive layers.
Several techniques have been developed to meet the challenges in patterning some
of the above-mentioned samples.
Evaporated negative resists for EBL have been
demonstrated to pattern optical fibers [701 and AFM cantilevers [711. FIB can be
used for fabricating these samples without spin coating, but it causes extensive surface
amorphization, material redeposition, and gallium implantation [72, 73, 74]. Nanoimprint lithography [75, 761 can also be applied to some of these unconventional substrates without spin coating [77, 78, 791, which is ideal for rigid sample surfaces to
avoid pattern distortion. A few other methods of pattern transfer have been explored
in which metal nanostructures were transferred onto unconventional substrates by
utilizing a sacrificial organic layer [80, 811; they are suited for applications that do
not require accurate alignment.
In this chapter, we introduce an alternative nanofabrication solution that achieves
excellent spatial resolution on most substrates without the need for spin coating, wet
chemical processing, scanning electron/ion beam, or UV exposure.
The nanofab-
rication process combines the well-developed processing methodology of silicon-oninsulator (SOI) samples [82, 83, 841 and membrane-transfer techniques [85, 86, 87].
Silicon masks can be produced using conventional lithography methods, such as EBL,
optical lithography, nanoimprint lithography, and many others. We have developed
two complementary transfer techniques for relocating nanopatterned silicon membrane masks to a desired substrate: (i) a pick-and-place method using a micro-PDMS
46
adhesive attached to a tungsten probe tip and (ii) stamping of silicon membranes
using a transparent polytetrafluoroethylene (PTFE) sheet.
The patterned silicon
hard masks enable a precise transfer of the silicon pattern to target substrates using reactive-ion etching; they can be removed mechanically with ease once etching is
completed, thus allowing a dry patterning process that does not require resist spincoating and solvent-based mask removal procedures on target substrates. Similarly,
the membrane masks allow us to realize linear gaps with aspect ratios of height over
width > 100:1 and patterned ion implantation with spatial resolution below 10 nm [881
and to enable the production of tone-reversed nanometer-scale metal patterns via a
dry lift-off process, as we achieve fabrication of titanium lines as narrow as 10 nm.
Finally, this process of hard-mask membrane transfer is suitable for a wide range of
substrates; for example, we patterned high-quality gold nanodot arrays on a fiber
facet using the dry lift-off process.
3.2
Two methods for silicon mask transfer
We investigated two methods for transferring silicon masks onto target substrates. In
the first method, we used wet etching in 49% hydrofluoric acid (HF) for 90 seconds
to undercut the silicon membrane masks, which remained connected to the substrate
using 250-nm-wide, 500-nm-long bridges.
These bridges kept the suspended mem-
branes in the plane of the sample surface (Figure 3-1a). We then used a micro-PDMS
adhesive sphere on a tungsten probe tip (Figure 3-1b) to pick up these membranes
from the SOI chip.
These nanopatterned silicon membranes were fabricated using EBL and cryogenic
etching. A ZEP-520A EBL resist, diluted in anisole (1:3 ratio), was coated on a SOI
substrate (220-nm-thick silicon device layer, 1-ptm-thick buried oxide (BOX) layer) at
3000 rpm for 45 seconds, followed by baking on a hotplate at 180 'C for 3 minutes to
realize a resist layer of 60 nm. After electron beam exposure of the samples in a JEOL
JBX6300FS electron beam writer (100 kV), they were developed at low temperature
(-15 'C) in hexyl acetate developer. Development at low temperature improved the
47
C
Tungsten tip
PDMS
adhesive
Silicon mask
Figure 3-1: Mask production and micro-PDMS transfer technique. (a) Arrays of
free-standing silicon masks on an SOI wafer. Inset: Scanning electron micrograph
(SEM) of a typical suspended silicon mask using 250-nm-wide, 500-nm-long bridges
connected to the substrate. The bridges are denoted by white circles. (b) A microPDMS adhesive attached to a tungsten probe tip (sideview) for transfer of a silicon
hard mask. (c) Illustration of a silicon mask attached to the micro-PDMS adhesive on
a tungsten tip during the transfer. (d) A silicon mask attached to the micro-PDMS
adhesive on a tungsten tip in air (bottom view). The silicon mask is circled by a blue
dotted line.
48
quality of the resist layer [891. We used an Oxford ICP etcher (mixture of SF6 and
02, -100
'C)
to transfer the patterns from the resist layer to silicon.
The micro-PDMS adhesive sphere was prepared as follows: the tungsten probe
with a tip radius of 0.5 Lm (Ted Pella) was dipped in uncured PDMS gel. After the
tip was removed, a droplet of PDMS formed near its sharpest point.
The droplet
was dried in warm air, forming a hemispherical ball that was firmly attached to the
tungsten tip. We controlled the size of the PDMS sphere by adjusting the angle of
the tip, and the depth to which it was dipped into the PDMS gel. The PDMS-tipped
tungsten probe was mounted on a six-axis micromanipulator for pick-and-place.
This pick-up process involved slowly lowering the PDMS sphere onto a silicon
membrane, deforming the sphere in the process to produce a large surface contact
area. Then, the sphere was rapidly lifted away from the sample, causing the bridges
to snap off. A silicon mask attached to the micro-PDMS adhesive on a tungsten tip
is shown with different viewing angles in Figures 3-1c and 3-1d. Rolling the PDMS
adhesive over the target substrate's surface and slowly lifting the PDMS adhesive back
up released the attached silicon membrane mask onto the substrate. The micro-PDMS
adhesive is analogous to previously demonstrated stamping techniques [90, 91, 921,
but because of the low profile and cross-section, it enables operation with sub-1- Lm
positional and sub-1.50 rotational placement accuracy [871, and has the potential
to be integrated into an electron microscope to achieve nanometer-scale placement
accuracy [85, 861. In this demonstration, the area of each mask was no larger than
200 im x 200 pm because we found that larger membrane masks bow and stick to the
bottom silicon substrates during drying after the wet HF undercut step. However,
larger membrane masks should be compatible with this transfer method provided
that the mechanical strain is relieved by a critical point dryer [931 or that we use SOI
samples with thicker BOX layers. The micro-PDMS method enables the transfer of
silicon masks onto the target substrate with a yield close to 100%.
In the second method, silicon membranes were transferred during the HF undercut
step. In this process, we omitted the connecting bridges in the SOI chip. The chip was
placed face-down on the target substrate directly, or onto an intermediate transfer
49
Figure 3-2: Millimeter-scale masks were transferred onto a piece of quartz using a
polytetrafluoroethylene (PTFE) sheet.
substrate. Here, we focus on the latter technique, in which the intermediate substrate
consisted of a transparent PTFE sheet (Teflon Petri Dish Linear from Fluoro Lab).
After we etched the face-down SOI BOX layer in 49% HF for 10 minutes, the patterned
silicon membranes were released, and they floated down onto the PTFE sheet. The
sheet itself is not etchable in HF acid. We flushed away the residual HF with deionized
water.' After we flipped the transparent PTFE, silicon masks were aligned over the
target chip in an optical microscope and pressed down. Because of the weak surface
bonding of the PTFE sheet with the silicon membrane, the membrane was easily
transferred onto the target substrate when pressed down.
Using this process, we
succeeded in transferring large, millimeter-scale membranes (Figure 3-2).
3.3
Silicon masks for etching
As discussed above, the transferred silicon membranes function as excellent hard
masks for reactive-ion etching. Here, we demonstrate the applicability of our method
to pattern sub-micron-thick diamond membranes with lateral dimensions on the scale
50
.
0_1t
I,
OFF,0
III
11
IV
Figure 3-3: Illustration of patterning a diamond membrane with a silicon membrane
as an etch mask. (I) A patterned silicon mask was transferred onto a diamond membrane (less than 300 nm in thickness, adhering to a bulk silicon substrate) using a
micro-PDMS adhesive. (II) The silicon membrane on top of the diamond membrane
served as an etch mask for oxygen plasma etching. (III) The diamond membrane was
patterned with nanostructures during oxygen etching after subsequent mask removal.
(IV) An SF 6 isotropic dry etching removed the silicon underneath and suspended the
diamond membrane at the devices' locations.
Si maskI
04,700
15
624
622.5 623 623.
22Wavelength (nm)
m
Figure 3-4: (a) Optical image of a silicon mask covering a diamond membrane that is
circled by the blue dotted line. (b) SEM of a suspended diamond L7 PC cavity. Inset:
Measured cavity resonance (blue dots) at 623.3 nm with a Lorentzian fit, yielding a
Q factor of 4,700
(red line).
51
of hundreds of microns, adhering to a silicon substrate. Patterned diamond membrane systems have numerous emerging applications in mechanics [941, nonlinear optics
195], and QIP [411. Compared to producing a commercial bulk diamond with
flat and even surfaces, it is difficult to produce uniformly flat diamond membranes
with lateral dimensions on the scale of hundreds of microns [961. Patterning such
100- tm-scale membranes is challenging for conventional nanofabrication techniques
due to the difficulty of spin coating uniform resist films. In addition, we found that
spin coating would sometimes float off these diamond membranes because of their
inefficient surface bonding with silicon substrates. These challenges can be overcome
by our process with the following procedure (Figure 3-3): first, a diamond membrane
(300 nm in thickness and 10-500 Rm in length) adhered to a bulk silicon substrate
because of Van der Waals forces. We then placed a silicon membrane hard mask with
photonic crystal (PC) patterns [97, 981 onto the diamond membrane and used oxygen
plasma etching [251 to transfer the PC structures into the diamond membrane. Next,
a tungsten tip mechanically removed the silicon hard mask, and finally an isotropic
SF6 plasma etching step removed the silicon underneath the diamond membrane to
suspend it at the devices' locations for optical spectroscopic measurements.
This
method is compatible with sample sizes down to hundreds of square microns; the
smallest diamond membrane patterned using this technique was 15 pLm x 25 pm in
area (Figure 3-4a). Spectroscopic measurements of the diamond PC cavities indicated optical quality factors (Q) as high as 4,700 for L7 PC cavities (PC cavities
with seven missing holes, as shown in Figure 3-4b). We attribute this high
Q
to the
well-developed processing methodology of silicon and to the high fidelity of pattern
transfer with low edge erosion of silicon masks. Many different patterns are possible
as long as they can be produced with a contiguous mask. For instance, High-quality
one-dimensional diamond PC cavities fabricated via this technique were also used to
couple with NV quantum memories
[991.
The process detailed above has many other advantages over conventional lithography methods. Transferred silicon masks can be re-used multiple times for dry etching.
For oxygen plasma etching of diamond membranes, the silicon etch rate is negligible,
52
Figure 3-5: Quality of diamond dry etching using silicon masks. (a) Pattern transfer
from silicon masks onto diamond membranes with vertical sidewalls. (b) Oxygen
reactive ion etching of bulk diamond with silicon masks. The etch depth was 8.5 tm.
The image was taken when the sample was tilted at 800. We found no visible change
in the mask thickness.
while the typical etch rate of diamond is 1.8 pm/hr in our case. We demonstrated
8.5- tm etching of a diamond using a 220-nm-thick silicon hard mask, achieving an
etching selectivity of over 38 (Figure 3-5). Deeper etching should be possible with
silicon masks if we use SOI samples with a thicker device layer. Unlike soft materials [80, 811, silicon masks have low distortion, even after the transfer, and are free of
folding and wrinkling. Additionally, the surfaces of silicon masks can be protected
by depositing etch-resistant materials on them. For example, Cr deposited by electron beam or thermal evaporation makes silicon masks more etch-resistant against
fluorinated gases. Alumina deposited by atomic layer deposition (ALD) also protects
silicon masks from chlorine etching.
3.4
Dry lift-off
Figure 3-6 demonstrates an alternative use of the membrane masks for nanometerresolution lift-off patterning. The lift-off process is the most direct solution to transfer
patterns into materials that are not etchable, such as many magnetic metals, hightemperature superconductors, and precious metals. Generally, the lift-off is accom-
53
Figure 3-6: Illustration of dry lift-off: (I) A patterned silicon mask was transferred
onto the substrate. (II) A metal layer was deposited via an electron beam or thermal
evaporation. (1II) A tungsten tip was swept across a silicon mask to mechanically
remove the mask.
Figure 3-7: (a) SEM image of a nanoscale pattern. (b) Expanded view of the white
rectangular region in (b). The minimum linewidth that we achieved was 10 nm.
54
Figure 3-8: Patterning on a fiber facet. (a) A silicon membrane with patterned gold
dot arrays was transferred onto a fiber facet using a micro-PDMS adhesive. Inset:
Expanded view of the silicon membrane on the fiber core. (b) After silicon mask
transfer, gold dot arrays were tone-reversely patterned on a fiber facet by deposition
of a layer of 70-nm gold and removal of silicon masks using a tungsten tip. Inset:
Expanded view of the white rectangular region to show gold dots on the fiber facet.
plished by using a resist that can be dissolved by a solvent, sometimes with the aid
of ultrasonication. Poor metal adhesion can become detrimental when resist scum is
left on the surface [100]. The lift-off is straightforward with the hard-mask transfer
process and requires no liquid or sonication steps. It can be applied on almost any
arbitrarily chosen substrate, and unlike the conventional lift-off processes, naturally
no residual scum is left behind. Figure 3-7a shows metal lines with 10-nm width on
a silicon substrate produced by electron beam evaporation of 15-nm-thick titanium
through a patterned silicon membrane mask, which was subsequently removed with
a tungsten tip. A close-up SEM image (Figure 3-7b) shows excellent line edge roughness below 2 nm. Silicon masks can be re-used multiple times for dry lift-off as well.
We can also use the ALD of alumina to conformably shrink the mask size to achieve
controllable metal lift-off with 0.1-nm accuracy [101].
3.5
Discussion
Nanolithography using transferred membrane masks can be applied to substrates of
irregular shape. As a proof of concept, we demonstrated patterning of gold nanodot
55
arrays on a fiber facet. Functionalization on optical fibers has recently attracted much
attention because fiber-based devices can be small, lightweight, and portable for insitu sensing, imaging, and optical trapping applications [102, 103, 1041. However,
the size and the shape of an optical fiber preclude the use of conventional lithographic processes 1811. Producing a uniformly thick layer of resist by spin coating is
a particular challenge, and mounting optical fibers in electron-beam writers or optical lithography tools is difficult. To overcome these challenges, we transferred a
silicon membrane mask onto a fiber facet using the micro-PDMS adhesive described
above (Figure 3-8a). After the transfer, we deposited 70-nm gold and subsequently
removed the silicon masks with another tungsten tip, creating arrays of gold dots
(the dot's diameter was 130 nm) on the fiber facet (Figure 3-8b), which could enable
surface-plasmon-enhanced Raman scattering [105] on a fiber tip. Our process can also
be applied to create patterns by etching or dry lift-off on AFM cantilevers, curved
lenses, and many other irregular substrates.
Nanolithography using transferred membrane masks avoids direct electron or ion
beam exposure on target substrates. This approach provides an alternative methodology suitable for samples that are non-conductive, electron sensitive, or easily damaged
by electron or ion irradiation. In our laboratory, we also use these masks for nanopatterned nitrogen ion implantation on a diamond to form proximal qubit clusters
1881.
In summary, by exploiting mature silicon nanofabrication processes, our method of
transferring silicon hard masks can create nanopatterns on a wide range of substrates
without spin coating, wet chemical processing, scanning electron/ion beam, or UV
exposure. We demonstrated successful fabrication of suspended high-Q diamond PC
devices, as well as patterning of 10-nm metal lines on a silicon substrate.
Silicon
membrane masks furthermore enabled us to integrate arrays of gold nanodots on a
facet of an optical fiber. The introduced silicon contact masks, ranging in scale from
tens of micrometers to a few millimeters, can be re-used multiple times. Transferred
hard mask lithography expands the applicability of standard patterning techniques
to new substrates and offers exceptionally high spatial patterning resolution with
excellent etching selectivity.
56
Chapter 4
One-dimensional photonic crystal
cavities in single-crystal diamond
4.1
Introduction
Coupling the ZPL to an optical cavity mode with a small mode volume V and high
quality factor
Q
strongly enhances the spontaneous emission into the ZPL while sup-
pressing the emission into phonon sidebands, which results in a much higher flux of
indistinguishable ZPL photons.
Therefore, recently achieved entanglement genera-
tion rates of one event per a few minutes between two NV qubits separated by three
meters could be enhanced by several orders of magnitude [19]. Such cavity-coupled
NV systems would potentially allow for more efficient quantum repeaters [9], quantum microprocessors [106], and quantum networks [1071. Single-shot non-demolition
readout of the NV's electronic spin may also be achieved using such cavity-enhanced
spin measurements [108].
NV-cavity systems can be realized in both hybrid [109, 110, 23, 111] and all-indiamond [41, 112, 113, 961 approaches. The use of a hybrid system is limited by NVcavity mode overlap, as well as poor optical and spin properties of nanodiamonds [23].
These drawbacks can be overcome in an all-in-diamond platform, in which the NV
would be ideally located at the maximum intensity of the cavity mode in the same
diamond. Additionally high-purity single-crystal diamond material allows for high
57
F IE
FIB
Figure 4-1: Illustration of RIE transferring the patterns from HSQ into bulk diamond
and FIB cutting the bottom to suspend the nanobeams.
optical and exceptional spin properties. Recent experiments have demonstrated both
one-dimensional and two-dimensional PC cavities in single-crystal diamond [41, 113,
96, 114, 115, 99].
Here, we describe and demonstrate two techniques for fabricating one-dimensional
PC cavities in single-crystal diamond: (1) a combination of RIE and FIB milling and
(2) transferred silicon hard mask lithography with RIE.
4.2
RIE-FIB approach
The RIE-FIB approach described here employs top-down nanofabrication techniques
to define large arrays of reactive-ion-etched nanobeams in a single-crystal diamond
substrate (nitrogen defect density of 10-1000 parts per billion (ppb)) and then use
FIB milling to remove the bottom and suspend the nanobeams (Figure 4-1). Specifically, we used a JBX6300FS electron-beam lithography tool to define the nanobeam
patterns in HSQ. RIE transferred the patterns into bulk diamond with HSQ as a
58
a
b
Figure 4-2: Cavity fabrication in bulk diamond using RIE-FIB. (a) The cross section
of RIE-etched nanobeams shows straight sidewalls for the first 400 nm of etching
into the diamond. The top surface is coated with Cr to prevent charging during FIB
cutting. (b) SEM of a representative nanobeam cavity (I) after RIE, (II) after FIB
milling of the bottom diamond, and (III) after annealing at 1,000 'C for 2 hours in
vacuum. (c) SEM of the same nanobeam cavity as in (c) after annealing at 1,000 'C
for 2 hours in vacuum. The sample was tilted by 300 for imaging.
dry etch mask. To determine the quality of vertical etching into the bulk diamond,
we coated the HSQ-protected diamond surface with 40-nm-thick Cr and produced
the cross section of one nanobeam with FIB gallium beam milling using a FEI Helios NanoLab 600 dual beam system. The cross section of RIE-etched nanobeams
shows straight sidewalls for the first 400 nm of etching into the diamond (less than 10
between the sidewalls and the substrate), which is more than enough to cut 200-nmthick nanobeams (Figure 4-2a). The top surface of the diamond nanobeams without
cross-section cutting is shown in Figure 4-2b(I). To suspend the nanobeams in air, we
tilted the sample almost parallel to the gallium beam direction and performed another
FIB milling at 30 keV and precision polishing at 2 keV with a gallium ion current of
100 pA. After HSQ removal in hydrogen fluoride acid, we noticed that nanobeam gaps
were filled with residue (shown in Figure 4-2b(II)), which was confirmed to contain
gallium by energy-dispersive X-ray spectroscopy.
Several groups have studied the
diffusion of gallium ions implanted by FIB in diamond towards the sample surface
59
a
N+ i
5
dp200 nm
d
c
b
lant
W tip with PDMS
Si mask mond
Diamond
Diamond
e
Diamond
Diamond
Figure 4-3: Illustration of patterning on a diamond membrane using a silicon membrane as an etch mask: (a) NVs were created - 100 nm below the 5-p m diamond
membrane surface by implantation of 15N atoms. The diamond was subsequently
annealed at 850 'C. (b) The 5-p m diamond membrane was flipped over on a silicon
substrate and thinned by RIE to ~ 200-nm thickness. (c) A patterned silicon mask
was transferred onto a diamond membrane (less than 300 nm in thickness, adhering to
a bulk silicon substrate) using a micro-PDMS adhesive. (d) The silicon membrane on
top of the diamond membrane served as an etch mask for oxygen plasma etching. (e)
The diamond membrane was patterned with nanostructures during oxygen etching
after subsequent mask removal. (f) An SF6 isotropic dry etching removed the silicon
underneath and suspended the diamond membrane at device locations.
and the removal of gallium by annealing in vacuum at temperatures higher than 700
C [116, 117, 411. To remove implanted gallium atoms, we annealed the sample at
1,000 'C for 2 hours in vacuum. Figures 4-2b(III) and 4-2c show the sample after
this annealing step. Finally, we attempted to remove FIB-caused graphite layers [25]
by oxidation in air (420 'C, 6 hours).
4.3
Silicon mask approach
As a second approach, the cavities were also patterned in high-purity single-crystal
diamond membranes using a different fabrication process that employs silicon membranes as etch masks [99, 118]. The diamond membrane was fabricated by microwaveplasma-assisted CVD, polished to 5-pm thickness. NVs were created by implantation
of 1 5 N and subsequent annealing (Figure 4-3a). We flipped the diamond membrane
and thinned it to ~200 nm from the backside using chlorine and oxygen RIE (Figures 4-3b and 4-4). Chlorine plasma etching was performed in an Oxford ICP etcher
60
Figure 4-4: SEM of 200-nm diamond memrbanes.
at a flow rate of 40 sccm, RIE power of 100 W, and ICP power of 400 W with an
addition of 25 sccm argon. Oxygen plasma etching was performed in Trion RIE at
20 sccm gas flow, 50 mTorr pressure, and 100 W power.
Compared to producing
commercial bulk diamonds with flat and even surfaces, producing uniformly flat diamond membranes with lateral dimensions on the scale of hundreds of microns is more
challenging, and the thinned membranes generally exhibited inhomogeneous thicknesses (100 to 300 nm) over hundreds of micrometers. To mitigate this problem, we
first divided the original membranes into tens of smaller pieces (each approximately
100 x 100
Lm2
or less in size) and individually thinned the pieces to the targeted
thickness. The silicon masks were produced by EBL and cryogenic plasma etching
(sulfur hexafluoride (SF 6 ) and oxygen) from SOI wafers with ~220-nm-thick device
layers [119]. These membranes then function as high-quality masks large enough to
cover these sub-divided diamond membranes.
Specifically, the silicon masks were
transferred onto the diamond membranes using a PDMS adhesive (Figures 4-3c and
4-3d). This silicon mask transfer process enables nano-patterning without the need
for resist coating on substrates and is compatible with sample sizes as small as several
hundreds of square micrometers. We used oxygen plasma [251 to etch the silicon mask
pattern into the pre-thinned ~200-nm diamond membranes (Figure 4-3e). An SF6
dry etching removed the bottom silicon and suspended the diamond membrane at
61
Figure 4-5: SEMs of one-dimensional PC cavities produced by silicon mask method.
(a) Side view and (b) top view of an array of one-dimensional PC cavities with
rectangular holes. (c) A close-up image of a single one-dimensional PC cavity with
rectangular holes. (d) Top view and (e) side view of an array of one-dimensional PC
cavities with circular holes.
device locations (Figure 4-3f). By comparing the SEMs before and after SF6 etching,
we found that SF6 eroded the diamond surface less than 4 nm during 6 minutes of
etch time. The silicon mask can be fabricated with excellent quality, due to the availability of mature fabrication technology for this material. Therefore this process can
produce diamond PCs with low surface roughness and uniform, vertical sidewalls.
Then we optically characterized these samples at ambient and cryogenic (~18 K)
temperatures using homebuilt confocal microscope setups with 532-nm CW laser
excitation.
62
Results and Discussion
4.4
The RIE-FIB method uses RIE for etching diamond nanobeam sidewalls and "sparingly" applys FIB only to undercut the nanobeam, which reduces the FIB damage
compared to all-FIB approaches [38, 40, 1201. We used the cavity design with rectangular air gaps [991. However, we found that the mask patterns produced by EBL
directly on diamond did not produce a high yield of standing structures (15 intact
structures in one fabrication run out of 90 written structures), and optical characterization showed no cavity resonances in any of the devices. We attribute the poor
results to a combination of reasons.
First, the amorphous layer was not removed
completely; this imperfect removal scatters and absorbs light. Second, FIB cutting
was not perfectly parallel to the sample surface.
Thus the bottom surface of the
resultant nanobeams was tilted slightly. Third, the photonic crystals had a small or
vanishing band gap overlapping with the cavity frequency due to imperfections on the
patterning. Because of this low yield, it was not possible to obtain reliable spectra
to evaluate this approach. In addition, CVD-grown diamond with a moderate defect
density of 10-1000 ppb results in more surface roughness than would be possible with
high-purity diamond (defect density < 5 ppb) [121].
By contrast, we found that cavities produced by transferred silicon mask lithography reliably show optical resonances. We adopted two types of nanobeam cavity
designs, one with rectangular air gaps (Figures 4-5a, 4-5b and 4-5c) and the other
with circular air gaps f381 (Figures 4-5d and 4-5e). The optical and spin properties
of nanobeams with rectangular holes will be discussed in more detail in next chapter.
Here we focus only on the optical properties of nanobeams with circular holes. As
shown in Figure 4-6a, the highest
Q
we measured is ~ 1,710 at 568 nm. Figure 4-6b
shows the spectrum taken at low temperature with a Raman line at 573 nm, NV 0
ZPL at 575 nm, NV- ZPL at 637 nm, and three cavity resonance peaks at 614 nm
(Q
-
860), 688 nm (Q ~ 470), and 741 nm (Q ~ 570). It is possible to tune the
614-nm cavity peak towards the red spectrum via gas deposition [99] and thus enhance NV- ZPL transition rates. We found that the nanobeams with circular holes
63
Measurement b
a
700
-Fit
0. 5
0
-
Cd 680-c
0-1,710
60
-100
Raman
-o
C
Resonance 2
C:
660~4
0
t(ns)
100
NVO ZPL
N-ZP
Resonance1
Resonance 3
640
567
568
567.5
Wavelength (nm)
600
700
650
Wavelength (nm)
750
Figure 4-6: Optical characterization of one-dimensional PC cavities with circular
holes. (a) Measured cavity resonance (black dots) with a quality factor Q ~ 1, 710
from a Lorentzian fit (blue line). (b) The spectrum taken at low temperature from
a different sample with a Raman line at 573 nm, NVO ZPL at 575 nm, NV- ZPL at
637 nm, and three cavity resonance peaks at 614 nm, 688 nm, and 741 nm. Inset:
normalized second-order auto-correlation measurement with g( 2)(0) - 0.378 for the
weakly cavity-coupled NV.
fabricated here show lower
Q
two effects that degrade the
factors than in other works 1114, 1151. We determine
Q
factor for circular holes in our experiments. First,
the design is not optimized to tolerate the thickness variation that we find in our
membranes, a problem that was addressed in Ref. [96]. Second, the nanobeams with
circular holes were arranged too close to each other; in the presented experiments
the spacing was ~ 400 nm, causing optical cross-talk via coupling of the evanescent
cavity modes, which degraded the
Q
factors [1221.
We thus find that between the two approaches described here, transferred silicon mask lithography is advantageous for diamond device fabrication. Using silicon
masks, we are able to produce not only high-Q one-dimensional PC cavities (highest
Qs approaching 10,000) [99], but also high-Q two-dimensional PC cavities (highest
Qs 4,700) [621, while the highest
Q reported
on FIB-carved diamond cavities is about
700 [41]. Triangular etching using Faraday cages produces high-Q one-dimensional PC
cavities as well [112, 115] but is probably not compatible with high-Q two-dimensional
PC cavities, which require vertically symmetrically patterned high-index layers.
We also found the silicon mask to have higher selectivity in oxygen RIE etching of
64
diamond than an HSQ mask. Specifically, in our experiments we found that 500-nmthick HSQ etches 2-km diamond without degrading the patterns while 220-nm silicon
mask etches 8-km diamond without degrading. Therefore the etching selectivity of
diamond to HSQ exceeds 4:1 while that of diamond to silicon exceeds 36:1. As another
comparison, we found the selectivity of diamond to SiN to be ~ 8:1 using the same
etching recipe. The high aspect ratio that is possible with back-filled silicon masks
also serves as a high-selectivity mask for nitrogen ion implantation [88].
4.5
Conclusion
This chapter described two techniques for fabricating one-dimensional PC cavities
in single-crystal diamond: (1) a combination of RIE and FIB and (2) transferred
silicon mask lithography using RIE. The second strategy produces high-quality optical
cavities and can be used to fabricate many other structures into diamond, including
circular bullseye gratings [118] and diamond nanowires [123, 1241. Combined with
masked implantation [125], the transferred-mask lithography described here serves as
a useful tool to pattern microscopic diamond membranes into devices for QIP.
65
66
Chapter 5
Coherent spin control of
nanocavity-enhanced NV qubits in
diamond
In this thesis chapter, we will present the fabrication of high-quality PC nanocavities in single-crystal diamond membranes [126, 127]. We employed a one-dimensional
ladder PC cavity design for maximal emitter enhancement and increased collection
efficiency of cavity-coupled ZPL photons.
The ladder PC device consists of a sus-
pended diamond waveguide patterned with a one-dimensional lattice of rectangular
air gaps that defines a periodic dielectric profile.
Low-temperature measurements
indicate a Purcell enhancement of the ZPL of cavity-coupled NVs in excess of 60.
This enhancement results in more than 54% emission into the ZPL, operating in the
strong Purcell regime, compared to just 1.9% for implanted NVs in our samples. The
spin coherence times of NVs coupled to such cavities are similar to those observed in
high-purity bulk diamond. We measured the coherence times using a confocal microscope setup and a microwave strip line integrated directly underneath the diamond
cavities. Our measurements indicate a phase coherence time in excess of 200 ps, as
evaluated using a Hahn-echo protocol [261.
This finding verifies that compared to
other quantum emitters coupled to nanocavities, NVs provide a much longer (more
than 2 orders of magnitude) spin coherence time.
67
5.1
Introduction
A central aim of QIP is the efficient entanglement of multiple stationary quantum
memories via photons [128, 129, 130]. Among solid-state systems, the NV center in
diamond has emerged as an excellent optically addressable memory with second-scale
electron spin coherence times [10, 15]. Quantum entanglement and teleportation have
been shown between two NV-memories [131, 19, 20], but scaling to larger networks
requires more efficient spin-photon interfaces such as optical resonators. The coupling
between photons and quantum states of an emitter is efficient if the emitter interacts
primarily with one optical mode. This regime is reached when the overall Purcell
enhancement exceeds one (F > 1) [1321. When the NV ZPL is coupled to a cavity
with quality factor
Q
and mode volume
the spectrally-resolved SE rate is
Vmode,
enhanced by the Purcell factor [133]
1
FZPL =
where F7Ja =
ment and (
472 n
Q
Vmode
Fz'PL'1
1 + 4Q 2 (AZPL/Acav
(5.1)
)
-
12
is the maximum spectrally-resolved SE rate enhance-
quantifies the angular and spatial overlap between the
=
dipole moment (p) and the cavity mode electric field (E) [134]. The highest Fzpf
can be realized in PC nanocavities due to their small
Vmode
~ (A/n) 3 . The ID and 2D
PC cavities in diamond for coupling with NV centers have reached
and 3,000 and
FzPL
Q factors
of 6,000
up to 7 and 70, respectively [113, 611. But thus far, the longest
spin coherence time of cavity-enhanced NV centers has been less than 1 Ps, limiting
their suitability as a quantum memory [231. Here, we considered a new fabrication
process to produce NV-nanocavity systems (Figure 5-1) with long spin coherence
times of cavity-coupled NVs and greatly improved cavity Q factors.
68
a,
E1
=
()Q
765.5
766
9,900
766.5
2
200
767
Wavelength (nm)
Figure 5-1: On-chip NV-nanocavity system in diamond. a, The diamond PC cavities
are integrated on a silicon substrate with metallic striplines for coherent spin control
and optically addressed using a cornfocal setup with 532-nm CW excitation and photoluminescence collected > 630 n. The inset shows the NV-nanocavity system with
g the NV-nanocavity Rabi frequency, ~y the NV natural spontaneous emission (SE)
decay rate, and rs the cavity intensity decay rate. The NV consists of a substitutional
nitrogen atom adjacent to a vacancy in the diamond lattice. Is denotes the current
through the stripline, and h the PC thickness. b, Simulated electric field energy density for the optimized fundamental cavity mode. The PC has a width W and a lattice
constant varying from 0.9a at the center to a= 220 nm over five periods. c, SEM of
a representative cavity structure. The scale bar represents 1 pm. d, Measured cavity
resonance (dots) with a quality factor Q ~ 9, 900 200 from a Lorentzian fit (blue
line).
69
a
a1 a2 a3 a4 a5 ao
hx
W
b
N=0
1
a=ao
0.98
a5
6 600k
S4 400k
200k
0.96
0~
0.94
a3
0.92
2
a2
-10
-5
0
N
5
10
c
1
ai
0.6
1.5_0
h Y/a
2
0.4
0.45
h /a
Figure 5-2: FDTD simulation. a, Structural parameters. a denotes the lattice constant, w the beam width, h the thickness, h. the hole width, and hy the hole length.
b, Illustration of the linear cavity lattice constant profile, which defines the potential
well. c, Cavity Q/Vmode as the hole widths and lengths are varied. The sweep parameter hy was limited to below 2a to avoid multimode operation along the y-direction.
5.2
Simulations
The cavities were designed using finite-difference time-domain (FDTD) simulations [135]
to maximize FZj'P by optimizing the ratio of Q/Vmode.
As shown in Figure 5-2, the
nanocavity is based on a suspended one-dimensional diamond PC structure with lattice constant a, beam width w = 2.4a, and thickness h = 0.7a. A linear increase of
the lattice constant from 0.9a to a in increments of 0.02a per period away from the
center defines the cavity defect state. The fundamental cavity mode of the optimized
5.3
Q
=
6.02 x 10 5 and Vmode = 1.05(A/n) 3
.
structure yielded
Nanofabrication using silicon masks
The cavities were patterned in high-purity single-crystal diamond using a new fabrication process that employs silicon membranes as etch masks (Figure 5-3). In the first
fabrication step, high-purity (1 4 N < 10 ppb) single-crystal diamond plates were grown
by microwave-plasma assisted CVD and were laser cut to a thickness of ~200 pLm,
where the area of the starting diamond sample falls in the range of 2 mm x 2 mm.
70
a
N
ions
b
Si mask.---
PDMS
adhesiv~e
C Oxygen lll
d
e
.20
15
0 10
-05
Z 0
0
2,000
4,000 6,000 8,000 10,000
Quality Factor
Figure 5-3: Fabrication procedure (left column) and SEM of representative structures (right column). a, NVs were created ~100 nm below the surface of the diamond
0
membranes by implantation of 15N atoms and subsequent annealing at 850 C. Right:
SEM of 200 nm membrane. b, Silicon masks were patterned on SOI, released, and
transferred onto diamond membranes. Right: Patterned silicon mask before transfer.
The scale bar represents 1 ptm. c, Oxygen RIE was used to pattern diamond membranes. Right: The false-color SEM shows the silicon mask (purple) on diamond after
oxygen etching. The scale bar represents 1 ptm. d, Patterned diamond membrane on
microwave striplines for optical and spin characterization. Right: SEM of diamond
PC structures above metallic striplines in silicon channels. The scale bar represents
5 ptm. e, Distribution of cavity Q factors from one fabrication run. 78 (blue bars) of
83 cavities showed resonances in the range of 600-800 nm, while five (red bar) showed
no resonances in this wavelength range. The mean
71
Q
is 6,200.
The plates were polished down to -5
jxm membranes using a cast iron scaif. For
the creation of NVs, a layer of nitrogen atoms was implanted at 80 keV energy and
located
-100 nm from the surface. System A was implanted at a dosage of 5x1010
N cm- 2 and system B at 5x10 1' 1 5N cm-. The membrane was annealed in a MTI
15
OTF-1500X-4 vacuum furnace (1.5x106lattice defects, which combine with
15
mbar) for two hours at 850 'C to mobilize
N atoms to form NV centers. Next, the mem-
brane was turned over and thinned down to -200 nm using plasma etching (Oxford
ICP-RIE) with a mixture of chlorine and argon gases at an etch rate of -2
Lm per
hour. This recipe yielded a smooth surface (RMS < 1 nm) after 4.8 ptm etching. The
thinned membranes generally exhibited inhomogeneous thicknesses (100 nm to 300
nm) over hundreds of micrometers. The membrane was divided into tens of smaller
pieces (each
-
100 ptm x 100 pLm in size), which were transferred onto separate silicon
substrates using a PDMS-tipped tungsten probe.
The silicon masks were produced by EBL and cryogenic plasma etching (sulfur
hexafluoride and oxygen) from SOI wafers with -220-nm-thick device layers [119].
This process resulted in high-quality masks approximately 100 x 100 tm2 in area
(Figure 5-3a).
The silicon PC masks were designed and fabricated to match the
thickness of each membrane so that cavity resonances would fall near the NV's ZPL
and then transferred onto the membranes using a PDMS-tipped probe (Figure 5-3b).
Oxygen plasma dry etching (Trion RIE at 20 sccm gas flow, 50 mTorr pressure and
100 W power) was used to transfer the pattern into the membranes. After the etch,
little erosion was found on the silicon PC masks.
A tungsten probe was used to
remove silicon masks from diamond membranes. Finally, an SF6 isotropic dry etch
removed the silicon underneath to suspend the cavity structures. Microwave (MW)
striplines were produced separately on intrinsic silicon using a standard semiconductor
fabrication process, followed by a lift-off step for metal deposition into the silicon
trenches.
Finally, the diamond devices were integrated into the MW architecture
using a PDMS-tipped probe (Figure 5-4).
This silicon mask transfer process enables nano-patterning without the need for
spin-coating resist onto substrates and is compatible with samples sizes down to sev-
72
I
Figure 5-4: (a) SEM of the diamond devices integrated with the microwave architecture. (b) Close-up SEM of the diamond photonic crystals on top of microwave
striplines.
eral tens of square micrometers.
We used oxygen plasma
[251
to etch the silicon
mask pattern into the pre-thinned ~200-nm diamond membranes (Figure 5-3c). After mask removal, the patterned diamond membranes were transferred onto a silicon
chip with integrated microwave striplines (Figure 5-3d).
Because the silicon mask
can be fabricated with excellent quality, thanks to the availability of mature fabrication technology for this material, this process yields diamond PCs with low surface
roughness and uniform, vertical sidewalls. We observed a high yield (94 %) of cavities with resonances close to NV ZPL in a single fabrication run, with a mean
of 6,200 and a maximum
Q
of 9,900
Q
200 (Figures 5-1d and 5-3e). Cavity reso-
nances spectrally lower than 637 nm are suitable for NV ZPL coupling while longer
wavelength resonances can be blue-detuned by thermal oxidation and oxygen plasma
etching [41, 611.
5.4
Optical measurements and cavity tuning
We optically characterized samples at ambient and cryogenic (-18 K) temperatures
using homebuilt confocal microscope setups with 532-nm CW laser excitation. Photoluminescence imaging (Figure 5-5a) was used to identify NVs spatially within cavity
centers, and spectral measurements determined the separation between the NV ZPL
transitions and cavity resonances. Cavity system A (circled in Figure 5-5a) contains
73
x10a
Resonance Ey(off)
4a
10C
5
cav
0
A Ex
Ey (on)
resonance
0.8
+
--0.8
3
1
(nm)
b
a)
2
-
1 -- -
300
c
C
4A
.
E(Off)
21,700
6
Ex(on)+
-resonance
\1 5
QO0
0
T (ns)
9(2)i
0 0.28
100
16
50
Gas deposition
100
(Spectrum #)
10635
836
Wavelength
(nm)
1
0
636
637
Wavelength (nm)
Figure 5-5: Optical characterization of NV-nanocavity system A. a, Photoluminescence confocal image of diamond PC structures. The scale bar is 5 tm. Single NVs
are identified by circular white spots. System A: The dotted red circle shows a single
NV close to the cavity center (indicated by the blue dotted line). Inset: Normalized
second-order auto-correlation measurement with g(2) (0) = 0.28. b, Gas tuning of
system A. The logarithmic plot shows the cavity resonance and two strain-split ZPL
branches from a single NV (EY and E2, 2A = 286 GHz). As the gas condensation
red-shifts the cavity resonance, it sequentially enhances the two ZPL branches. The
inset shows the intensity of the E, ZPL transition as a function of cavity detuning.
This curve follows the expected Lorentzian dependence of the Purcell enhancement
given by Eqn. 5.1 and shows that the cavity Q factor remains constant throughout
the tuning process. c, Spectra of system A in the uncoupled (I) and coupled cases
with Acav= AE, (II) and Acav =,AEX (III). Note the difference in scaling between E,
and EY cases. The black lines are Lorentzian fits to the data, yielding Q = 1,700
300 for the cavity.
74
a single NV, as verified by antibunching in the second-order auto-correlation function. Figure 5-5c plots the initial PL spectrum of system A, showing a cavity peak
(Q=1,700
Ey.
300) blue-detuned from the ZPL, as well as two ZPL branches, E, and
These are split by 286 GHz due to local strain in the diamond lattice [1361.
As shown in Figure 5-5b, the cavity resonance was then gradually red-shifted by
gas deposition [1371 to overlap with the NV ZPL transitions, resulting in strong PL
enhancements.
Characterization of the optical properties of the sample at cryogenic temperatures
was performed via PL measurements in a continuous flow helium cryostat (CCS-XGM/204N, Janis) at -18
K. The sample was mounted inside the isolation vacuum
and accessed through a window-corrected objective (LD Plan-Neofluar 63x, Zeiss NA
= 0.75).
The NVs contained in the diamond cavity structures were excited with
a 532-nm CW laser (Coherent Compass 315M). Fluorescence from the sample was
collected in a confocal configuration and sent to fiber-coupled single photon detectors
(SPCM-AQR, Perkin Elmer) while spectra were taken via free-space coupling into
a spectrometer (Isoplane SCT320, Princeton Instruments).
To spectrally tune the
cavity mode into resonance with the ZPL, the cryostat was equipped with a nozzle
near the cold finger for controlled gas flow onto the sample[138]. This feature can be
used for condensation and ice formation of gas (e.g., xenon) onto the sample, hence
changing the effective refractive index of the diamond membrane.
This refractive
index change allows for spectrally red-tuning cavity resonances at a rate of -8 pm per
second. To take full advantage of this tuning technique, the cavities were designed to
have resonances spectrally blue-shifted from the ZPL. Xenon gas can then be used to
achieve precise in-situ tuning of the cavity to overlap its resonance with the ZPL. We
note that the cavity tuning was observed within seconds of the xenon being released,
indicating no further gas dynamics.
Reheating the sample to room temperature
reverses the tuning. Using this procedure, we were able to repeatedly tune over a
range of -31 nm without significant degradation of the cavity
Q.
A rate equation model is used to analyze the transition dynamics of the NV center
to determine FZPL. The model can be used to estimate
75
FZPL
by comparing spectral
measurements when the cavity is on resonance and off resonance with the NV ZPL.
In Figure 5-6, level 1 refers to the lowest lying triplet ground orbital states (3 A) of the
NV center with its corresponding manifold of phonon-side band states represented by
1k; level 2 refers to the lowest lying triplet excited orbital states (3 E) of the NV center;
level 3 represents the phonon side band of the excited state; the difference between
levels 3 and 1 corresponds to the excitation laser wavelength (in our case, 532 nm);
and level 4 refers to the non-radiative metastable states. The model is simplified by
approximating both singlet states as a single metastable level 160]. Furthermore, we
.
do not take into consideration the charge state transfer to the neutrally charged NV0
11=-W(p11
-
P33) +721~P22
P22 = 732P33 -
O33
+
Zi(YpPlklk
(5.2)
+ 1Y41P44
(724 + 721 + Ek7Y21k)p22
(5.3)
= W(p11 - P33) - 732P33
(5.4)
P44
(5.5)
= 724P22 - 741P44
Pklk= --
(5.6)
21kP22 - 7YpP1k1k
Here our energy level system is represented by the density operator p.
W is the
transition probability density for excitation from level 1 to level 3 and is determined
by the laser excitation intensity. -yjj is the transition rate between two energy levels,
j
and i. 7 is the phonon relaxation rate into level 1. We note that when W/hy
< 1.
our system is in the weak excitation limit; and when W/7y 2 > 1, it is in the strong
excitation limit.
Furthermore, the system has to satisfy the unit probability of the density matrix (i.e.,
Tr[p] = 1), which gives us an additional equation to completely solve the system:
P1 + P22 + P33 + P44 + EkPlklk = 1.
(5.7)
We consider the system in its steady state during the measurement, and therefore we
solve for the steady state populations (,) by substituting
76
j4 = 0 for all j and i, and
substitute
PPSB
for EkPlkk.
0
=
1-
0
= 'Y32P33 -
0
P33)
= 724P22
rate 1/r
= (-724
(5.10)
- 732P33
741P44
(5.11)
- 7pPPSB
(5.12)
-
0 = 7PSBf22
'7PSB
to simplify the equation and assume the total NV decay
(721
+ 721 + 7PSB)
~ 7PsB),
Debye-Waller (DW) factor relates 72,
721,
7PSB =
and
where
'7PSB
T
is the measured lifetime. The
by the following equations:
(1 - DW)72
(5.13)
(5.14)
721 = DW7 2
When the NV is on resonance with the cavity, we include a coefficient of 1 +
in front of
'721
(5.9)
(-Y24 + '721 + '7PSB)P22
= W(fill -
0
Here, we set Ek721k to
(5.8)
33) + 7211522 + 7pPPSB + 741P44
-W
FZPL
and solve for another steady-state population. The observed spectra
between on- and off- resonance are therefore related to the spectrally-resolved Purcell
enhancement in the following manner:
where
ratio
+ FZPL
)
fi22,,(1
Iratio =
P22,f f
(5.15)
is the intensity ratio between on- and off-resonance of the ZPL. Taking
the limit of 732/72 and 7p/72 to oc (due to the picosecond-timescales of 1/732 and
1/7, compared to the nanosecond timescales of 1/72) and solving for FZPL gives:
FZPL = (Iratio - 1)
1+ 1
1 + W/ ao224/+ 72 - Iratio D W t
(5.16)
In this simplified five-level model, we account for the SE rates of the PSB transi-
77
3
WY
W
YYi
Y21
k
1
Figure 5-6: NV energy level model.
tions and the different ZPL transition rates for the on- and off-resonance cases. The
zero-phonon excited state to ground state transition rates of the NV are assumed to
be enhanced by the factor
FZPL.
One important input parameter of our model is
the ratio of ZPL to total intensity, quantified by the Debye-Waller factor [181, which
we estimate from an off-resonance spectrum to be DW = 0.028.
By substituting
this factor into the rate equation model, we determine FZPL of 8 (15) for transition
Ex (Ev) in system A. To show that our simplified rate equation model gives a reliable
prediction of
FZPL,
we considered a second analysis method. By comparing the ZPL
intensity for coupled and uncoupled cases (Figure 5-5c), we can determine the ZPL
SE coupling efficiency into the cavity mode,
=
Ic
t
Y/(I
Y
+ IPsB). This method
is valid in the weak excitation limit, where the low population of the excited state
does not influence the ratio of I,ta/I,"fI. From
~ F/(F+1), where F is the Purcell
factor of the overall emission including ZPL and PSB, we can deduce the increase in
the spectrally-resolved SE rate
FzPL
= F/DW
10 (17) for the E. (Ey) transition,
yielding similar values compared to the rate equation analysis.
Since the Purcell enhancement depends strongly on the spatial and angular overlap,
FZPL
is generally much lower than the maximum possible value, F'pa, es-
2
pecially in samples with low NV density (~1 NV/jm in the case of system A).
Moreover, for the {100} diamond crystal used here, the maximum Purcell factor
is reduced to Fzji* = cos 2 (35.3)F2jp, since 35.3
78
is the smallest angle between
7
a
b
5
ZPL +
(on)
resonance
2000
6
3
~
(off)
0
/1000
Z
40
20
10
Time (ns)
C
0600
700
800
Resonance
=ZPL
Q=
0
t I
600
650
0
10
3300
20
Time (ns)
700
Wavelength (nm)
750
800
50
637 638 639
Wavelength (nm)
637 638 639
Figure 5-7: Optical characterization of NV-nanocavity system B. a, System B at
maximum Purcell enhancement. The inset shows a close-up of the spectrum. The ZPL
transitions of four individual NVs (including the cavity-coupled ZPL) are visible, each
with a different strain-induced spectral position. The accumulated phonon sidebands
of these NVs are also apparent. b, High resolution spectra of system B in cavitycoupled and uncoupled cases, respectively. The insets show the lifetime measurements
corresponding to T, = 6.7 ns and T-ff = 18.4 ns.
the transverse-electric (TE) cavity field and the NV dipole (i.e., crystal) orientation.
Using the rate equation model for system A, we calculate an overlap factor
S= FZPL/Fz"aE* = 0.1 (0.18) for transition Ex (Ey). The difference in
is attributed
to the different orientations of the two orthogonal NV dipoles with respect to the
TE-cavity mode [101.
To investigate NV-nanocavity systems with higher Purcell enhancements, we stud2
ied another sample with the same cavity designs and a higher density of NVs (-10/ Lm ).
Figure 5-7a shows the PL spectrum of NV-nanocavity system B with QB = 3, 300
50.
Out of four ZPL transitions, one was strongly enhanced by the cavity mode; we attribute the remaining ZPL transitions to spatially decoupled NV centers within the
~2 - pm-diameter microscope collection spot through the cryostat window.
observed both a change in spontaneous emission lifetime from
Tff
~
We
18.4 ns to
Ton ~.' 6.7 ns and a strong increase in emission from this NV ZPL when tuned onto
resonance with the cavity (Figure 5-7a,b). Due to the presence of multiple ZPL transitions and their accumulated PSBs, we cannot measure directly their individual DW
79
factors, which are required to precisely determine the SE rate enhancement
therefore used two independent measurements to determine DW and
FzPL.
FZPL:
We
(i) the
rate equation model (as done for system A) and (ii) the radiative lifetime modification according to FZPL =
(Tbulk/Ton -
Tbulk/Troff)/DW (Figure 5-7b). Solving this
system of equations gives DW = 0.019 and FZPL
=
62 for a measured
Tbulk
~ 12.5 ns.
We calculate 3 = 0.54 and Purcell factor F = 1.2 > 1, indicating that system B is
primarily emitting into one cavity mode. To estimate the Purcell factor, we analyze
the spectra for the off- and on-resonance cases [23, 1341. When an NV is not coupled
to a cavity mode, the probability of emission into the ZPL is given by the DW factor.
Values from ~0.01 to 0.19 have been reported in the literature for an NV at cryogenic
temperatures [113, 181. DW factors for system A were obtained through spectral measurements. Hence, the integrated intensity of the NV ZPL, while the cavity is detuned
(-I
L),
provides an estimate of the total emission of the emitter, which is approxi-
mately equal for the off- and on-resonance cases (Itotal = Ita
=
If
= IffL/DW)
in the weak-driving limit (W/7 2 < 1). When the NV ZPL and cavity resonance are
spectrally overlapping, the probability of emission of the ZPL into the cavity mode is
modified due to the increased local density of states in the cavity. The /3-factor gives
the probability that the NV emits into the cavity mode and can be approximated by
the following relation:
cavity
L
(5.17)
Itotal
where Iz'7'
is the integrated intensity of the ZPL emission into the cavity mode. It
is assumed that the collection efficiency is equal for both detuned and on-resonance
cases[61.
The relationship between the /-factor and the Purcell factor of the overall emission
(F) can be expressed [134] as:
x
3 =
F
w +itFc+r
(5.18)
where K is the cavity decay rate and - is the NV decay rate. We determine K from
80
fitting cavity resonances to Lorentzians. The DW has been reported in a range from
0.01 to 0.19 [18]; because of this wide variability, we emphasize that it is important
to obtain the DW factor from separate measurements, as this strongly influences the
estimated value of FZPL-
5.5
Spin properties of nanocavity-coupled NVs
The cavity-coupled NV centers exhibit excellent spin coherence times (T2 ) similar to
the parent CVD crystal [991. The phase coherence time T2 is measured using a Hahn
echo to cancel the dephasing by quasi-static magnetic fields [139]. From the singleexponential [26] decay envelope of the revivals, we estimate T2 ~ 230
s. Such T2
values are typical for the parent diamond crystal, indicating that our nanofabrication
process preserves long electron spin coherence times. This coherence time is more than
two orders of magnitude longer than previously reported values for cavity-coupled NV
centers 1231 and semiconductor quantum dots [140].
5.6
Discussion
The figure of merit for entanglement generation between two separated NVs is given
by the relation [211:
p27
1-+ 'At
(5.19)
where p is the probability of spin-photon entanglement per excitation pulse, -y is the
spontaneous emission lifetime of the NV, T is the electron spin coherence time, and
At ~ L/c with L being the separation distance and c being the speed of light. With
cavity-enhanced NVs, p is increased proportional to the finesse of the cavity, which
Q
factor. In the regime of yAt
increase in entanglement generation rate improves as f
2
> 1 (long distances), the
/DW 2
.
is itself proportional to the
We use the relevant NV-nanocavity parameters to determine the possible impact of our system on established and potential future applications. NV-nanocavity
81
system B lies in the strong Purcell regime with 3 = 0.54, which would lead to a ~800fold increase in entanglement generation rates between two distant NVs compared to
present schemes without cavity enhancement, assuming the same collection efficiency
as in previously reported experiments [191. For alternative collection schemes, it is
possible to couple an optical fiber to a 1-D cavity (similar to the one used in this work)
through an intermediate waveguide with a total coupling efficiency of 85% [141], so
even higher entanglement rates are achievable. Recently achieved quantum teleportation rates based on differentiating the excited spin states with high selectivity would
also significantly benefit from this speed-up [201. Another entanglement protocol relies on state-dependent reflectivity (resonant scattering) of an incoming photon upon
the cavity [1421. In this approach, the overall Purcell enhancement is important because it determines the probabilities of reflection and no reflection. If we neglect pure
dephasing, we can set C (the cooperativity) equal to F, and the reflection probability [142] is approximately given by 1 - (1 + 4F)/(1 + 4F + 4F2 ).
Therefore, it is
important to reach a high F > 1. We show here a value of F ~ 1.2, in principle
enabling the discussed entanglement scheme. However, we did not measure the dephasing properties and cannot confirm that we are operating in a regime without pure
dephasing. These estimations indicate that coupling long-lived NVs to single-crystal
diamond cavities is a critical step towards long-distance quantum entanglement and
large-scale quantum networks.
In conclusion, we have introduced a fabrication process for the creation of NVnanocavity systems in the strong Purcell regime with consistently high Q factors
while preserving the long spin coherence times of NVs [15]. These systems enable
coherent spin control of cavity-coupled semiconductor qubits with coherence times
exceeding 200 ps -
an increase by two orders of magnitude over previously reported
cavity-coupled solid-state qubits [23, 140, 1431. Such systems with specific NV-cavity
coupling parameters can also be used for high-fidelity readout due to the modification of spin dynamics of cavity-coupled NVs [1081. Our on-chip architecture could
be used to efficiently scale NV-nanocavity systems to many quantum memories connected via photons [128, 129, 130, 133]. The membrane-transfer process introduced
82
here is well-suited for building such networks as it allows the screening and subsequent
integration of high-performance NV-nanocavity systems [144, 145, 121, 123] into photonic integrated circuits equipped with microwave circuits for multiple electron and
nuclear spin control [146, 131J, waveguide-integrated superconducting detectors [87],
and low-latency logic devices for feed-forward [1471. Spatial implantation of NVs into
the mode field maximum and cavity fabrication around a single NV [1481 appear
promising to increase the NV-nanocavity overlap probability. Many of the schemes
discussed above require coherent optical control of single or multiple NV spins in
cavities that exhibit low spectral diffusion and lifetime-limited ZPL transitions; recent work on near-surface implanted NVs shows that it is in principle possible to
eliminate spectral diffusion even under 532-nm excitation [149, 150]. With these advances, multiple NV-nanocavity systems operating in the strong Purcell regime and
having long spin coherence times would form scalable quantum memories for quantum
repeaters [9], spin-based microprocessors [1511, and quantum networks [8].
83
84
Chapter 6
Bullseye circular gratings to enhance
broadband NV photoluminescence
collection efficiency
6.1
Introduction
The exceptional optical and spin properties of NV centers in diamond [10, 1521 have
led to the demonstration of a wide range of quantum technologies including quantum
entanglement [21, 19, 131], teleportation [20], and sensing [153, 59, 154, 155]. Central
to all of these experimental efforts is the efficient detection of the NV photoluminescence (PL), which improves the sensitivity in metrology applications [156] and allows
for faster quantum information processing [19, 157, 158, 159]. However, efficient photon collection has been hindered by total internal reflection confinement due to the
high refractive index of diamond. Previous approaches to address this problem in
bulk diamonds include solid immersion lenses [160, 161, 33, 20] (1.1 million counts
per second (Mcps) reported), vertical pillars [56, 162, 1631 (1.7 Mcps), optical antennas [164] (0.6 Mcps) and silicon dioxide gratings [165] (0.7 Mcps). Here, we introduce
a circular diamond 'bullseye' grating that achieves the highest reported photon collection rate from a single NV center of 4.56 t 0.08 Mcps at saturation when fitted
85
,j
7/i'
(H~
y
b
-
~~NN
MW
a
711271>
gap
~L27<N%
(Y(___
I
1,'
2
722
E
N
gas-4
7
II
(O(I,/i/////Ij
-4
-2
0
2
y (ptm)
4
Figure 6-1: (a) Illustration of an array of diamond bullseye gratings adjacent to a
microwave (MW) strip line. (b) Schematic of the circular grating. a denotes the
lattice constant and gap the air spacing between circular gratings. (c) Simulated
electric field intensity (log scale) in the x = 0 plane with air above and glass below
the diamond. A dipole emitter was placed in the center of the bullseye grating, and
was oriented along the horizontal direction.
with the widely-used background counts subtraction method. We have also developed
a g( 2 -corrected saturation curve measurement which gives a rigorous single photon
count rate of 2.7
0.09 Mcps. We measure a spin coherence time of 1.7 0.1 ms,
which is comparable to the highest reported spin coherence times of NVs under ambient conditions and also indicates the bullseye fabrication process does not degrade the
spin properties [31, 166, 15J. The planar architecture allows for on-chip integration,
and the circular symmetry supports left- and right-handed circularly polarized light
for spin-photon entanglement [21].
6.2
Design
The bullseye grating consists of concentric slits fully etched into a diamond membrane (Figure 6-la and 6-1b). The grating period a satisfies the second-order Bragg
condition, a = A/neff, where A ~ 680 nm approximates the mean of the NV emission
86
Figure 6-2: (a) Scanning electron micrograph and (b) PL scan of an NV within a
diamond bullseye grating (system A).
wavelength and neff is the membrane's effective index when placed on glass[167].
Figure 6-1c shows the simulated field distribution of the bullseye grating with period
of a = 330 nm, and an air gap of 99 nm (Lumerical, FDTD Solutions). Light guided
in the membrane scatters with equal phase at the slits, leading to constructive interference in the vertical direction. As seen in Figure 6-1c, PL from a dipole emitter
oriented along the horizontal direction is preferentially (- 70%) emitted into the glass
coverslip due to a lower index contrast of the diamond-glass interface compared to
the diamond-air interface[1641.
6.3
Fabrication
The diamond structures were fabricated by first thinning ~5 Rm thick diamond membranes to -300 nm in a reactive ion etcher [1681. The diamond was grown by mi3
crowave plasma assisted CVD and contained a density of intrinsic NVs of ~1/ 11m
and a nitrogen concentration of <100 ppb.
The grating patterns were transferred
into the diamond membranes using pre-patterned single-crystal silicon membranes
as etch masks [621. These silicon membrane hard masks were positioned onto the
diamond and mechanically removed after etching [25, 126]. Figure 6-2a shows a scanning electron micrograph of a typical fabricated structure. These membranes were
87
(a) Experiment
1.5-
0.5
0.
740
720
700
680
Wavelength (nm)
(b) Standard NV spectrum convolved with simulated C. eff.
640
660
1.5
- NV~ spectrum
Simulation
-With
:0.5
0
640
660
700
680
Wavelength (nm)
720
740
Figure 6-3: (a). Spectrum of an NV inside the bullseye grating. (b) Convolution
of standard NV spectrum (pink) with a simulated, wavelength-dependent collection
efficiency (blue).
subsequently transferred onto a glass coverslip with a pre-patterned microwave strip
line for optical and spin characterization.
6.4
Optical characterization
The bullseye gratings were investigated using a homebuilt confocal microscope with
an oil objective (Nikon Plan Fluor NA= 1.3). A 561 nm long-pass filter (Semrock 561
nm RazorEdge ultrasteep long-pass edge filter) was used to remove the green laser in
the collection path. The collected PL was split by a polarizing beam splitter onto two
single photon counting modules (Excelitas Technologies' SPCM-AQRH). The PL scan
in Figure 6-2b shows a bright spot inside the bullseye system A, confirmed to be an NV
center by spectral measurements (Figure 6-3). The separation between peaks in the
phonon-side band gives the free-spectral-range of a low-finesse (F ~ 1) micro-cavity
88
due to weak reflectance (R ~ 0.17) at the gratings. This is in qualitative agreement
with the expected spectrum (Figure 6-3b), where we convolved a typical NV spectrum
with the wavelength-dependent collection efficiency of an NV in a bullseye grating for
an NA of 1.3, as obtained from FDTD simulations.
We used a back-focal-plane (BFP) imaging technique to analyze the bullseye's
far-field mode pattern. In a confocal imaging system, the Fourier transform of the
far-field emission pattern is situated at the BFP of the objective lens. We imaged
this onto a CCD camera (Princeton Instruments LN-1334) using a 400 mm lens
(commonly called a 'Bertrand lens' [1691). The BFP image in Figure 6-4a shows a
strong intensity for modes of NA below 0.7, and a circular boundary for 1<NA<1.3.
These results are consistent with the FDTD simulations (Figure 6-4b) predicting
that 13% of the total emission occurs within an NA of 0.7. In contrast, an NV in
the unpatterned diamond membrane shows scattering primarily to high NA modes,
as seen in Figure 6-4c (Experiment) and Figure 6-4d (Simulation).
6.5
NV photon count rate estimation
We used two methods to estimate single NV photon count rates in order to provide
rigorous lower and upper bounds on the total emission collected. For an upper bound
of the saturated single photon emission, we measured both the photon count rates
at the NV position and the background fluorescence -600 nm away as a function
of laser power. After subtracting the two measurements (Figure 6-5, red dots), this
background-subtracted saturation curve was fit to the following saturation model [33,
56, 162, 163, 164, 1651:
C(P)
C(P)
- 1 + coo
stP(6.1)
1 + PsatlP
=
where P is the excitation intensity as measured after the objective aperture, and
the fit parameters are given by the saturated single photon count rate C', and the
saturation excitation power Pst.
3.27
The fit yields a saturated count rate of Co
0.37 Mcps at a saturation power of 77
89
=
30 kW for system A. These results
Membrane
Bullseve
Ca
-
Figure 6-4: a-d: Simulated and experimental back-focal-plane images. The concentric
circles are in units of numerical aperture, and the color intensities for all four images
are normalized to their respective maximum intensity value for wavelengths from 640
650 nm for the same E, polarization (pointing left-right). Measured far-field emission
pattern of an NV in the ~300 nm thick diamond membrane with (a) and without (c) a
grating structure. Simulated far-field emission pattern of a dipole oriented along the
horizontal direction inside a membrane with (b) and without (d) a grating structure.
90
a
b
4
subtracted
- Background
- g(2 ) corrected
5
-
Background subtracted
g(2) corrected
43
0
3L
C
(Dc:2
CL)
0
C,,
U)L
0
50.6
0.4
0 .2,
0-5 -100-50 0
0
0
1
50.6
0.4
0.2
50 100 150
-150-100-50
t (ns)
200
800
400
600
Excitation power (pW)
1000
it500
1000(
0
0 (ns)
50 100 150
1500
Excitation power (W
Figure 6-5: (a) The saturation curves of the bullseye-enhanced single NV in system A.
The red curve is a fit to data with background counts subtracted, and asymptotically
approaches 3.27+ 0.37 Mcps at a saturation excitation power of 77+ 30 p.W. The blue
curve is a fit to g(2 )-corrected counts (for details, see main text), and asymptotically
30 jiW. The
0.2 Mcps at a saturation excitation power of 84
approaches 2.41
second-order auto-correlation measurement (inset) indicates a minimum g(2 ) (0) =
0.005 at 10 jiW. (b) Characterization of system B. The red curve is a fit to
0.320
data with background counts subtracted, and asymptotically approaches 4.56 0.08
Mcps at a saturation excitation power of 255 + 20 jiW. The blue curve is a fit to g-2)
0.09 Mcps at a saturation
corrected counts, and asymptotically approaches 2.70
16 kW. The second-order auto-correlation measurement
excitation power of 150
(inset) indicates a minimum g( 2 )(0) = 0.279 + 0.003 at 10 tW.
91
are consistent with fitting a linear background to the total count rate.
For a rigorous lower-bound measurement of the collected single photon emission,
we recorded both count rates and the second-order auto-correlation of the emission
with increasing laser pump power. The g(2 ) (0) at low laser power (10
tW) is 0.32
(Figure 6-5a inset) which indicates that the fluorescence originates from a single NV
center. In the presence of uncorrelated background photon emission, the measured
normalized autocorrelation function, g9,(T), differs from that of a single emitter,
g9i(T),
according to the following [170, 171]:
g ,(T)
= g)
(T)p2 _
1
(6.2)
- p2
and background fluorescence) photon count rate T. Since for a single NV g 2 ) (0)
0, we obtain its signal fluorescence S
T
1
-
-
where p = S/T is the ratio of the signal NV photon count rate S to the total (NV
g,(0) at different laser excitation
powers (Figure 6-5a, blue dots). By plotting S as a function of laser power and fitting
this function to Equation (6.1), we find a single photon emission rate of 2.41
Mcps at a saturation power of 84
0.2
30 tW for system A.
Figure 6-6 shows both the total count rate from the bullseye-enhanced NV, and a
background fluorescence measurement ~600 nm away. The background measurement
was found to be increasing linearly with excitation power. Thus, it is reasonable to
expect a linearly increasing background for the saturation curve of the total count
rate. This fit (See Figure 6-6 caption) yields a saturated count rate of 4.38
Mcps at an excitation power of 288
a = 2215
0.3
30 RW with the linear background term being
200 counts/ W. As pointed out in the main text, this fit yields a saturated
count rate consistent with the fit (See Eqn. 6.1) to data after subtracting the measured
background.
Repeating both analyses for system B (from which we measured the highest collection efficiency), we obtain a saturated count rate of 4.56
background subtraction analysis and 2.70
0.08 Mcps from the
0.09 Mcps based on the g(2 ) analysis.
This represents a roughly 15-fold increase in count rate compared to what we ob-
92
8!
-Total count rate
-Measured
background
6
CL)
0
F2-
1000
1500
500
Excitation power ( W)
0
Figure 6-6: (a) Saturation curve analysis of the bullseye-enhanced single NV in system
B. The green curve is a fit to the total count rate, which asymptotically approaches
4.38 0.3 Mcps at a saturation excitation power of 288 i 30 iW with the linear back+aP.
ground term a = 2215i200 counts/ 'W given a fitting function C(P) =
The blue curve is a linear fit to background counts measured ~600 nm away with
100 counts/ W.
a = 2100
served for NVs located at a similar depth in bulk diamond samples measured with
the same oil-immersion confocal setup. In a (111)-oriented diamond substrate, one
could expect further improvement by another ~30% [162] due to the alignment of the
NV dipole with the plane of the bullseye.
6.6
Spin properties of NVs inside the bullseye
NV centers inside the bullseye gratings exhibit spin coherence times similar to the
parent CVD crystal [172].
The phase coherence time (T2,Hahn) was measured us-
ing a Hahn echo pulse sequence to cancel the dephasing by quasi-static magnetic
fields [173]. From the exponential [261 decay envelope of the revivals, we determine
T2,Hahn = 311
23
s.
Carr-Purcell-Meiboom-Gill (CPMG) sequences further
decoupled the NV spin and extended the coherence time through repeated spinrefocusing pulses.
T2,CPMG = 1.7
For a CPMG repetition order up to n ~ 150, we determine a
0.1 ms. Such T2 values are typical for the parent diamond crystal,
93
indicating that our nanofabrication process preserves the long electron spin coherence.
6.7
Discussion
Compared to other geometries with high collection efficiencies [56, 201, the planar
structure of the bullseye grating allows for direct transfer onto different substrates for
device integration with other optical components, such as electrically-gated on-chip
photon detectors [87, 174, 175j and optical fiber facets [104, 62]. As seen in the FDTD
simulations in the Supporting Information, the bullseye structure shows a maximal
collection efficiency of -30% when the NV is located radially in the center of the
bullseye. Simulation results (See Supporting Information Fig. S2) indicate that the
collection efficiency remains within 50% of the maximum even when the NV is within
10 nm of the diamond-air interface, which makes the bullseye structure attractive
for sensing applications. For narrow-band applications (AA/A<0.03) the collection
efficiency can be optimized to as high as 90% of the total dipole emission power within
an NA=1.5 (See Supporting Information Fig. 3). This makes the bullseye geometry
particularly useful for collection of the NV zero-phonon line, e.g. for spin-photon
entanglement [21, 19, 20].
In summary, we demonstrate a nanophotonic device based on a circular bullseye grating to direct the far-field emission of a single NV center and achieve high
collection efficiencies within a low NA, allowing for record PL count rates. The intrinsic coherence properties of the host materials were unaffected by the fabrication
process, allowing for millisecond coherence times. The high collection efficiency provided by the bullseye structure promises improved proximal surface sensing [176]
and, combined with masked implantation [177, 125], allows for the scalable fabrication of high-performance quantum devices such as multi-qubit quantum network
nodes [131, 19, 20, 61J, room temperature single-photon sources for intensity standards [1781, and single-shot spin readout [146, 1791.
94
Chapter 7
Scalable fabrication of high purity
diamond nanocrystals with
long-spin-coherence nitrogen vacancy
centers
7.1
Introduction
The NV center in nanodiamond has been the focus of many recent investigations
across a broad range of applications, including its use as a spin qubit in a hybrid photonic architecture [23, 147], and as a highly localized sensor of temperature [180, 154]
and magnetic fields [22, 181] that can be integrated with biological systems [1821.
The performance of the NV for these applications depends crucially on its electron
spin phase coherence time, which is limited to microseconds in high-pressure hightemperature (HPHT) diamond nanocrystals due to a high concentration of paramagnetic impurities [183, 1811. Here, we demonstrate a top-down fabrication process
using a porous metal mask and a self-guiding reactive ion etching process that enables rapid nanocrystal creation across the entirety of a high-quality chemical vapor
deposited (CVD) diamond substrate.
High-purity CVD nanocrystals produced in
95
this manner exhibit single NV phase coherence times reaching 210 pts and magnetic
field sensitivities of 290 nT-Hz'1
2
without compromising the spatial resolution of a
nanoscale probe [184].
The NV center consists of a nitrogen atom adjacent to a vacancy in the diamond
lattice.
In the negatively charged state, the NV center's electron spin can be co-
herently manipulated by addressing the transition between the m, =0 and m, = 1
sublevels of its ground state triplet, and read-out optically through a spin-dependent
intersystem crossing [16]. A key figure of merit in quantifying the quality of a given
NV spin system is the electron phase coherence time T2 , a phenomenological decay
constant that characterizes how long the phase of the system coherently evolves. It
has been shown that the spin coherence time of NV centers in bulk and nanocrystalline
type Ib diamond is limited by the stochastic fluctuations of the magnetic field induced
by the bath of paramagnetic impurities and surface defects, with times T* ~ 250 ns
and T 2
-
3 ps at 100 ppm [181, 185]. The growth of CVD diamond, however, can be
controlled to limit nitrogen inclusion and sharply reduce the number of paramagnetic
carbon-13 nuclear spins. The purity of this material has enabled a vast increase in
NV coherence time beyond milliseconds [31, 15] with concomitant improvements in
sensing applications [59, 186, 187, 188, 189, 190]. However, these improvements have
not been accompanied by advances in the fabrication of nanocrystals where the best
coherence lifetimes, attained via bottom-up CVD growth, do not exceed 10 ps [191].
7.2
Fabrication procedure
In this work, we fabricate nanocrystals directly from high-purity bulk CVD diamond
with < 5 ppb native nitrogen and natural
13
C density (Element 6). The fabrication
procedure is scalable across large diamond surfaces, employing deposited metal as a
porous etch mask for reactive ion etching with oxygen gas in an inductively coupled
plasma (ICP). Similar techniques for scalable creation of diamond nanowires have
been demonstrated previously [192, 193] using a thermal annealing step to create
metallic nanoparticle masks for a subsequent Ar/He or oxygen dry etch. This class
96
a
d
IJN+
b
C
e
f
Figure 7-1: Process schematic. (a) Bulk diamond is masked by sputter-coated AuPd.
(b) 02 inductively coupled plasma etches the diamond with the AuPd as a mask.
(c) As the etch continues, the AuPd is completely removed. (d) The diamond is
implanted with nitrogen, annealed, and chemically treated to form NV centers. (e)
The CVD nanodiamonds are mechanically removed from bulk and (f) transferred
onto glass coverslips for confocal microscopy.
97
of techniques allows the fabrication of closely packed pillars on the scale of tens of
nanometers across an entire sample surface, which is difficult and time-consuming
using traditional electron beam lithographic or focused ion beam techniques.
Our
procedure combines this process with an oxygen ICP etch that has been shown to
preserve the spin properties of nearby NV centers [194, 26, 251: Figure 7-1 illustrates
the process.
Sputtering of AuPd onto diamond resulted in surface coating of dis-
tinct AuPd grains as shown in Figure 7-2a. Deposited AuPd grains serve as an etch
mask which allows the formation of densely-patterned nanopillars while the mask
is destroyed during the etching. We then transferred the pattern onto diamond via
oxygen plasma etching in an Oxford ICP 80 tool at a pressure of 15 mTorr with
200 W DC and 500 W ICP power and flow rates of 90 sccm 02 and 30 sccm Ar.
Subsequent SEM imaging shown in Figures 7-2b,c reveal a high density of elongated
nanostructures with diameter 50
15 nm and height of 150
75 nm extending
throughout the diamond surface. Our process produces CVD nanocrystals at a number density of ~ 10 1 0 cm- 2 simultaneously across the sample area, allowing for scaling
to wafer-size substrates. The bulk diamond can be reprocessed after the removal of
a layer of nanocrystals, allowing for the creation of large quantities of nanodiamond
economically from high-purity bulk material which is typically hundreds of microns
in thickness.
After etching, the diamond surface was implanted with
15
N at a dose of 2 x 1012
1 5N cm- 2 and an energy of 60 keV for an estimated implant depth of 73 t
16 nm
as calculated by SRIM. At this dose, with an expected NV conversion efficiency of
1%, as observed in identically prepared samples, we expect 40% of the CVD nanodiamonds to contain NVs. We annealed the diamond at 850 'C for 2 hours to mobilize
vacancies and subsequently cleaned the diamond in a boiling nitric, sulfuric, and perchloric acid solution to achieve oxygen surface termination. Finally, we mechanically
separated the structures from the bulk using a diamond tip. Each removal pass removed a surface area of roughly 1000 pim2 from the diamond surface. The dislocated
nanodiamonds were transferred directly onto glass coverslips by contact and driving
with an external piezoelectric driver with a process efficiency of -1%
98
(Figure 7-2d).
Figure 7-2: Scanning electron micrographs. (a) AuPd mask. (b) Sideview and (c)
top-view of nanocrystals attached to bulk diamond. (d) Nanocrystals separated from
bulk and transferred onto a silicon substrate.
99
b ''001
~800
600
700
650
Wavelength (nm)
C
0.
-40
-20
0
20
Time Delay (ns)
40
60
+
Figure 7-3: Optical characterization. (a) Scanning confocal image of CVD nanodiamonds on glass. The fluorescence from a single NV is indicated by the red square.
(b) Spectrum of a single NV center in a CVD diamond nanocrystal showing the NV
ZPL at 638 nm. (c) Second-order autocorrelation function of NV photoluminescence
indicating single-emitter behavior with g(2 )(0) < 0.5. Blue line: fit to function 1
Ae-I(t/)I with g( 2)(0) = 0.247 and r the excited state lifetime 13.57 ns.
7.3
Optical and spin characterization
We then characterized the sample at room temperature using confocal fluorescence
microscopy with an oil immersion objective (NA=1.3) and excitation by a 532 nm
CW laser. Figure 7-3a shows a confocal scan of nanodiamonds transferred onto glass.
The fluorescence spectrum (Figure 7-3b) matches that of the negatively-charged NV,
with a clear ZPL near 638 nm. Photon antibunching from such sites confirms the
presence of single NVs (Figure 7-3c).
Similar to the previous chapters, spin measurements were performed on single
NV centers with a small static magnetic field of approximately 70 Gauss along the
NV axis to lift the degeneracy of the m, = t1 magnetic ground state sublevels.
These nanocrystals showed a long T 2 time of 79
s. Finally, CPMG sequences were
employed to further decouple the NV spin and extend coherence through repeated
spin-refocusing pulses, which resulted in an exceptionally long observed coherence
time T2 = 210
s, up a factor of 7 from the n = 1 case. Due to the long coherence
time of the CVD nanocrystals and resulting high slope 6S/B, these nanocrystals
100
achieved a record magnetic field sensitivity of 6B = 290 nT Hz- 1
in nanodiamond with spin coherence time of 32
7.4
2
for an NV center
s.
Discussion
While the coherence times achieved for NV centers in the CVD nanodiamonds can
be very high and the nanodiamonds fabricated in large quantities, it is important to
consider the repeatability and yield of the fabrication process. Not every NV center in
the nanodiamonds exhibits long coherence times; we find that approximately 10% of
bright spots with clear ESR signature showed coherence times in excess of 10 ps. This
number is as high as 40% in similarly prepared bulk diamond, which was irradiated
with a dose of 10 8 ions cm- 2 and energies from 30-300 keV [195]. We attribute the
lower coherence time in the nanocrystals to the increase in N density of over four
orders of magnitude to 2 x 1012 "5N cm- 2 , which was used in our process to realize
a high expected NV-per-nanocrystal yield of ~40%.
Since large
15
N implantation
density is required to have a reasonable NV yield within the 50 nm diameter of
the CVD nanocrystals, the local paramagnetic spin bath density is higher than that
in systems that do not require high NV density, such as bulk CVD diamond.
addition, low-energy implantation localizes paramagnetic
15
In
N defects in a thin layer
rather than distributing them throughout the diamond, resulting in a high local
defect density.
As the dose is decreased, T 2 increases due to the longer average
spacing between a given NV center and the spin bath [1851, but with a corresponding
decrease in NV number.
We believe that to increase NV density with long phase
coherence time, N to NV creation yield must be improved from the nominal 1% to
create NVs with fewer implanted nitrogen atoms.
One way to achieve this is co-
implantation with other species [196] to create additional vacancies. Other ways to
improve coherence include isotopic purification [31], high temperature (>1200 'C)
annealing [195] and diamond re-growth [1971. These techniques may also alleviate
observed flaws with shallow-implanted NV centers that are observed even in bulk
diamond, such as charge instability and limited coherence times that are attributed
101
to other crystal defects 1195].
Advanced spin control protocols, such as extended
CPMG sequences [15], could also be used to increase the coherence time of this
system.
The magnetic field sensitivity would likewise increase through the use of
multi-pulse magnetometry sequences [1981 which could increase the sensing time to
the full T 2 time of 210
s observed in the CPMG measurements and thus reach a
predicted sensitivity of 105 nT Hz- 1
2
. Even without these sequences, however, NVs
in the fabricated CVD nanodiamonds demonstrate the highest phase coherence time
of any solid-state qubit in a nanoparticle.
In this work, we presented the fabrication and characterization of high purity
CVD diamond nanocrystals with average diameter of 50 nm and demonstrated long
coherence times of the NVs they contain, exceeding 200
s. Through the use of a
high-quality starting material and CPMG decoupling, a phase coherence time was
demonstrated to exceed that of typical HPHT nanodiamonds by two orders of magnitude. With spin properties similar to those found in bulk diamond, NVs contained
in these high-quality nanocrystals can allow protocols that have only been implemented in bulk systems, such as spin-based electric field sensing, at the nanoscale.
Furthermore, diamond nanocrystals are well suited for use as biological probes, and
the increased field sensitivity demonstrated here enables measurement of relevant systems, such as neural networks, with distributed and highly localizable sensors. Due
to their small volume, the fabricated CVD nanocrystals are also ideal for integration
with photonic structures in silicon or 111-V materials where the NV could act as a
spin qubit without significantly perturbing the cavity or waveguide mode [23, 1471.
Further optimization of the fabrication technique could lead to a diameter of < 20
nm, dependent on the metal nanoparticle sizing, while use of isotopically purified host
material, optimized dose parameters, and advanced control sequences could extend
coherence times to the millisecond level as observed in bulk diamond.
102
Chapter 8
Summary and Outlook
8.1
Diamond nanoslab fabrication
* We have shown that nanoscale structures fabricated in high-purity single-crystal
diamond by EBL and oxygen plasma dry etching can exhibit optical and spin
properties that are sufficient for QIP and sensing applications.
* Moreover, we have shown that nanoslabs of diamond can be removed and positioned on glass substrates for further processing, such as implantation and
various measurements.
* We note that the 10-nm proximity to the surface indicates that structures on a
much smaller length scale should also allow for a similarly long spin coherence
time.
8.2
Transferred hard mask lithography
* By exploiting mature silicon nanofabrication processes, our method of transferring silicon hard masks can create nanopatterns on a wide range of substrates
without spin-coating, wet chemical processing, scanning electron/ion beam, or
UV exposure.
103
"
We demonstrated successful fabrication of suspended high-Q diamond PC devices, as well as patterning of 10-nm metal lines on a silicon substrate.
" Silicon membrane masks furthermore enabled us to integrate arrays of gold
nanodots on a facet of an optical fiber.
" The introduced silicon contact masks, ranging in scale from tens of micrometers
to a few millimeters, can be re-used multiple times.
8.3
Photonic crystal cavities for coherent spin control of NV qubits
" We have introduced a fabrication process for the creation of NV-nanocavity
systems in the strong Purcell regime with consistently high
Q
factors while
preserving the long spin coherence times of NVs [151.
" These systems enable coherent spin control of cavity-coupled semiconductor
qubits with coherence times exceeding 200
s -
an increase by two orders of
magnitude over previously reported cavity-coupled solid-state qubits [23, 140,
143].
" Our on-chip architecture could be used to efficiently scale NV-nanocavity systems to many quantum memories connected via photons [128, 129, 130, 133].
The membrane-transfer process introduced here is well-suited for building such
networks as it allows the screening and subsequent integration of high-performance
NV-nanocavity systems [144, 145, 121, 1231 into photonic integrated circuits
equipped with microwave circuits for multiple electron and nuclear spin control [146, 1311, waveguide-integrated superconducting detectors [87], and lowlatency logic devices for feed-forward [1471.
104
8.4
"
Circular bullseye gratings
We demonstrate a nanophotonic device based on a circular bullseye grating to
direct the far-field emission of a single NV center and achieve high collection
efficiencies within a low NA, allowing for record PL count rates.
* The intrinsic coherence properties of the host materials were unaffected by the
fabrication process, allowing for millisecond coherence times with dynamical
decoupling techniques.
8.5
Long-coherence diamond nanocrystals
" We presented the fabrication and characterization of high purity CVD diamond
nanocrystals with average diameter of 50 nm and demonstrated long coherence
times of the NVs they contain, exceeding 200
s.
" Through the use of a high-quality starting material and CPMG decoupling, a
phase coherence time was demonstrated to exceed that of typical HPHT nanodiamonds by two orders of magnitude.
" Magnetic field detection sensitivity was measured to be 290 nT-Hz-1/ 2 with our
high-purity diamond nanocrystal as a nanoscale probe.
Moving forward, spatial implantation of NVs into the mode field maximum or
cavity fabrication around a single NV [1481 appear promising to increase the NVnanocavity overlap probability. Many of the schemes discussed above require coherent optical control of single or multiple NV spins in cavities that exhibit low spectral
diffusion and lifetime-limited ZPL transitions; recent work on near-surface implanted
NVs shows it is in principle possible to eliminate spectral diffusion even under 532
nm excitation [149, 1501. We hope to have multiple tagged NV-cavity systems with
the combination of reasonably high Qs (-2,000), long spin coherence times (~100
s), and low spectral diffusion (< 150 MHz). We are also designing an automatic
105
wide-field screening setup to check multiple NVs and cavities in parallel for scalable fabrication. With these advances, multiple NV-nanocavity systems operating in
the strong Purcell regime and having long spin coherence times would form scalable
quantum memories for quantum repeaters [9], spin-based microprocessors [151], and
quantum networks [81.
106
Bibliography
[1] M. A. Nielsen and I. L. Chuang. Quantum Computation and Quantum Information. Cambridge Univ. Press, Cambridge, 2000.
[21 S. D. Barrett and P. Kok. Efficient high-fidelity quantum computation using
matter qubits and linear optics. Phys. Rev. A, 71(6):060310, 2005.
[31 A. Serafini, S. Mancini, and S. Bose. Distributed quantum computation via
optical fibers. Phys. Rev. Lett., 96(1):010503, 2006.
[4] L. Duan, M. Lukin, I. Cirac, and P. Zoller. Long-distance quantum communication with atomic ensembles and linear optics. Nature, 414:413, 2001.
[5] S. Lloyd, M. Shahriar, J. Shapiro, and P. Hemmer. Long distance, unconditional
teleportation of atomic states via complete bell state measurements. Phys. Rev.
Lett., 87(16):167903, 2001.
[61 S. Lloyd et al. Universal quantum simulators. Science, 273(5278):1073-1077,
1996.
[7] G. Vidal. Efficient simulation of one-dimensional quantum many-body systems.
Phys. Rev. Lett., 93(4):040502, 2004.
18] J. L. O'Brien, A. Furusawa, and J. Vuakovi6. Photonic quantum technologies.
Nature Photon., 3(12):687-695, 2009.
[91 L. Childress, J. M. Taylor, A. S. Sorensen, and M. D. Lukin. Fault-tolerant
quantum communication based on solid-state photon emitters. Phys. Rev. Lett.,
96(7):070504, 2006.
[10] M. W. Doherty, N. B. Manson, P. Delaney, F. Jelezko, J. Wrachtrup, and
L. C. L. Hollenberg. The nitrogen-vacancy colour centre in diamond. Phys.
Rep., 528(1):1-45, 2013.
[111 T. Kennedy, J. Colton, J. Butler, R. Linares, and P. Doering. Long coherence
times at 300 k for nitrogen-vacancy center spins in diamond grown by chemical
vapor deposition. Appl. Phys. Lett., 83(20):4190-4192, 2003.
[12] J. Meijer, B. Burchard, M. Domhan, C. Wittmann, T. Gaebel, I. Popa,
F. Jelezko, and J. Wrachtrup. Generation of single color centers by focused
nitrogen implantation. Appl. Phys. Lett., 87(26):261909, 2005.
107
[131 A. Gruber, A. Drabenstedt, C. Tietz, L. Fleury, J. Wrachtrup, and C. v. Borczyskowski. Scanning Confocal Optical Microscopy and Magnetic Resonance
on Single Defect Centers. Science, 276(5321):2012-2014, 1997.
[141 J. Maze, J. Taylor, and M. Lukin. Electron spin decoherence of single nitrogen-
vacancy defects in diamond. Phys. Rev. B, 78(9):094303, 2008.
[151 N. Bar-Gill, L. M. Pham, A. Jarmola, D. Budker, and R. L. Walsworth. Solidstate electronic spin coherence time approaching one second. Nat. Commun.,
4:1743, 2013.
[161 N. B. Manson, J. P. Harrison, and M. J. Sellars. Nitrogen-vacancy center in
diamond: Model of the electronic structure and associated dynamics. Phys.
Rev. B, 74(10):104303, September 2006.
[171 L. Robledo, H. Bernien, T. van der Sar, and R. Hanson. Spin dynamics in
the optical cycle of single nitrogen-vacancy centres in diamond. New J. Phys.,
13(2):025013, 2011.
[181 H.-Q. Zhao, M. Fujiwara, and S. Takeuchi. Suppression of fluorescence phonon
sideband from nitrogen vacancy centers in diamond nanocrystals by substrate
effect. Opt. Express, 20(14):15628-15635, 2012.
[191 H. Bernien, B. Hensen, W. Pfaff, G. Koolstra, M. S. Blok, L. Robledo, T. H.
Taminiau, M. Markham, D. J. Twitchen, L. Childress, and R. Hanson. Heralded
entanglement between solid-state qubits separated by three metres. Nature,
497(7447):86-90, 2013.
[20] W. Pfaff, B. Hensen, H. Bernien, S. B. van Dam, M. S. Blok, T. H. Taminiau,
M. J. Tiggelman, R. N. Schouten, M. Markham, D. J. Twitchen, and R. Hanson.
Unconditional quantum teleportation between distant solid-state quantum bits.
Science, 345(6196):532-535, 2014.
[211 E. Togan, Y. Chu, A. S. Trifonov, L. Jiang, J. Maze, L. Childress, M. V. G.
Dutt, A. S. Sorensen, P. R. Hemmer, A. S. Zibrov, and M. D. Lukin. Quantum
entanglement between an optical photon and a solid-state spin qubit. Nature,
466(7307):730-734, 2010.
[221 J. R. Maze, P. L. Stanwix, J. S. Hodges, S. Hong, J. M. Taylor, P. Cappellaro,
L. Jiang, M. V. G. Dutt, E. Togan, A. S. Zibrov, A. Yacoby, R. L. Walsworth,
and M. D. Lukin. Nanoscale magnetic sensing with an individual electronic spin
in diamond. Nature, 455(7213):644-647, 2008. 10.1038/nature07279.
[231 D. Englund, B. Shields, K. Rivoire, F. Hatami, J. Vuokovi6, H. Park, and M. D.
Lukin. Deterministic coupling of a single nitrogen vacancy center to a photonic
crystal cavity. Nano Lett., 10(10):3922-3926, October 2010.
[241 M. Lonear and A. Faraon. Quantum photonic networks in diamond. MRS bull.,
38(02):144-148, 2013.
108
[251 L. Li, M. Trusheim, 0. Gaathon, K. Kisslinger, C. Cheng, M. Lu, D. Su, X. Yao,
H. Huang, I. Bayn, A. Wolcott, R. M. Osgood, and D. Englund. Reactive ion
etching: Optimized diamond membrane fabrication for transmission electron
microscopy. J. Vac. Sci. Technol. B, 31(6):06FF01-06FF01, 2013.
[26] J. S. Hodges, L. Li, M. Lu, E. H. Chen, M. E. Trusheim, S. Allegri, X. Yao,
0. Gaathon, H. Bakhru, and D. Englund. Long-lived NV- spin coherence in
high-purity diamond membranes. New J. Phys., 14(9):093004, September 2012.
[271 D. Loss and D. P. DiVincenzo.
Quantum computation with quantum dots.
Phys. Rev. A, 57(1):120, 1998.
[28] R. Hanson and D. D. Awschalom. Coherent manipulation of single spins in
semiconductors. Nature, 453(7198):1043-1049, 2008.
[291 F. Jelezko, T. Gaebel, I. Popa, A. Gruber, and J. Wrachtrup. Observation of
coherent oscillations in a single electron spin. Phys. Rev. Lett., 92(7):076401,
2004.
[30] M. V. G. Dutt, L. Childress, L. Jiang, E. Togan, J. Maze, F. Jelezko, A. S.
Zibrov, P. R. Hemmer, and M. D. Lukin. Quantum register based on individual
electronic and nuclear spin qubits in diamond. Science, 316(5829):1312-1316,
2007.
[311 G. Balasubramanian, P. Neumann, D. Twitchen, M. Markham, R. Kolesov,
N. Mizuochi, J. Isoya, J. Achard, J. Beck, J. Tissler, V. Jacques, P. R. Hemmer,
F. Jelezko, and J. Wrachtrup. Ultralong spin coherence time in isotopically
engineered diamond. Nature Mater., 8:383 - 387, apr 2009.
[32] P. Neumann, N. Mizuochi, F. Rempp, P. Hemmer, H. Watanabe, S. Yamasaki,
V. Jacques, T. Gaebel, F. Jelezko, and J. Wrachtrup. Multipartite entanglement
among single spins in diamond. Science, 320(5881):1326-1329, 2008.
[33] T. Schr6der, F. Gddeke, M. J. Banholzer, and 0. Benson. Ultrabright and
efficient single-photon generation based on nitrogen-vacancy centres in nanodi-
amonds on a solid immersion lens. New J. Phys., 13(5):055017, 2011.
[34] A. W. Schell, G. Kewes, T. Hanke, A. Leitenstorfer, R. Bratschitsch, 0. Benson,
and T. Aichele. Single defect centers in diamond nanocrystals as quantum
probes for plasmonic nanostructures. Opt. Express, 19(8):7914-7920, 2011.
[351 R. Kolesov, B. Grotz, G. Balasubramanian, R. J. St6hr, A. A. Nicolet, P. R.
Hemmer, F. Jelezko, and J. Wrachtrup. Wave-particle duality of single surface
plasmon polaritons. Nature Phys., 5(7):470-474, 2009.
[361 E. Yablonovitch. Photonic band-gap structures. J. Opt. Soc. Am. B, 10(2):283-
295, 1993.
109
[371 J. D. Joannopoulos, P. R. Villeneuve, and S. Fan. Photonic crystals: putting a
new twist on light. Nature, 386(6621):143-149, 1997.
[38] T. M. Babinec, J. T. Choy, K. J. Smith, M. Khan, and M. Lonear. Design and
focused ion beam fabrication of single crystal diamond nanobeam cavities. J.
Vac. Sci. Technol. B, 29(1):010601, 2011.
[39] P. Olivero, S. Rubanov, P. Reichart, B. Gibson, S. Huntington, J. Rabeau,
A. D. Greentree, J. Salzman, D. Moore, D. Jamieson, et al. Characterization
of three-dimensional microstructures in single-crystal diamond. Diam. Relat.
Mater, 15(10):1614-1621, 2006.
[40] I. Bayn, B. Meyler, A. Lahav, J. Salzman, R. Kalish, B. A. Fairchild, S. Prawer,
M. Barth, 0. Benson, T. Wolf, et al. Processing of photonic crystal nanocavity
for quantum information in diamond. Diam. Relat. Mater., 20:937-943, 2011.
[41] J. Riedrich-M11er, L. Kipfstuhl, C. Hepp, E. Neu, C. Pauly, F. Miicklich,
A. Baur, M. Wandt, S. Wolff, M. Fischer, S. Gsell, M. Schreck, and C. Becher.
One-and two-dimensional photonic crystal microcavities in single crystal dia-
mond. Nature Nanotech., 7(1):69-74, 2012.
[421 I. Aharonovich, J. C. Lee, A. P. Magyar, B. B. Buckley, C. G. Yale, D. D.
Awschalom, and E. L. Hu. Homoepitaxial growth of single crystal diamond
membranes for quantum information processing. Adv. Mater., 24(10):OP54OP59, 2012.
[43] J. Yang and K. Berggren. Using high-contrast salty development of hydrogen silsesquioxane for sub-half-pitch lithography. J. Vac. Sci. Technol. B,
25(6):2025-2029, 2007.
[441 D. Hickey, E. Kuryliw, K. Siebein, K. Jones, R. Chodelka, and R. Elliman.
Cross-sectional transmission electron microscopy method and studies of implant
damage in single crystal diamond. J. Vac. Sci. Technol. A, 24(4):1302-1307,
2006.
[451 S. Rubanov. Optimisation of the tem sample preparation from diamond using
focused ion beam technique. AMTC Letters, Vol. 2, 2010.
[461 S. Solin and A. Ramdas. Raman spectrum of diamond. Phys. Rev. B, 1(4):1687,
1970.
[471 J. Orwa, K. Nugent, D. Jamieson, and S. Prawer. Raman investigation of
damage caused by deep ion implantation in diamond. Phys. Rev. B, 62(9):5461,
2000.
[481 A. C. Ferrari and J. Robertson. Raman spectroscopy of amorphous, nanostructured, diamond-like carbon, and nanodiamond. Phil. Trans. R. Soc. Lond. A,
362(1824):2477-2512, 2004.
110
[491 V. Mochalin, S. Osswald, and Y. Gogotsi. Contribution of functional groups to
the raman spectrum of nanodiamond powders. Chem. Mater., 21(2):273-279,
2008.
[501 V. N. Mochalin, 0. Shenderova, D. Ho, and Y. Gogotsi. The properties and
applications of nanodiamonds. Nature Nanotech., 7(1):11-23, 2012.
[51] D. S. Knight and W. B. White. Characterization of diamond films by raman
spectroscopy. J. Mater. Res., 4(02):385-393, 1989.
[521 S. Rubanov and A. Suvorova. Ion implantation in diamond using 30kev ga+
focused ion beam. Diam. Relat. Mater., 20(8):1160-1164, 2011.
[53] W. McKenzie, M. Z. Quadir, M. Gass, and P. Munroe. Focused ion beam
implantation of diamond. Diam. Relat. Mater., 20(8):1125-1128, 2011.
[541 I. Bayn, A. Bolker, C. Cytermann, B. Meyler, V. Richter, J. Salzman, and
R. Kalish. Diamond processing by focused ion beam-surface damage and
recovery. Appl. Phys. Lett., 99(18):183109, 2011.
[551 I. Bayn, B. Meyler, J. Salzman, and R. Kalish. Triangular nanobeam photonic
cavities in single-crystal diamond. New J. Phys., 13(2):025018, 2011.
[56] T. M. Babinec, B. J. Hausmann, M. Khan, Y. Zhang, J. R. Maze, P. R. Hemmer,
and M. Lonear. A diamond nanowire single-photon source. Nature Nanotech.,
5(3):195-199, 2010.
[57] D. Le Sage, L. Pham, N. Bar-Gill, C. Belthangady, M. Lukin, A. Yacoby, and
R. Walsworth. Efficient photon detection from color centers in a diamond optical
waveguide. Phys. Rev. B, 85(12):121202, 2012.
[58] A. Cuche, 0. Mollet, A. Drezet, and S. Huant. "deterministic" quantum plas-
monics. Nano Lett., 10(11):4566-4570, 2010.
[59] F. Dolde, H. Fedder, M. W. Doherty, T. N6bauer, F. Rempp, G. Balasubramanian, T. Wolf, F. Reinhard, L. C. L. Hollenberg, F. Jelezko, and J. Wrachtrup.
Electric-field sensing using single diamond spins. Nature Phys., 7(6):459-463,
2011.
[60] J. S. Hodges, N. Yao, D. Maclaurin, C. Rastogi, M. Lukin, and D. Englund.
Timekeeping with electron spin states in diamond. Phys. Rev. A, 87(3):032118,
2013.
[61] B. J. M. Hausmann, B. J. Shields, Q. Quan, Y. Chu, N. P. de Leon, R. Evans,
M. J. Burek, a. S. Zibrov, M. Markham, D. J. Twitchen, H. Park, M. D. Lukin,
and M. Loncar R. Coupling of NV centers to photonic crystal nanobeams in
diamond. Nano Lett., 13(12):5791-6, December 2013.
111
[62] L. Li, I. Bayn, M. Lu, C.-Y. Nam, T. Schr6der, A. Stein, N. C. Harris, and
D. Englund. Nanofabrication on unconventional substrates using transferred
hard masks. Sci. Rep., 5:7802, 2015.
[63] R. F. Pease and S. Y. Chou. Lithography and other patterning techniques for
future electronics. Proc. IEEE, 96(2):248-270, 2008.
[64] R. Pease. Electron beam lithography.
Contemporary Physics, 22(3):265-290,
1981.
[65] A. K.-K. Wong.
Resolution Enhancement Techniques in Optical Lithography.
SPIE press, 2001.
[661 Z. Cui. Nanofabrication:Principles, Capabilitiesand Limits. Springer, 2009.
[67] S. Cabrini and S. Kawata. Nanofabrication Handbook. CRC Press, 2012.
[68] G. Wiederrecht. Handbook of Nanofabrication. Academic Press, 2010.
[691 Y. Xia, J. A. Rogers, K. E. Paul, and G. M. Whitesides. Unconventional methods for fabricating and patterning nanostructures. Chem. Rev., 99(7):1823-
1848, 1999.
[701 P. Kelkar, J. Beauvais, E. Lavallee, D. Drouin, M. Cloutier, D. Turcotte,
P. Yang, L. K. Mun, R. Legario, Y. Awad, and V. Aimez. Nano patterning
on optical fiber and laser diode facet with dry resist. J. Vac. Sci. Technol. A,
22(3):743-746, 2004.
[711 J. Zhang, C. Con, and B. Cui. Electron beam lithography on irregular surfaces
using an evaporated resist. ACS Nano, 8(4):3483-3489, 2014.
[72] J. McCaffrey, M. Phaneuf, and L. Madsen. Surface damage formation during
ion-beam thinning of samples for transmission electron microscopy. Ultrami-
croscopy, 87(3):97-104, 2001.
[73] D. Tham, C.-Y. Nam, and J. E. Fischer. Microstructure and composition
of focused-ion-beam-deposited pt contacts to gan nanowires. Adv. Mater.,
18(3):290-294, 2006.
[74] C.-Y. Nam, P. Jaroenapibal, D. Tham, D. E. Luzzi, S. Evoy, and J. E. Fischer.
Diameter-dependent electromechanical properties of gan nanowires. Nano Lett.,
6(2):153-158, 2006.
[75] S. Y. Chou, P. R. Krauss, and P. J. Renstrom. Imprint lithography with 25nanometer resolution. Science, 272:85-87, 1996.
[761 R. F. Pease.
Semiconductor technology:
417(6891):802-803, 2002.
112
Imprints offer moore.
Nature,
[77] J. Viheridld, T. Niemi, J. Kontio, T. Rytkdnen, and M. Pessa. Fabrication of
surface reliefs on facets of singlemode optical fibres using nanoimprint lithogra-
phy. Electron. Lett., 43(3):150-152, 2007.
[781 S. Scheerlinck, D. Taillaert, D. Van Thourhout, and R. Baets. Flexible metal
grating based optical fiber probe for photonic integrated circuits. Appl. Phys.
Lett., 92(3):031104, 2008.
[79] S. Takei. Step and flash nano imprint lithography of 80 nm dense line pattern
using trehalose derivative resist material. Appl. Phys. Express, 3(2):025202,
2010.
[80] E. J. Smythe, M. D. Dickey, G. M. Whitesides, and F. Capasso. A technique
to transfer metallic nanoscale patterns to small and non-planar surfaces. A CS
Nano, 3(1):59-65, 2008.
[811 D. J. Lipomi, R. V. Martinez, M. A. Kats, S. H. Kang, P. Kim, J. Aizenberg,
F. Capasso, and G. M. Whitesides. Patterning the tips of optical fibers with
metallic nanostructures using nanoskiving. Nano Lett., 11(2):632-636, 2010.
[82] M. Lipson.
Silicon photonics:
An exercise in self control.
Nature Photon.,
1(1):18-19, 2007.
[83] X. Liu, R. M. Osgood, Y. A. Vlasov, and W. M. Green. Mid-infrared optical
parametric amplifier using silicon nanophotonic waveguides. Nature Photon.,
4(8):557-560, 2010.
1841 C. Peroz, S. Dhuey, M. Cornet, M. Vogler, D. Olynick, and S. Cabrini. Single
digit nanofabrication by step-and-repeat nanoimprint lithography.
Nanotech-
nology, 23(1):015305, 2012.
[851 K. Aoki, D. Guimard, M. Nishioka, M. Nomura, S. Iwamoto, and Y. Arakawa.
Coupling of quantum-dot light emission with a three-dimensional photoniccrystal nanocavity. Nature Photon., 2(11):688-692, 2008.
[86] A. Tandaechanurat, S. Ishida, D. Guimard, M. Nomura, S. Iwamoto, and
Y. Arakawa. Lasing oscillation in a three-dimensional photonic crystal nanocavity with a complete bandgap. Nature Photon., 5(2):91-94, 2011.
[87] F. Najafi, J. Mower, N. C. Harris, F. Bellei, A. Dane, C. Lee, X. Hu, P. Kharel,
F. Marsili, S. Assefa, K. Berggren, and D. Englund. On-chip detection of nonclassical light by scalable integration of single-photon detectors. Nat. Commun.,
6:5873, 2015.
[88] I. Bayn, E. H. Chen, M. E. Trusheim, L. Li, T. Schrdder, 0. Gaathon, M. Lu,
A. Stein, M. Liu, K. Kisslinger, et al. Generation of ensembles of individually resolvable nitrogen vacancies using nanometer-scale apertures in ultrahigh-aspect
ratio planar implantation masks. Nano Lett., 15(3):1751-1758, 2015.
113
[89] L. Ocola and A. Stein. Effect of cold development on improvement in electronbeam nanopatterning resolution and line roughness. J. Vac. Sci. Technol. B,
24(6):3061-3065, 2006.
[901 Y. Xia and G. M. Whitesides.
Soft lithography.
Annu. Rev. Mater. Sci.,
28(1):153-184, 1998.
[91] M. A. Unger, H.-P. Chou, T. Thorsen, A. Scherer, and S. R. Quake. Monolithic microfabricated valves and pumps by multilayer soft lithography. Science,
288(5463):113-116, 2000.
[92] M. A. Meitl, Z.-T. Zhu, V. Kumar, K. J. Lee, X. Feng, Y. Y. Huang, I. Adesida,
R. G. Nuzzo, and J. A. Rogers. Transfer printing by kinetic control of adhesion
to an elastomeric stamp. Nature Mater., 5(1):33-38, 2005.
[93] M. Ahn, R. K. Heilmann, and M. L. Schattenburg. Fabrication of ultrahigh
aspect ratio freestanding gratings on silicon-on-insulator wafers. J. Vac. Sci.
Technol. B, 25(6):2593-2597, 2007.
[94] Y. Tao, J. Boss, B. Moores, and C. Degen. Single-crystal diamond nanomechanical resonators with quality factors exceeding one million. Nat. Commun.,
5, 2014.
195]
B. Hausmann, I. Bulu, V. Venkataraman, P. Deotare, and M. Lonear. Diamond
nonlinear photonics. Nature Photon., 8(5):369-374, 2014.
[96] B. J. Hausmann, B. J. Shields,
Q.
Quan, Y. Chu, N. P. de Leon, R. Evans, M. J.
Burek, A. S. Zibrov, M. Markham, D. J. Twitchen, H. Park, M. D. Lukin, and
M. Lonear. Coupling of nv centers to photonic crystal nanobeams in diamond.
Nano Lett., 13(12):5791-5796, 2013.
[97] J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and R. D. Meade. Photonic
Crystals: Molding the Flow of Light. Princeton university press, 2011.
[98] M. Qi, E. Lidorikis, P. T. Rakich, S. G. Johnson, J. Joannopoulos, E. P. Ippen,
and H. I. Smith. A three-dimensional optical photonic crystal with designed
point defects. Nature, 429(6991):538-542, 2004.
[99] L. Li, T. Schr6der, E. H. Chen, M. Walsh, I. Bayn, J. Goldstein, 0. Gaathon,
M. E. Trusheim, M. Lu, J. Mower, M. Cotlet, M. L. Markham, D. J. Twitchen,
and D. Englund. Coherent spin control of a nanocavity-enhanced qubit in
diamond. Nat. Commun., 6:6173, 2015.
[100] M. McCord and R. Pease. Lift-off metallization using poly (methyl methacrylate) exposed with a scanning tunneling microscope. J. Vac. Sci. Technol. B,
6(1):293-296, 1988.
[101] S. M. George. Atomic layer deposition: An overview. Chem. Rev., 110(1):111-
131, 2009.
114
[102] V. M. Sundaram and S.-B. Wen. Fabrication of micro-optical devices at the
end of a multimode optical fiber with negative tone lift-off EBL. J. Micromech.
Microeng., 22(12):125016, 2012.
11031 G. Shambat, J. Provine, K. Rivoire, T. Sarmiento, J. Harris, and J. Vuckovic.
Optical fiber tips functionalized with semiconductor photonic crystal cavities.
Appl. Phys. Lett., 99(19):191102-191102, 2011.
[1041 T. Schr6der, A. W. Schell, G. Kewes, T. Aichele, and 0. Benson. Fiberintegrated diamond-based single photon source. Nano Lett., 11(1):198-202,
2010.
[1051 N. Felidj, J. Aubard, G. Levi, J. Krenn, A. Hohenau, G. Schider, A. Leitner, and F. Aussenegg. Optimized surface-enhanced raman scattering on gold
nanoparticle arrays. Appl. Phys. Lett., 82(18):3095-3097, 2003.
[1061 K. Nemoto, M. Trupke, S. J. Devitt, A. M. Stephens, B. Scharfenberger,
K. Buczak, T. N6bauer, M. S. Everitt, J. Schmiedmayer, and W. J. Munro.
Photonic architecture for scalable quantum information processing in diamond.
Phys. Rev. X, 4(3):031022, 2014.
[107] N. H. Nickerson, J. F. Fitzsimons, and S. C. Benjamin. Freely scalable quantum
technologies using cells of 5-to-50 qubits with very lossy and noisy photonic
links. Phys. Rev. X, 4(4):041041, 2014.
[1081 A. Young, C. Y. Hu, L. Marseglia, J. P. Harrison, J. L. O'Brien, and J. G.
Rarity. Cavity enhanced spin measurement of the ground state spin of an nv
center in diamond. New J. Phys., 11(1):013007 (9pp), 2009.
[109] Y.-S. Park, A. K. Cook, and H. Wang. Cavity qed with diamond nanocrystals
and silica microspheres. Nano Lett., 6(9):2075-2079, 2006.
[1101 S. Schietinger, T. Schrdder, and 0. Benson. One-by-one coupling of single defect
centers in nanodiamonds to high-q modes of an optical microresonator. Nano
Lett., 8(11):3911-3915, 09 2008/09/24/.
[111] J. Wolters, A. W. Schell, G. Kewes, N. Niisse, M. Schoengen, H. Ddscher,
T. Hannappel, B. L6chel, M. Barth, and 0. Benson. Enhancement of the zero
phonon line emission from a single nitrogen vacancy center in a nanodiamond
via coupling to a photonic crystal cavity. Appl. Phys. Lett., 97(14):141108, 2010.
[1121 M. J. Burek, N. P. de Leon, B. J. Shields, B. J. Hausmann, Y. Chu, Q. Quan,
A. S. Zibrov, H. Park, M. D. Lukin, and M. Lonear. Free-standing mechanical and photonic nanostructures in single-crystal diamond. Nano Lett.,
12(12):6084-6089, 2012.
[1131 A. Faraon, C. Santori, Z. Huang, V. Acosta, and R. Beausoleil. Coupling of
nitrogen-vacancy centers to photonic crystal cavities in monocrystalline dia-
mond. Phys. Rev. Lett., 109(3):33604, 2012.
115
[114] J. C. Lee, D. 0. Bracher, S. Cui, K. Ohno, C. A. McLellan, X. Zhang, P. Andrich, B. Alembn, K. J. Russell, A. P. Magyar, et al. Deterministic coupling
of delta-doped nitrogen vacancy centers to a nanobeam photonic crystal cavity.
Appl. Phys. Lett., 105(26):261101, 2014.
[1151 M. J. Burek, Y. Chu, M. S. Liddy, P. Patel, J. Rochman, S. Meesala, W. Hong,
Q.
Quan, M. D. Lukin, and M. Lonear. High quality-factor optical nanocavities
in bulk single-crystal diamond. Nat. Commun., 5:5718, 2014.
[116] J.-i. Fujita, M. Ishida, T. Ichihashi, T. Sakamoto, Y. Ochiai, T. Kaito, and
S. Matsui. Structure and resonant characteristics of amorphous carbon pillars
grown by focused-ion-beam-induced chemical vapor deposition. Jpn. J. Appl.
Phys., 41:4423, 2002.
[117] M. Hamada, T. Teraji, and T. Ito. Field-induced effects of implanted ga on
high electric field diamond devices fabricated by focused ion beam. Appl. Surf.
Sci., 216(1):65-71, 2003.
[1181 L. Li, E. H. Chen, J. Zheng, S. L. Mouradian, F. Dolde, T. Schr6der, S. Karaveli,
M. L. Markham, D. J. Twitchen, and D. Englund. Efficient photon collection
from a nitrogen vacancy center in a circular bullseye grating. Nano Lett., page
ASAP, 2015.
[119] M. Lipson. Silicon photonics: An exercise in self control.
1(1):18-19, January 2007.
Nature Photon.,
[120] I. Bayn, B. Meyler, J. Salzman, and R. Kalish. Triangular nanobeam photonic
cavities in single-crystal diamond. New J. Phys., 13(2):025018, 2011.
[1211 I. Bayn, S. Mouradian, L. Li, J. Goldstein, T. Schr6der, J. Zhang, E. Chen,
0. Gaathon, M. Lu, A. Stein, et al. Fabrication of triangular nanobeam waveguide networks in bulk diamond using single-crystal silicon hard masks. Appl.
PhUys. Ltt'l. , IUD :211101, 2U14.
[122] R. Ohta, Y. Ota, H. Takagi, N. Kumagai, K. Tanabe, S. Ishida, S. Iwamoto, and
Y. Arakawa. Electro-mechanical q factor control of photonic crystal nanobeam
cavity. Jpn. J. Appl. Phys., 52(4S):04CGO1, 2013.
[123] S. L. Mouradian, T. Schr6der, C. B. Poitras, L. Li, J. Goldstein, E. H.
Chen, J. Cardenas, M. L. Markham, D. J. Twitchen, M. Lipson, et al. The
scalable integration of long-lived quantum memories into a photonic circuit.
arXiv:1409.7965, 2014.
[1241 R. Patel, T. Schr6der, N. Wan, L. Li, S. Mouradian, E. H. Chen, and D. Englund. Efficient photon coupling from a diamond nitrogen vacancy centre by
integration with silica fibre. arXiv:1502.07849, 2015.
116
[1251 T. Schr6der, E. Chen, L. Li, M. Walsh, M. E. Trusheim, I. Bayn, and D. Englund. Targeted creation and purcell enhancement of nv centers within photonic
crystal cavities in single-crystal diamond. In CLEO: QELS_ Fundamental Science, pages FW1B-6. Optical Society of America, 2014.
[1261 L. Li, T. Schroeder, E. Chen, M. Walsh, I. Bayn, 0. Gaathon, M. Trusheim,
M. Lu, J. Mower, M. Cotlet, M. Markham, D. Twitchen, and D. Englund. Coherent spin control of a nanocavity-enhanced qubit in diamond. Nat. Commun.,
6:6173, 2015.
[127] L. Li, T. Schr6der, E. H. Chen, H. Bakhru, and D. Englund. One-dimensional
photonic crystal cavities in single-crystal diamond. Phot. Nano. Fund. Appl.,
15:130-136, June 2015.
[128] J. I. Cirac, P. Zoller, H. J. Kimble, and H. Mabuchi. Quantum State Transfer
and Entanglement Distribution among Distant Nodes in a Quantum Network.
Phys. Rev. Lett., 78(16):3221-24, April 1997.
[1291 H. J. Kimble. The quantum internet. Nature, 453(7198):1023-1030, 2008.
11301 H. Kim, R. Bose, T. C. Shen, G. S. Solomon, and E. Waks. A quantum logic
gate between a solid-state quantum bit and a photon. Nature Photon., 7, 2013.
[131] F. Dolde, I. Jakobi, B. Naydenov, N. Zhao, S. Pezzagna, C. Trautmann, J. Meijer, P. Neumann, F. Jelezko, and J. Wrachtrup. Room-temperature entanglement between single defect spins in diamond. Nature Phys., 8(2):1-5, February
2013.
[132] C. Su, A. D. Greentree, and L. C. L. Hollenberg. Towards a picosecond
transform-limited nitrogen-vacancy based single photon source. Opt. Express,
16(9):6240-6250, 2008.
[1331 S. Noda, M. Fujita, and T. Asano. Spontaneous-emission control by photonic
crystals and nanocavities. Nature Photon., 1:449 - 458, 2007.
[134] C. Santori, D. Fattal, and Y. Yamamoto. Single-photon devices and applications.
John Wiley & Sons, 2010.
[1351 M. Eichenfield, R. Camacho, J. Chan, K. J. Vahala, and 0. Painter.
A
picogram-and nanometre-scale photonic-crystal optomechanical cavity. Nature,
459(7246):550-555, 2009.
[136] A. Batalov, V. Jacques, F. Kaiser, P. Siyushev, P. Neumann, L. Rogers, R. McMurtrie, N. Manson, F. Jelezko, and J. Wrachtrup. Low temperature studies of
the excited-state structure of negatively charged nitrogen-vacancy color centers
in diamond. Phys. Rev. Lett., 102(19), May 2009.
117
[137] K. Srinivasan and 0. Painter. Optical fiber taper coupling and high-resolution
wavelength tuning of microdisk resonators at cryogenic temperatures. Appl.
Phys. Lett., 90(3):031114, 2007.
[1381 S. Mosor, J. Hendrickson, B. C. Richards, J. Sweet, G. Khitrova, H. M. Gibbs,
T. Yoshie, A. Scherer, 0. B. Shchekin, and D. G. Deppe. Scanning a photonic crystal slab nanocavity by condensation of xenon. Appl. Phys. Lett.,
87(14):141105, 2005.
[139] R. Hanson, 0. Gywat, and D. D. Awschalom. Room-temperature manipulation
and decoherence of a single spin in diamond. Phys. Rev. B, 74(16):161203,
October 2006.
[140] S. G. Carter, T. M. Sweeney, M. Kim, C. S. Kim, D. Solenov, S. E. Economou,
T. L. Reinecke, L. Yang, A. S. Bracker, and D. Gammon. Quantum control of a
spin qubit coupled to a photonic crystal cavity. Nature Photon., 7(4):329-334,
2013.
[1411 S. Gr6blacher, J. T. Hill, A. H. Safavi-Naeini, J. Chan, and 0. Painter. Highly
efficient coupling from an optical fiber to a nanoscale silicon optomechanical
cavity. Appl. Phys. Lett., 103(18):181104, 2013.
[1421 K. Nemoto, M. Trupke, S. J. Devitt, A. M. Stephens, B. Scharfenberger,
K. Buczak, T. N6bauer, M. S. Everitt, J. Schmiedmayer, and W. J. Munro.
Photonic architecture for scalable quantum information processing in diamond.
Phys. Rev. X, 4:031022, Aug 2014.
[1431 A. Tartakovskii. Quantum emitters: Route to indistinguishable photons. Nature
Photon., 8(6):427-429, June 2014.
[144] J. Wolters, J. Kabuss, A. Knorr, and 0. Benson. Deterministic and robust
entanglement of nitrogen vacancy centers using low-Q photonic crystal cavities.
Phys. Rev. A, 89(5):060303, May 2014.
[145] G. Burkard and D. D. Awschalom. A cavity-mediated quantum CPHASE gate
between NV spin qubits in diamond. arXiv:1402.6351, February 2014.
[146] P. Neumann, J. Beck, M. Steiner, F. Rempp, H. Fedder, P. R. Hemmer,
J. Wrachtrup, and F. Jelezko. Single-shot readout of a single nuclear spin.
Science, 329(5991):542-544, 2010.
[147] 0. Benson. Assembly of hybrid photonic architectures from nanophotonic constituents. Nature, 480(7376):193-199, December 2011.
[1481 J. Riedrich-M61ler, C. Arend, C. Pauly, F. Miicklich, M. Fischer, S. Gsell,
M. Schreck, and C. Becher. Deterministic coupling of a single silicon-vacancy
color center to a photonic crystal cavity in diamond. Nano Lett., 14(9):52815287, August 2014.
118
11491 Y. Chu, N. de Leon, B. Shields, B. Hausmann, R. Evans, E. Togan, M. J.
Burek, M. Markham, A. Stacey, A. Zibrov, et al. Coherent optical transitions
in implanted nitrogen vacancy centers. Nano Lett., 14(4):1982-1986, 2014.
[150] J. Wolters, N. Sadzak, A. W. Schell, T. Schrdder, and 0. Benson. Measurement
of the ultrafast spectral diffusion of the optical transition of nitrogen vacancy
centers in nano-size diamond using correlation interferometry. Phys. Rev. Lett.,
110(2):027401, 2013.
[1511 D. D. Awschalom, L. C. Bassett, A. S. Dzurak, E. L. Hu, and J. R. Petta.
Quantum spintronics: Engineering and manipulating atom-like spins in semiconductors. Science, 339(6124):1174-1179, March 2013.
[152] F. Jelezko and J. Wrachtrup.
Single defect centres in diamond:
A review.
Physica Status Solidi (a), 203(13):3207-3225, October 2006.
[153] J. M. Taylor, P. Cappellaro, L. Childress, L. Jiang, D. Budker, P. R. Hemmer,
A. Yacoby, R. Walsworth, and M. D. Lukin. High-sensitivity diamond magnetometer with nanoscale resolution. Nature Phys., 4(10):810-816, September
2008.
[154] G. Kucsko, P. Maurer, N. Yao, M. Kubo, H. Noh, P. Lo, H. Park, and M. Lukin.
Nanometre-scale thermometry in a living cell. Nature, 500(7460):54-58, 2013.
[155] A. 0. Sushkov, N. Chisholm, I. Lovchinsky, M. Kubo, P. K. Lo, S. D. Bennett,
D. Hunger, A. Akimov, R. L. Walsworth, H. Park, and M. D. Lukin. Alloptical sensing of a single-molecule electron spin. Nano Lett., 14(11):6443-6448,
November 2014.
[1561 L. Rondin, J. Tetienne, T. Hingant, J. Roch, P. Maletinsky, and V. Jacques.
Magnetometry with nitrogen-vacancy defects in diamond. Rep. Prog. Phys.,
77(5):056503, 2014.
[157] G. Waldherr, Y. Wang, S. Zaiser, M. Jamali, T. Schulte-Herbriggen, H. Abe,
T. Ohshima, J. Isoya, J. Du, P. Neumann, and J. Wrachtrup. Quantum error
correction in a solid-state hybrid spin register. Nature, 506(7487):204-207, 2014.
[158] T. H. Taminiau, J. Cramer, T. van der Sar, V. V. Dobrovitski, and R. Hanson.
Universal control and error correction in multi-qubit spin registers in diamond.
Nature Nanotech., 9:171-176, 2014.
[159] M. S. Blok, C. Bonato, M. L. Markham, D. J. Twitchen, V. V. Dobrovitski, and
R. Hanson. Manipulating a qubit through the backaction of sequential partial
measurements and real-time feedback. Nature Phys., 10(3):189-193, March
2014.
[160] 'J. Hadden, J. Harrison, A. Stanley-Clarke, L. Marseglia, Y.-L. Ho, B. Patton,
J. O'Brien, and J. Rarity. Strongly enhanced photon collection from diamond
119
defect centers under microfabricated integrated solid immersion lenses. Appl.
Phys. Lett., 97(24):241901, 2010.
[161] L. Marseglia, J. Hadden, A. Stanley-Clarke, J. Harrison, B. Patton, Y.-L. Ho,
B. Naydenov, F. Jelezko, J. Meijer, P. Dolan, J. Smith, J. Rarity, and J. O'Brien.
Nanofabricated solid immersion lenses registered to single emitters in diamond.
Appl. Phys. Lett., 98(13):133107, 2011.
[162] E. Neu, P. Appel, M. Ganzhorn, J. Miguel-Sinchez, M. Lesik, V. Mille,
V. Jacques, A. Tallaire, J. Achard, and P. Maletinsky. Photonic nano-structures
on (111)-oriented diamond. Appl. Phys. Lett., 104(15):153108, 2014.
[163] S. A. Momenzadeh, R. J. Stdhr, F. Favaro de Oliveira, A. Brunner,
A. Denisenko, S. Yang, F. Reinhard, and J. Wrachtrup. Nano-engineered diamond waveguide as a robust bright platform for nanomagnetometry using shallow nitrogen vacancy centers. Nano Lett., 15(1):165-169, 2014.
[164] D. Riedel, D. Rohner, M. Ganzhorn, T. Kaldewey, P. Appel, E. Neu, R. Warburton, and P. Maletinsky. Low-loss broadband antenna for efficient photon
collection from a coherent spin in diamond. Phys. Rev. Appl., 2(6):064011,
2014.
[165] J. T. Choy, I. Bulu, B. J. Hausmann, E. Janitz, L-C. Huang, and M. Loncar.
Spontaneous emission and collection efficiency enhancement of single emitters in
diamond via plasmonic cavities and gratings. Appl. Phys. Lett., 103(16):161101,
2013.
[166] B. Naydenov, F. Dolde, L. T. Hall, C. Shin, H. Fedder, L. C. L. Hollenberg,
F. Jelezko, and J. Wrachtrup. Dynamical decoupling of a single-electron spin
at room temperature. Phys. Rev. B, 83(8), February 2011.
[167] S. Ates, L. Sapienza, M. Davanco, A. Badolato, and K. Srinivasan. Bright singlephoton emission from a quantum dot in a circular bragg grating microcavity.
IEEE J. Sel. Top. Quant. Electron., 18(6):1711-1721, 2012.
[1681 C. Lee, E. Gu, M. Dawson, I. Friel, and G. Scarsbrook. Etching and microoptics fabrication in diamond using chlorine-based inductively-coupled plasma.
Diam. Relat. Mater., 17(7):1292-1296, 2008.
[1691 L. Novotny and B. Hecht.
press, 2012.
Principles of nano-optics. Cambridge university
[170] R. Brouri, A. Beveratos, J.-P. Poizat, and P. Grangier. Photon antibunching in
the fluorescence of individual color centers in diamond. Opt. Lett., 25(17):1294-
1296, 2000.
[1711 M. Berthel, 0. Mollet, G. Dantelle, T. Gacoin, S. Huant, and A. Drezet. Photophysics of single nitrogen-vacancy centers in diamond nanocrystals. Phys. Rev.
B, 91(3):035308, 2015.
120
[1721 L. Li, E. H. Chen, J. Zheng, S. L. Mouradian, F. Dolde, T. Schr6der, S. Karaveli, M. L. Markham, D. J. Twitchen, and D. Englund. Efficient photon collection from a nitrogen vacancy center in a circular bullseye grating. Nano Lett.,
15(3):1493-1497, 2015.
[1731 L. Childress, M. G. Dutt, J. Taylor, A. Zibrov, F. Jelezko, J. Wrachtrup,
P. Hemmer, and M. Lukin. Coherent dynamics of coupled electron and nu-
clear spin qubits in diamond. Science, 314(5797):281-285, 2006.
[1741 W. H. P. Pernice, C. Schuck, 0. Minaeva, M. Li, G. N. Goltsman, A. V.
Sergienko, and H. X. Tang. High-speed and high-efficiency travelling wave
single-photon detectors embedded in nanophotonic circuits. Nat. Commun.,
3:1325, December 2012.
[1751 G. Reithmaier, F. Flassig, P. Hasch, S. Lichtmannecker, K. Miller, J. Vuekovi6,
R. Gross, M. Kaniber, and J. Finley. A carrier relaxation bottleneck probed
in single InGaAs quantum dots using integrated superconducting single photon
detectors. Appl. Phys. Lett., 105(8):081107, August 2014.
[1761 M. Grinolds, P. Maletinsky, S. Hong, M. Lukin, R. Walsworth, and A. Yacoby.
Quantum control of proximal spins using nanoscale magnetic resonance imaging.
Nature Phys., 7(9):687-692, 2011.
[1771 D. M. Toyli, C. D. Weis, G. D. Fuchs, T. Schenkel, and D. D. Awschalom.
Chip-scale nanofabrication of single spins and spin arrays in diamond. Nano
Lett., 10(8):3168-72, August 2010.
[1781 I. Aharonovich, S. Castelletto, D. Simpson, C. Su, A. Greentree, and S. Prawer.
Diamond-based single-photon emitters. Rep. Prog. Phys., 74(7):076501, 2011.
[179] L. Robledo, L. Childress, H. Bernien, B. Hensen, P. F. Alkemade, and R. Hanson.
High-fidelity projective read-out of a solid-state spin quantum register.
Nature, 477(7366):574-578, 2011.
[1801 P. Neumann, I. Jakobi, F. Dolde, C. Burk, R. Reuter, G. Waldherr, J. Honert, T. Wolf, A. Brunner, J. H. Shim, S. Dieter, H. Sumiya, J. Isoya, and
J. Wrachtrup. High-precision nanoscale temperature sensing using single de-
fects in diamond. Nano Lett., 13(6):2738-2742, 2013.
11811 A. Laraoui, J. S. Hodges, and C. A. Meriles. Nitrogen-vacancy-assisted magnetometry of paramagnetic centers in an individual diamond nanocrystal. Nano
Lett., 12(7):3477-3482, 2012.
11821 N. Mohan, C.-S. Chen, H.-H. Hsieh, Y.-C. Wu, and H.-C. Chang. In vivo
imaging and toxicity assessments of fluorescent nanodiamonds in caenorhabditis
elegans. Nano Lett., 10(9):3692-3699, 2010.
121
[1831 J. Tisler, G. Balasubramanian, B. Naydenov, R. Kolesov, B. Grotz, R. Reuter,
J.-P. Boudou, P. A. Curmi, M. Sennour, A. Thorel, et al. Fluorescence and spin
properties of defects in single digit nanodiamonds. ACS Nano, 3(7):1959-1965,
2009.
11841 M. E. Trusheim, L. Li, A. Laraoui, E. H. Chen, H. Bakhru, T. Schr6der,
0. Gaathon, C. A. Meriles, and D. Englund. Scalable fabrication of high purity
diamond nanocrystals with long-spin-coherence nitrogen vacancy centers. Nano
Lett., 14(1):32-36, 2013.
[1851 Z.-H. Wang and S. Takahashi. Spin decoherence and electron spin bath noise
of a nitrogen-vacancy center in diamond. Phys. Rev. B, 87(11):115122, 2013.
[1861 N. Nusran, M. U. Momeen, and M. G. Dutt. High-dynamic-range magnetometry
with a single electronic spin in diamond. Nature Nanotech., 7(2):109-113, 2012.
[187] L. M. Pham, N. Bar-Gill, C. Belthangady, D. Le Sage, P. Cappellaro, M. D.
Lukin, A. Yacoby, and R. L. Walsworth. Enhanced solid-state multispin metrology using dynamical decoupling. Phys. Rev. B, 86(4):045214, 2012.
[1881 H. Mamin, M. Kim, M. Sherwood, C. Rettner, K. Ohno, D. Awschalom, and
D. Rugar. Nanoscale nuclear magnetic resonance with a nitrogen-vacancy spin
sensor. Science, 339(6119):557-560, 2013.
1189] T. Staudacher, F. Shi, S. Pezzagna, J. Meijer, J. Du, C. Meriles, F. Reinhard,
and J. Wrachtrup. Nuclear magnetic resonance spectroscopy on a (5-nanometer)
3 sample volume. Science, 339(6119):561-563, 2013.
[190] D. Le Sage, K. Arai, D. Glenn, S. DeVience, L. Pham, L. Rahn-Lee, M. Lukin,
A. Yacoby, A. Komeili, and R. Walsworth. Optical magnetic imaging of living
cells. Nature, 496(7446):486-489, 2013.
[1911 J. Rabeau, A. Stacey, A. Rabeau, S. Prawer, F. Jelezko, I. Mirza, and
J. Wrachtrup. Single nitrogen vacancy centers in chemical vapor deposited
diamond nanocrystals. Nano Lett., 7(11):3433-3437, 2007.
[1921 Y. Zou, Y. Yang, W. Zhang, Y. Chong, B. He, I. Bello, and S. Lee. Fabrication
of diamond nanopillars and their arrays. Appl. Phys. Lett., 92(5):053105, 2008.
[193] W. Smirnov, A. Kriele, N. Yang, and C. Nebel. Aligned diamond nano-wires:
Fabrication and characterisation for advanced applications in bio-and electrochemistry. Diam. Relat. Mater., 19(2):186-189, 2010.
11941 P. Maletinsky, S. Hong, M. S. Grinolds, B. Hausmann, M. D. Lukin, R. L.
Walsworth, M. Loncar, and A. Yacoby. A robust scanning diamond sensor
for nanoscale imaging with single nitrogen-vacancy centres. Nature Nanotech.,
7(5):320-324, 2012.
122
[1951 B. Naydenov, V. Richter, J. Beck, M. Steiner, P. Neumann, G. Balasubramanian, J. Achard, F. Jelezko, J. Wrachtrup, and R. Kalish. Enhanced generation
of single optically active spins in diamond by ion implantation. Appl. Phys.
Lett., 96(16):163108, 2010.
[1961 Y. Chang, H. Lee, K. Chen, C. Chang, D. Tsai, C. Fu, T. Lim, Y. Tzeng,
C. Fang, C. Han, et al. Mass production and dynamic imaging of fluorescent
nanodiamonds. Nature Nanotech., 3(5):284-288, 2008.
[1971 T. Staudacher, F. Ziem, L. Hdussler, R. St6hr, S. Steinert, F. Reinhard,
J. Scharpf, A. Denisenko, and J. Wrachtrup. Enhancing the spin properties
of shallow implanted nitrogen vacancy centers in diamond by epitaxial overgrowth. Appl. Phys. Lett., 101(21):212401, 2012.
[1981 G. De Lange, D. Riste, V. Dobrovitski, and R. Hanson. Single-spin magnetometry with multipulse sensing sequences. Phys. Rev. Lett., 106(8):080802,
2011.
123
Download