A MEMS Valve for the MIT Microengine (1999)

A MEMS Valve for the MIT Microengine
by
Xue'en Yang
B.S. Mechanical Engineering
University of California, Berkeley (1999)
Submitted to the Department of Mechanical Engineering
in partial fulfillment of the requirements for the degree of
Master of Science in Mechanical Engineering
at the
MASSACHUSETTS INSTITUTE OF TECHNOLOGY
V"
May2001
©2001 Massachusetts Institute of Technology
All Rights Reserved
Author ..........................
Depirth
C ertified by .................................................
t of Mechanical Engineering
May 22, 2001
. ..
. ........ ....................
..... ....
Martin A. Schmidt
Professor of Electrical Engineering and Computer Science
Thesis Supervisor
A ccepted by ................................
.......
.
.........................................
Ain Sonin
Professor, Department of Mechanical Engineering
Chairman, Department Committee on Graduate Studies
BARKER
MASSAHUSETS TTUTE
OF'TEGHN OLOGY
JUL
16
001
LIBRARIES
2
A MEMS Valve for the MIT Microengine
by
Xue'en Yang
Submitted to the Department of Mechanical Engineering
on May 22, 2000, in partial fulfillment of the requirements for
the Degree of Master of Science in Mechanical Engineering
Abstract
A microfabricated, electro-statically actuated, on/off gas valve made of silicon material
has been designed, fabricated and tested. The valve will be a fuel control component in a
micro-scale gas turbine engine. Room-temperature testing results using nitrogen have
demonstrated repeatable valve functions and choked flow characteristics.
MIT has initiated a project to build a micro-scale gas turbine generator for high power
density output in applications such as portable power source or micro air vehicles. For
closed-loop operation, a valve is required to be able to withstand 10 atm upstream pressure
under high-temperature operating environment (700K), and result in a maximum flow rate
of 600 sccm while has very low gas leakage rate. These system requirements can not be
met by previously reported MEMS valve, many of which are designed for low temperature or low pressure applications.
The microengine prototype valve comprises of three fusion-bonded SOI wafers. Electrostatic-actuation is used to lift the silicon boss actuator supported on four L-shaped tethers
and open against high pressure. Polysilicon is chosen as the seat material for high-temperature operating environment. The flow path of the valve is designed to be choked and
because of the micro-scale nature, both viscous and compressible effects are taken into
consideration in flow analysis with axis-symmetric geometric.
It is demonstrated that at operating pressure of 10 atmosphere, the valve can be opened at
less than 150 V with power consumption that is less than 0.04 mW. The gas leakage at the
same pressure is estimated to be less than 0.03 sccm Helium, while the open flow rate is
43 sccm (3 g/hr) nitrogen. Commercial fluid analysis package CFD FLUET is used to
model the flow and very good agreement with experimental data is obtained.
In the future, an array of 20 on/off valves (to obtain 5% accuracy in flow rate) will be used
to accomplish the fuel control scheme of the microengine.
Thesis Supervisor: Martin Schmidt
Title: Professor of Electrical Engineering and Computer Science
4
Table of Contents
Table of Contents .............................................................................................................................. 5
List of Figures ................................................................................................................................... 7
List of Tables ................................................................................................................................... I I
Acknowledgments ........................................................................................................................... 13
Nomenclature .................................................................................................................................. 15
1. Introduction ................................................................................................................................ 19
1. 1 Background .................................................................................................................... 19
1.2 The Valve Team and Facility ......................................................................................... 21
1.3 Thesis Organization ........................................................................................................ 22
2. Design Process ........................................................................................................................... 23
2.1 System Requirem ents ..................................................................................................... 23
2.2 Design of Prototype Valve ............................................................................................. 26
2.2.1 Design Schematic .............................................................................................. 26
2.2.2 Design History ................................................................................................... 29
2.2.3 Design Parameters ............................................................................................. 29
2.3 Summ ary ........................................................................................................................ 41
3. M icrofabrication ......................................................................................................................... 43
3.1 Fabrication Process ........................................................................................................ 44
3.2 Fabrication Considerations ............................................................................................. 54
3.3 W afer Bonding and Diesawing ...................................................................................... 55
3.4 Summ ary ........................................................................................................................ 58
4. Test Package and Testing Setup ................................................................................................. 59
4.1 Packaging ....................................................................................................................... 59
4.2 Testing Setup .................................................................................................................. 61
4.2.1 Electrode Characterization ................................................................................ 61
4.2.2 System Characterization .................................................................................... 62
4.2.3 Flow Characterization ....................................................................................... 64
4.3 Summ ary ........................................................................................................................ 66
5. M odeling and Testing ................................................................................................................ 67
5.1 Electrode Characterization ............................................................................................. 68
5.2 System Characterization ................................................................................................. 71
5.2.1 Quasi-Static M ode ............................................................................................. 72
5.2.2 Dynam ic mode ................................................................................................... 75
5.3 Flow Characterization .................................................................................................... 78
5.3.1 Valve Function .................................................................................................. 85
5.3.2 Gas Leakage ...................................................................................................... 88
5.4 Summ ary ........................................................................................................................ 89
6. Conclusions and Future W ork .................................................................................................... 91
6.1 Conclusions .................................................................................................................... 91
6.2 Future W ork ................................................................................................................... 92
Appendix A M ask Drawings .......................................................................................................... 97
Appendix B Valve Process Flow ................................................................................................. 117
B.1 Top W afer .................................................................................................................... 117
B.2 Boss W afer .................................................................................................................. 119
B.3 Seal W afer ................................................................................................................... 123
Appendix C Mask Drawings ........................................................................................................ 127
5
6
List of Figures
Figure 1.1. Schematic of the control system in the microengine. (Drawing by Diana Park.) ........ 20
Figure 1.2. Cross section of the microengine. (Drawing by Diana Park.).................................21
Figure 2.1. 3D schematic of the three structural layers that comprise the design the first generation
of prototype valve.........................................................................................................27
Figure 2.2. Cross-sectional view of the three structural layers..................................................28
Figure 2.3. Top view of boss supported by tethers. A) Straight L-shaped. B) Revised L-shaped tethers w ith rounded corners............................................................................................
30
Figure 2.4. Force balance when a voltage is applied to open the valve in its closed position........34
Figure 2.5. Breakdown voltage of nitrogen as function of pd from the generalized Townsend theory
(original data points from Meek & Craggs [13]).....................................................36
Figure 2.6. Cross-sectional view of the valve seat geometry.....................................................37
Figure 3.1. Fabrication flow for top wafer.................................................................................
46
Figure 3.2. SEM im age of top w afer...............................................................................................47
Figure 3.3. Fabrication flow of boss wafer .................................................................................
48
Figure 3.4. SEM im age of boss w afer.............................................................................................49
Figure 3.5. Fabrication flow of bottom wafer.............................................................................51
Figure 3.6. SEM images of the seal wafer showing two different magnifications............52
Figure 3.7. AFM photos of polysilicon and silicon surfaces scanning on a 5 by 5 area. The grains
and stripes shown on the silicon photo are the scan line artifacts. ..........................
53
Figure 3.8. Profiles of oxide undercut A) without using step-oxide etch and B) after using step-oxide
etch ................................................................................................................................
54
Figure 3.9. Results of wafer bonding of the three-wafer stack after annealing. The size of the fringe
is a measure of the local gap between the surfaces caused by particles..................56
Figure 3.10. Valve schem atic as bonded.....................................................................................
57
Figure 3.11. Pictures of the valve die showing the top view and contact pads for the various electrodes in the bottom view . ........................................................................................
57
Figure 4.1. Assembly of the valve chip package that attains both flow and electrical connections for
testing purpose. Drawing by Alexander Hoelke.......................................................60
Figure 4.2. Test package on an air-floating table........................................................................61
Figure 4.3. The circuit used to actuate the valve using a voltage source and obtain voltage and current measurem ents. .......................................................................................................
62
7
Figure 4.4. 2D and 3D images of the tethers taken by Wyko as they are deflected to the upmost position. The tether deflection can be read from the 2D profile...................................63
Figure 4.5. A chart representation of the flow test system showing the nitrogen flow path...........65
Figure 5.1. Cross-sectional schematic of the second generation valve to show the probes and the
four contact pads.......................................................................................................
68
Figure 5.2. I-V curves of the four contact pads measured using HP semiconductor analyzer by
sweeping -100V to 100 V across the same contact pad............................................69
Figure 5.3. I-V characteristics between the two parallel plate electrodes...................................70
Figure 5.4. Lumped model of the electrostatic actuator.............................................................71
Figure 5.5. Plot of equilibrium position of boss as function of voltage using measurement data from
T able 5.1. ............................................................................................................
73
Figure 5.6. Plots of boss deflection measured using Wyko vs. voltage applied between the top actuator and the boss for two different dies. Also in the plot is the theoretical curve using
m easured dim ensions................................................................................................
74
Figure 5.7. Tether deflection as voltage is applied across boss and bottom electrode. ..............
75
Figure 5.8. Step response of the boss with a step voltage of 41 V............................................
76
Figure 5.9. Undamped natural frequency of the system as a function of the voltage input............77
Figure 5.10. Valve open flow rate measured at different absolute pressures of gas inlet for two dies
w ith different seat geom etry. ...................................................................................
Figure 5.11. Simplified flow geometry showing the flow direction.........................................
78
80
Figure 5.12. Open flow rate as function of absolute pressure at low pressure range for Die I. The
model matches the experimental data well at pressure lower than about 1.5 atm........81
Figure 5.13. Open flow rate as function of pressure in high pressure region. The model neglects visous effect. ....................................................................................................................
Figure 5.14. Velocity contours in flow region for 1.2 atm upstream pressure. ..........................
82
83
Figure 5.15. Flow profile in A) the throat and B) the channel showing subsonic flow that is fully
developed......................................................................................................................83
Figure 5.16. Mach number and pressure contours for 10 atm upstream pressure. ....................
84
Figure 5.17. At 10 atm upstream pressure, A) shows choked flow in the throat and B) shows pressure drops on the boss along the valve seat............................................................
84
Figure 5.18. Voltage required to open the valve against applied upstream differential pressure...85
Figure 5.19. Leakage current between the boss and top electrode as voltage is applied for Die I. 86
Figure 5.20. Flow rate at certain pressure as voltage is gradually increased to open the valve......87
8
Figure 5.21. Helium leakage rate of two dies with different seat areas....................88
Figure 6.1. Valves distributed on microengine chip. ..................................................................
93
Figure A.1. Mask: ALIGN, wafer level, with streets..................................................................98
Figure A.2. Mask: TOPELEC, die level, with streets...............................................................99
Figure A.3. Mask: Top_.ELEC, device level.................................................................................100
Figure A.4. Mask: TOPOX_2, die level, with streets .................................................................
101
Figure A.5. Mask: TOPTHROUGH, die level, with streets .......................................................
102
Figure A.6. Mask: TOPTHROUGH, device level ......................................................................
103
Figure A.7. Mask: BOSSOX1, die level, with streets.................................................................104
Figure A.8: Mask: BOSSFEET, device level..............................................................................105
Figure A.9. Mask: BOSSOX-2, die level...................................................................................106
Figure A. 10. Mask: BOSSTETHER, die level, with streets .......................................................
107
Figure A. 11. Mask: BOSSTETHER, device level......................................................................108
Figure A.12. Mask: BOSSDEEP, die level, with streets ............................................................
109
Figure A.13. Mask: STREETS, wafer level..................................................................................110
Figure A. 14. Mask: SEALOXIDE, die level, with streets ..........................................................
111
Figure A.15. Mask: SEALOX, device level ...............................................................................
112
Figure A.16. Mask: SEALBACK, die level, with streets ...........................................................
113
Figure A. 17. Mask: SEALSEAT, die level, with streets ............................................................
114
Figure A.18. Mask: SEALCHANNEL, die level, with streets ...................................................
115
Figure C. 1. AutoCAD layout of the valve package: window plate ..............................................
128
Figure C.2. AutoCAD layout of the valve package: top plate ......................................................
129
Figure C.3. AutoCAD layout of the valve package: spacer plate .................................................
130
Figure C.4. AutoCAD layout of the valve package: bottom plate ................................................
131
Figure C.5. AutoCAD layout of the valve package: pin holder....................................................132
Figure C.6. . AutoCAD layout of the valve package: pin holder..................................................133
9
10
List of Tables
Table 2.1. Microengine system requirements for fuel valve and test valve................................24
Table 2.2. Design parameters of valve geometry........................................................................
40
Table 3.1. Wafer layout and the status of dies as fabricated.......................................................45
Table 3.2. Types of SOI wafers used for the three structural layers..........................................45
Table 5.1. Planar dimensions of fabricated valve as well as constants calculated from these dimension m easurem ents. .........................................................................................................
67
Table 5.2. Pull-in Voltages of different dies for both the top electrode and the bottom electrode.
*Die VI has a tether that is buckled and is not considered in statistics.......................74
Table 5.3. Valve performance for four dies at 10 atmosphere upstream pressure......................87
11
12
Acknowledgments
I would like to thank foremost my advisor Professor Martin Schmidt, who has given
me the opportunity for this invaluable research, who has mentored me throughout the
project, and who has always supported his students in many ways. This project could not
have been accomplished without Dr. Alexander Hoelke, who initiated the design, and
taught me through everything about valves, MEMS, graduate life, etc. Vielen Dank, Alex!
I also owe much gratitude to Professor Alan Epstein, who has always guided me
through the project, and Professor Jeffrey Lang, Dr. Auturo Ayon, Dr. Stuart Jacobsen
and Dr. Stephen Umans for their advice on the different aspects of the valve.
It has been a great experience to work with the Schmidt group, namely, Samara, Joel,
Ole, Becky, Christine, Sam and Zony. I would like to thank them sincerely for their friendship and the help they never hesitate to provide. There is another group that I owe many
thanks; they are the people I have spent the most time with for a long while. Tom, Ravi,
Dennis, Yoav et al., thanks for sharing the many experiences and providing many helps in
the cleanroom! I owe many thanks to the people in the microengine group, whom I learn
everything about microengine from. I need to thank Dr. Xin Zhang specifically for her
exceptional help on wafer bonding and her bountiful advice.
I am also grateful to Dr. Vicky Diadiuk and the MTL staff, especially Kurt Broderik,
Paul Tierney and Bernard Alamariu for their training and caring. I would like to thank Dr.
Carol Livermor for her patience with my questions and what I did to the Microvision system, Paulo Lozano for his prompt help with helium leak detector, Yifang Guo for his generous assistance in using CFD FLUENT and many others who have helped and shared the
experiences in various ways.
The most special thank goes to Simon, who has added different colors to my life and
has tried to change my perspectives on many things for the past year. Le printemps est ici,
Simon!
I owe the most to my parents. I thank them deeply from my heart for giving me the
guidance and strength: wuyan ganji.
13
14
Nomenclature
Greek
interfacial tension from air to liquid interface
S
permittivity of air
dynamic viscosity
V
specific heat ratio
0
water contact angle
Or
water contact angle on roughened surface
T
time constant
COC
cutoff frequency
(On
natural frequency
Roman
A
area where choked flow occurs in the flow path (the throat)
Aactuator
area of actuator
b
damping constant
C1
constant used in Townsend's theory
C2
constant used in Townsend's theory
d
gap used in Paschen's law
D
boss diameter
ds
gap distance when spark breakdown occurs
E
young's modulus
Es
electrical field strength
F
capillary force
Ftank
pressure force acting on the boss from the fuel tank
Ftether
tether force
15
g
gap between the two parallel plates in the capacitor
go
the original gap between the two parallel plates
go
gap between the two parallel plates at an operational point
gmnin
minimum gap between the two parallel plate electrodes
h
distance of gap between boss and valve seat when valve is fully open
I
flow region in the seat area
I-XII
die numbers
II
flow region in the channel area
k
spring constant
Kbend
minor loss coefficient in the bend
Kinlet
inlet minor loss coefficient
1
tether length
m
mass of boss
m
mass flow rate
p
pressure used in Paschen's law
P
fluid pressure
PO
stagnation pressure
Po
fluid pressure at an operational point
P1
pressure at seat inlet
P2
pressure at seat outlet
P3
pressure at channel inlet
Ptank
pressure of tank
Q
Q1
charge on capacitor
Q2
volume flow rate in flow region II
R
resistance
R
universal gas constant
r;
radius of water droplet
r,
radial dimension in flow region I
r2
radial dimension in flow region II
r;
radius of flow channel / inner radius of valve seat
16
volume flow rate in flow region I
ro
t
outer radius of valve seat
TO
stagnation temperature
V
voltage
VB
voltage applied to boss
VBT
voltage applied to boss, with top electrode grounded
VBS
voltage applied to boss, with bottom electrode grounded
VTB
voltage applied to top electrode, with boss grounded
voltage applied to bottom electrode, with boss grounded
VSB
tether thickness
VL
voltage applied to bottom electrode
voltage applied to landing pad
VT
voltage applied to top electrode
VP;
pull-in voltage
Vr
velocity in radial direction
VS
w
spark voltage used in Paschen's law
x1
state of charge on capacitor
x10
charge on capacitor at an operational point
X2
state of boss displacement
x2o
boss displacement at an operational point
X3
state of boss velocity
z
displacement of boss from the original position
zI
vertical axis from seat used in flow region I
Z2
vertical axis from seat used in flow region II
VS
tether width
Acronyms
AFM
atomic force microscope
BOE
buffered oxide etch (HF)
17
BOX
buried oxide
CFD
computational fluid dynamics
DRIE
deep reactive ion etch
DSP
double side polished
FEM
finite element analysis
FIB
focused ion beam
LPCVD
low pressure chemical vapor deposition
MEMS
micro-electro-mechanical system
SOI
silicon on insulator
STS
deep reactive ion etcher from Silicon Technology Limit
VLSI
very large scale integration
18
Chapter
1
Introduction
1.1 Background
This thesis examines the design, analysis, fabrication, packaging and testing of a MEMS
(Micro-Electro-Mechanical Systems) fuel valve for the application of a micro gas turbine
engine.
MIT has initiated a research project on micro power systems, with an aim to build
a micro-scale gas turbine generator to produce high density power. This heat engine is
designed to produce tens of watts of electrical power per cubic centimeter, which is about
ten times the energy density of batteries. The microengine technology can be used to
power micro-air vehicles, micro-fluidic control, miniature cooling systems and microrocket engines. The microengine will be built using semiconductor fabrication techniques
(microfabrication) developed in the microelectronic industry. The structural material is silicon and silicon carbide, which possess good mechanical properties such as high strength
and toughness.
For self-contained applications, the design of a microengine includes a built-in control
system for fuel metering as shown in Figure 1. This control scheme includes a start valve
for initial engine stabilization and a fuel valve for fuel level control in response to the sig-
19
Chapter 1: Introduction
20
nals of pressure, temperature and engine spinning speed. Therefore, the valve must be able
to modulate the flow according to the input control signal.
Pressurized
Fuel Tank
Fuel Valve
User
Interface
Fuel Line
Start
Valve
Start Control
Control
Signal
Pressure
Tomarature,
RPM
E
Igniter
Micro Gas Turbine
Figure 1.1. Schematic of the control system in the microengine. (Drawing by Diana
Park.)
Figure 2 is the cross-sectional view of the microengine, showing the compressor, combustor, turbine supported by air bearings and integrated electric generator. The fuel system
consists of the fuel manifold and the fuel injectors, which supply fuel to the combustor
from the fuel tank (not shown in the picture). A valve is placed between the fuel tank and
the plenum to modulate the fuel flow requested by the controller. Microfabrication technology constrains the design of the microengine to be a 2D-extruded structure. Therefore,
the valve will be designed to stack on top of the engine.
IEMM
Section
1.2: The Valve Team and Facility
21
Starter/
Generator
Flame
Fuel
Fuel
Compressor
Holders Manifold Injectors Diffuser
Rotor
\Vanes
Bades
Gas
Inlet Path
7I~i
Combustion
Chamber
Turbine Turbine Exhaust
Nozzle Rotor Nozzle
Vanes Blades
Centerline
of Rotation
Rotor
Figure 1.2. Cross section of the microengine. (Drawing by Diana Park.)
1.2 The Valve Team and Facility
The valve team consists of post doctoral associate, Alexander Hoelke, me and an advisory
committee including Professors Alan Epstein, Martin Schmidt, Jeffrey Lang, Dr. Arturo
Ayon, Dr. Stuart Jacobsen, and Dr. Stephen Umans.
Dr. Hoelke initiated the preliminary design of the fuel valve in the summer of 1999.
After I joined him in the Fall, we fabricated the first generation of the prototype valve and
tested its functions. Based on the testing results, I revised the design, fabricated, and testing the second generation.
The valve was microfabricated in Microsystems Technology Laboratories (MTL) at
MIT. MTL possesses sufficient facilities for the valve fabrication, including photolithography, DRIE (Deep Reactive Ion Etch), plasma etch, thermal oxidation, nitride deposition
and fusion wafer bonding.
Chapter
Introduction
1: Introduction
Chanter 1:
22
22
1.3 Thesis Organization
This thesis will emphasize the design, fabrication, packaging, testing and modeling of the
second generation of the prototype valve.
Chapter 2 presents the design of the second valve based on the preliminary design and
the testing results of the first generation.
Chapter 3 explains the detailed fabrication process and presents the results of fabrication.
In Chapter 4, the packaging design and testing apparatus needed for different testing
purposes are described.
In Chapter 5, the testing results of the second valve are presented, together with model
analysis for comparison.
The final chapter concludes the work and lays out the future work for the valve.
Chapter
2
Design Process
This chapter introduces the design of a prototype valve based on the system requirements
of the microengine. Two generations of the valve were fabricated and tested. This chapter
will emphasize the design of the second generation, which has improved function compared to the first one.
2.1 System Requirements
The goal of the microengine is to produce 20 Watts mechanical power, while consuming
about 45 grams per hour of propane fuel. To achieve this goal, the fuel valve must operate
under high temperature and high pressure. Such requirements rule out many currently
available designs of microvalves developed in both industry and academe.
A valve can be categorized mainly by its actuation type and sealing material. Conventional valves used in engines typically employ solenoids for magnetic actuation. On the
micro scale, however, induced magnetic forces are usually too weak to act against high
pressure flows [2]. Successfully commercialized microvalves that use bimetallic and thermopneumatic driving techniques have been reported [3]. These valves often operate under
relatively low temperatures (between 0*C to 60*C in the case of thermally actuated
valves) because of the materials used. Piezoelectric and electrostatic actuation have been
23
24
Chapter 2: Design Process
widely used in design because of their low power consumption [4][5]. Such drivers
require high voltage input and small deflections in order to produce large actuation forces.
Other actuation techniques include electrolysis-bubble and shape memory alloys [6][7].
Conventional valve sealing materials can be either hard or soft. To achieve extremely low
leakage rate, many researchers have employed soft materials as contact surfaces such as
polyimide or silicone for their high flexibility and fatigue resistance [8]. However, such
materials are not apt for high temperature applications. Other valves conveniently use silicon as hard contact surfaces, typically in the form of cantilever and diaphragm [9]. Various studies have shown that sealing properties are not only dependent on materials used,
but also on the fabrication process.
Table 2.1. Microengine system requirements for fuel valve and test valve.
Application
Microengine
Prototype Valve
Throttle
Fluid
Propane
N2, Propane
Mount
Engine
Test Package
Temperature
700K
300K
Flow Rate (g/h)
45
2.25 (5% of 45)
A Pvalve, max (atm)
6
9
Ptank (atm)
10
10
Modulation
0.5-1
On/Off
Precision
5%
5%
Time Response
ms
ms
Shock Resistance
1Og
log
Size (cm 2)
2.1
0.25
Mass (mg)
1200
80
Section21l: System Reqient
25
Table 2.1 lists the system requirements of the microengine fuel valve as well as a prototype valve. The goal of the valve project is to build a prototype valve that satisfies the
design criteria of the microengine and to evaluate the function of the valve in a test package before integrating it with the engine. In other words, the prototype valve is used to validate the design concept. For simplicity, testing conditions are set at room temperature
while nitrogen is used as the testing fluid. The items in Table 2.1 will be explained in the
following paragraphs.
For a portable engine design, it is desirable to integrate the valve with the engine by
wafer bonding. Doing so also reduces packaging complexity and improves power density.
Integration with the engine, however, will cause rapid heat transfer from the combustion
walls to the valve and as a result, the valve will be heated. For a combustion temperature
at 1600 K, it is estimated that the valve will be operating at about 700 K. The operating
temperature requirement forbids the use of polyimide or elastomer as a sealing material.
Furthermore, as will be explained in the fabrication chapter, the 1100*C annealling temperature of the valve precludes the use of metal for actuation.
In order for the valve to control fuel level, two possible design schemes have been proposed. One is a proportional valve that adjusts flow according to an input actuation signal,
another is an array of on/off valves that modulate the flow by turning on an appropriate
number of valves in response to the input signal. The second scheme is often easier to
design and implement. However, an array of valves requires complex wiring path. In addition, flow accuracy is limited by the number of elements in the array. For a maximum
engine flow rate of 45 g/h, in order to obtain an accuracy of 5%, 20 on/off valves are
needed, each of which will supply a fuel flow of 2.25 g/h when turned on. The number of
valves in the array will also be limited by the planar dimension of the microengine, which
is 2.1 cm 2 .
26
26
Chanter 2: Design Process
The pressure of the fuel tank will initially be at 10 atmospheres. The tank walls form
the external shell of the microengine package and they enclose about 800 cm 3 of fuel.
This package is designed to supply tens of hours of electrical power between refuelling.
The maximum pressure drop across the valve should be about 6 atm. The prototype valve,
however, will be tested at an outlet pressure of one atmasphere, for design simplicity.
It is desired that the valve have a response time in milliseconds and a shock resistance
of 100 g. The size of the final valve is limited by that of the microengine. For the engine to
be efficient, the valve should weight no more than 1.2 g.
2.2 Design of Prototype Valve
Based on the requirements stated above, a first design of the prototype valve has been created. The valve uses electrostatic force as the actuation method. This is chosen because the
microengine is integrated with an electric generator and is designed to provide 300 V of
electrical signal. The actuation mechanism can be described as a parallel plate capacitor
acting on a mass-spring-damper mechanical model. We have chosen silicon material for
the valve seat because soft materials are eliminated in our design by high temperature constraints.
2.2.1 Design Schematic
The valve is comprised of three 4" wafers fusion bonded together at room temperature and
subsequently annealed at 1100*C. Figure 2.1 shows the 3D cross-sectional view of the
three layers.
The top wafer contains the valve inlet, view-port, main electrode and landing pads.
Gas fuel enters the valve from the tank above via the inlet. A view-port is opened for testing purposes so that a fiber optic sensor can be inserted to detect the motion of the boss.
27
Section 2.2: Design of Prototype Valve
The main electrode is primarily a thin layer of single crystal silicon on top of a 1 gm thick
silicon dioxide layer that acts as insulation. The substrate is a 500 pm thick silicon wafer.
Such a three-layer structure is commonly called an SOI (silicon on insulator) wafer. The
landing pads are holes etched into the silicon dioxide insulation layer. They are used as the
mechanical stop and prevent the boss from crashing onto the main electrode upon pull-in.
The substrate is grounded so as to avoid being electrically floating.
Valve Inlet
Viewport
Main Electrode
Landing Pad
Landing Feet
Boss
Tethers
Valve Seat
Secondary Electrode
Valve Outlet
Figure 2.1. 3D schematic of the three structural layers that comprise the design the first generation of prototype valve.
The middle wafer features a movable boss that is supported by four L-shaped tethers,
which offer the boss sufficient flexibility in the vertical direction. The tethers are made
also from an SOI layer to ensure uniform thickness. We are only interested in the vertical
Chapter 2: Design Process
28
motion of the boss and will not consider its in-plane rotation. The boss initially closes
down under 10 atm pressure from the fuel tank. When a voltage is applied between the top
electrode and the boss, electrostatic force will attract the boss to displace upwards and
hence open up the valve. During pull-in, the landing feet on top of boss will touch down
on the landing pads and make electrical contact with the top substrate, which is also
grounded. By doing so, a minimum gap is formed between the two electrodes and a short
circuit is avoided.
The bottom wafer includes three main objects: valve outlet, valve seat, and secondary
electrode. The outlet is a through-hole in the silicon wafer and it leads the gas to the combustor through the engine manifold and the injectors. When the boss moves down, it lands
on the valve seat and hence closes the flow path. The secondary electrode is added for testing purpose. It forms another parallel plate capacitor with the boss and is used to attract
the boss in the downward position. This setup helps to characterize the total boss displacement.
To illustrate the design further, Figure 2.2 shows a schematic cross-sectional view of
the valve with the three wafers bonded together.
Valve Inlet
Tether
Landing Foot
View Port
Main Electrode
Top
Seat
Backup Electrode
Valve Outlet
Pin Ports
Figure 2.2. Cross-sectional view of the three structural layers.
Section 2.2: Design of Prototype Valve
29
2.2.2 Design History
Two generations of the valve have been successfully fabricated. The first generation used
smooth silicon as the valve seat. This valve allowed us to validate the design and develop
the fabrication techniques needed for the process. Testing results showed that this valve
had fully functioning actuation mechanism and predicted flow characteristics. However,
there were a few drawbacks. First, the actuation force was too weak to open against full
pressure flow. Second, the current leakage between the electrodes worsened after high
voltage usage. Finally, over time, the boss adhered to the valve seat because of stiction.
Based on these problems, a second generation was designed and fabricated. The new
design has improved geometry and fabrication process, and uses polysilicon as the sealing
material. This section will introduce the design concept and the design variables.
2.2.3 Design Parameters
The goal of the preliminary valve is to be able to open against 10 atm pressure with a voltage no more than 300 V, and a response time of milliseconds. Therefore, the design
dimensions must be able to satisfy the following conditions:
1. The tethers must have appropriate stiffness to support the boss. Furthermore, the
resonant frequency of the tether-boss structure should be much less than that of the
rotor, which has achieved a speed of 1.2 million RPM.
2. The silicon based capacitor should exert enough attraction force over the boss to
counteract the pressure force when the valve is in the closed position.
3. The dimensions of the gap between the boss and valve seat, as well as the diameter
of the gas outlet, should be chosen such that the gas flow rate is 2.25 g/h.
Chapter
Process
Design Process
2: Design
Chapter 2:
30
30
Based on these design considerations, an analysis of the tether structure, parallel
capacitor electrodes and fluid dynamics is carried out to estimate the valve dimensions.
This analysis will then be compared with testing result to check its validity.
Tether Design
A top view of the boss supported by L-shaped tethers are shown in Figure 2.3.A. The
tethers are L-shaped rather than straight because such a design has many advantages. It
allows more linear downward deflection and offers better attenuation over packaging
stresses and thermal stresses [10]. During fabrication, however, we have found that this
boss structure is very fragile and that the fabrication yield is low. Tethers tend to break
along the straight corners due to stress concentration. To resolve this problem, we have
revised the straight corners with round fillets. Also, the width of the tether is increased to
make it stiffer. Such a design is illustrated in Figure 2.3.B).
A)
B)
1W
Figure 2.3. Top view of boss supported by tethers. A) Straight L-shaped. B) Revised Lshaped tethers with rounded corners.
Section 2.2: Design of Prototyp
av
31
The tether thickness is determined by that of the SOI layer, which is used in our design
to ensure uniformity throughout the wafer during the etching process. Given the tether
length 1, width w and thickness t, the total force
FTether exerted
on boss for tether end deflec-
tion z can be expressed as
Ewt 3 Z
Ftether
(2.2)
where E is the Young's modulus of silicon. In our design, we use (100) wafers and the
tethers are oriented at 450 with the primary flat, i.e., in the [001] direction. The Young's
modulus corresponding to this direction is 130 GPa [10].
The tether-boss structure can be modeled as spring-damper-mass mechanical system,
which can be represented by the following system equation,
mz + bz + kz = a
(2.3)
where b is the damping coefficient, m is the mass of boss, k is the spring constant of the
tethers, and a is the input signal. The natural frequency of this system is then
O)
-z
=
(2.4)
Time constant t for a step function can be expressed as,
m
(2.5)
Squeezed-Film Damping
The damping constant can be evaluated using squeezed-film damping theory, which
applies as the boss moves up and down relative to the stationary, parallel actuator. Considering the top electrode, we assume the following:
1. The gap between boss and top electrode is much smaller than the boss diameter.
Chapter 2: Desigzn Process
32
2. The gas obeys the ideal gas law, is fully developed and isothermal.
3. The boss moves in slow motion such that the gas attains a small Reynold's number,
and viscous effects dominate.
4. There is no pressure gradient in the vertical direction.
5. The no-slip boundary condition can be applied because the ratio of the mean free
path of the gas molecules to the gap is small.
Applying the Navier-Stokes equations and combining with the ideal gas law, the
squeezed-film damping phenomenon can be described by the Reynolds equation [11]:
3
(Pg) = &V2p
2
6g.
(2.6)
where P is the pressure of the film that is a function of radius and time, g is the gap
between the two plates, and R is the dynamic viscosity of the fluid film. This partial differential equation is nonlinear, and in order to obtain an analytical solution, linearization is
performed near an operating point Po and go. We can then find the pressure response to a
velocity impulse. The total force acting on the plate can be calculated by integrating the
pressure over the plate. A first order approximation of this force in Laplace transform is
[11]
F(s) =
b
sz(s)
(2.7)
+ _
where b is the damping constant
b
oC is the cutoff frequency defined by
96gr4
go
g
(2.8)
Section 2.2: Design
of Prototype Valve
33
2^2
O
'it g P
=C 0 02O
C
2
2R
1211r
(2.9)
and r is the radius of the actuator.
This solution demonstrates that b is a function of geometry only. The existence of oC
suggests that the gas behaves also like a spring due to the compressibility effect. At low
frequency, the compressibility effect can be ignored, and b can be viewed as a constant.
However, at high frequency, we have to take into consideration the spring effect. If o is
much larger than the frequency that we are interested in, we can use b as a constant.
There is also a damping effect from the bottom electrode. As the radius of the seat is
much smaller than the radius of the boss, we will ignore this damping effect and consider
only the top electrode.
Force Analysis
Actuation force for the boss comes from a parallel plate capacitor formed by the top wafer
and the boss. When a voltage V is applied between these two electrodes, the electrostatic
force exerted on the boss for a gap distance g is
F
uactuator
ctuatorV2
(2.10)
2g2
where,
e = permittivity of air, which is 8.85x10- 2 F/m, and
Aactuator = Actuation area defined by the enclosed area of top wafer electrode and boss
Under the 10 atm pressure of the fuel tank, the valve is normally closed. The boss
experiences a net pressure force due to the pressure difference in the valve seat area. For
design purposes, the worst case of this pressure force is evaluated in order to estimate the
largest actuation force needed. This case corresponds to the largest pressure drop AP
Chapter 2: Design Process
34
34Chpe2:DsgPrcs
across the valve channel (9 atm) and the vacuum condition on the valve seat area. This
external pressure force can be expressed as
(2.11)
tankC(r -r?)
Ftank = A~r+P
where
ri = inner diameter of the valve orifice
r0 =outer diameter of the valve seat
To open the valve from its close position, force balance requires that
Factuator
+
(2.12)
Ftether > Fank
The force diagram of the boss is demonstrated in Figure 2.4.
+jri
ro
V
Figure 2.4. Force balance when a voltage is applied to open the valve in its closed position.
Minimum Gap
The landing feet create a minimum air gap between the top electrode and the boss when
the boss is in its upmost position. Without the landing feet, the boss would crash onto the
top electrode upon pull-in, causing the two surfaces to stick. A proper choice of the minimum gap prevents breakdown between the two electrodes.
The dielectric strength of air is usually reported as 3x10 6 V/m at atmospheric pressure. This value, however, does not hold when the gap between the two plane electrodes is
35
Section 2.2: Design of Prototyve Valve
reduced to micron dimensions. It has been observed from experiments that the breakdown
voltage depends on the product of the gas pressure and the gap separation, as stated in Paschen's law [13]
V, = f(pd)
(2.13)
where p is the gas pressure and d is the gap separation. This law can be interpreted as that
the breakdown voltage at small gaps can be predicted by using data taken at small pressures. Experiments carried out in vacuum, i.e., very low (pd) values, have shown that
many gases exhibit a minimum breakdown voltage. At lower (pd) values, the breakdown
strength of gases will increase. This behavior is captured in Townsend's breakdown theory, which explains the breakdown phenomenon as a number of collision processes that
ionize the gas [13]. At very low pressure or gas separation distances, particle collisions are
less likely to occur, therefore making sparking breakdown more difficult. The criterion for
breakdown is given as
c,%e
-(1
=
1
(2.14)
where c, and c2 are constants that can be obtained by measurements of pre-breakdown
ionization current, c1 is the coefficient representing ionization by electrons, c2 representing ionization of gas by positive ions, and d, is the gap distance when spark breakdown
occurs. The breakdown voltage then relates to the gap distance by Vs = Esd,, where Es is
the strength of the uniform electrical field. Using this criterion, the breakdown characteristics of nitrogen can be described by the curve shown in Figure 2.5.
Chapter
Process
Design Process
2: Design
Chapter 2:
36
36
550500450400C
: 350-
0
(
300250200
0
10
20
30
40
50
60
pd (atm-um)
Figure 2.5. Breakdown voltage of nitrogen as function of pd from the generalized Townsend
theory (original data points from Meek & Craggs [13]).
The curve suggests that the minimum breakdown voltage for nitrogen is about 300 V.
At 10 atmospheres, the gap separation at this breakdown voltage is about 1 gm. Experiments at very low gap separation, however, have shown that this breakdown voltage is not
always achievable. Surface contamination or surface roughness could cause the actual
breakdown voltage to be much smaller. In such cases, the electric field can be locally concentrated, enhancing gas ionization and resulting in a lower average breakdown field.
Therefore, a larger gap separation will be advantageous. In the design of the valve, a minimum gap of 2 gm is chosen.
Flow Analysis
In order to control the mass flow rate, choked flow is designed in the gas flow path. A
magnified cross-sectional view of the valve seat geometry is shown in Figure 2.6.
37
Section 2.2: Design of Prototype Valve
h
Po, To
Patm
Figure 2.6. Cross-sectional view of the valve seat geometry.
The geometry is axisymmetric so that only the 2D case needs to be considered. For
invisid flow in a duct, the choked condition determines the maximum mass flow rate to
area ratio to be
S1/2(l
0a
((1+
-(V + 1)
V
Y-2
-
1)
where
m = mass flow rate
A = 2nrih, is the area of the flow path where flow is choked
h= distance of gap between boss and valve seat when valve is fully open
PO = stagnation pressure of flowing fluid, same as the pressure of the fuel tank
To = stagnation temperature of flowing fluid
v = specific heat ratio of flowing fluid; 1.13 for propane; 1.14 for nitrogen
R = gas constant; 189 J/kg-K for propane; 287 J/kg-K for nitrogen
(2.15)
Chapter 2: Design Process
38
This equation might be used to estimate the flow rate at high pressure, where the Reynold's number is relatively high. But in the real situation, there will be pressure drops
across the seat and within the channel because of viscous effects. Also, various minor
losses in the flow inlet and the bend have to be considered. For design simplicity, this
equation will be used to estimate the size of the flow path.
Stiction
In Figure 2.5, we have shown three forces acting on the boss. However, a stiction force is
omitted from the picture. This section is devoted to describe this force, which is hard to
quantify as it depends on surface roughness, humidity and other factors. Nonetheless, during testing of the first generation of the valve, we often observed that the boss easily
adhered to the top or the bottom surface, and could not be released. The second generation
aims to prevent these problems by using rougher material as the valve seat and by reducing the valve contact area.
Stiction is more well known in the microscopic world and often proves to be detrimental to MEMS devices. The causes of stiction include capillary forces, Van Der Waals
attraction and electrostatic forces. For a water droplet between two parallel plates, the
attracting capillary force is given as [15]
F = 2ycos0 r2
h
1
(2.16)
where y is the interfacial tension from air to liquid interface, 0 is the water contact angle,
h is the gap between two surfaces and r, is radius of the water droplet. This equation says
that the capillary force is proportional to the plate area and the cosine of the water contact
angle. At a contact angle of 90*, the force is zero. To reduce the capillary force, possible
solutions are then to decrease the area of the plate and to increase the water contact angle.
Section 2.2: Design of Prototype Valve
39
A completely hydrogen terminated silicon surface is hydrophobic with a contact angle
around 90'. When the surface is exposed to air or water, a native oxide forms on the silicon surface, causing it to be hydrophilic with a contact angle less than 60'. This contact
angle can be increased by roughening the silicon surface. It is derived from quasithermodynamic model that the water contact angle on roughened surface can be expressed as [16]
cosor = rcos0
(2.17)
where Or is the contact angle of the roughening surface and r is the ratio of the actual area
of roughened surface to the projected area. Therefore, increasing the roughness reduces
the value of cos0 and hence the capillary force. In experiments, however, such an apparent relationship is not always achievable. The advantage of roughening the surface, furthermore, is that it reduces the actual contact area and as a result, the Van der Waals force
and the electrostatic force will also be reduced [17].
Conventional ways to modify silicon surfaces include using focused ion beam (FIB) to
create dimples [18], chemical etching by NH 4 F [19], or silicon anodization [20]. For a
valve, however, there is a trade-off between the roughness of the valve seat surface and
the leakage rate. Rougher surface produce less flow resistance in closed position and result
in larger leak. Polysilicon is chosen as the seat material because of its rough surface and
the fabrication advantage. Deposition of polysilicon is compatible with VLSI, and the thin
film structure is uniform and stable. Furthermore, roughness of polysilicon can be controlled through deposition conditions.
Chosen Dimensions
Summarizing the structural and flow analysis from above, we chose the dimensions of
various geometry and some resulting constants as listed in Table 2.2. Comparison of the
Chapter 2: Design Process
40
40Chte2:DinPrcs
dimensions used in the 1st generation and the 2nd generation are also made in the table.
Table 2.2. Design parameters of valve geometry.
Units
1st Generation
2nd Generation
Tether Thickness t
jim
17 (SOI)
17 (SOI)
Tether Width w
pim
20
60
Tether Length 1
jim
680
800
Total Spring Constant K
N/m
161
300
Boss Diameter D
gm
670
1080
Boss Mass m
Kg
4.1x10 7
10.5x10~
Natural Frequency oo
KHz
2.76
2.68
Original Gap g,
pm
6.2
4.9
Actuation Gap g
gm
7.2
5.4
Minimum Gap gmin
Im
2.86
2
Inner Radius r;
jm
15
18
Outer Radius ro
jm
100/200
34/42
Flow Gap h
jm
4
3.2
Landing Feet Diameter
jm
50
30
Landing Pad Diameter
jm
100
60
View Port Diameter
pm
100
290
Items
Tether:
Boss:
Valve Seat:
Oscilliary Feature:
The second generation aims not only to increase fabrication yield but also to allow the
valve to open against full pressure. In order to do so, the tether stiffness is increased by tripling the tether width. Furthermore, the actuation area is enlarged while the actuation gap
was decreased, thus resulting in an increased actuation force. The valve seat radius is also
decreased by three times hence reducing the pressure force acting on the boss from the
Section 2.3: Summary
Section 2.3: Summary
41
41
fuel tank by about 17 times. Given these dimensions, we would predict that for the second
generation, the valve would be able to open against 10 atm (1.013x106 Pa) at an actuation
voltage of 148 V. Because the flow gap is decreased as a result of the smaller actuation
gap, the flow channel radius is increased from 15 ptm to 18 gm in order to obtain a similar flow rate.
2.3 Summary
A prototype valve was designed to meet the system requirements of the MIT microengine.
Some design issues are discussed. Dimensions of the valve are assigned based on the preliminary structural, electrical and fluidic analysis.
An on/off valve is proposed as it is more practical to build by microfabrication. Fuel
control can be accomplished by using an array of such valves. Electrostatic actuation is
chosen as the actuation method as voltage can be supplied from the engine generator. A
boss supported by tethers forms the actuation mechanism, which can be modeled as a parallel plate capacitor with mass, damper and spring. The flow is designed to be choked at
the valve seat at high pressure. For high temperature application, silicon is used for the
valve seat.
Design of the valve involves several interesting phenomena. The first one is the
squeezed-film damping, which occurs when two parallel plates have relative motion.
Damping turns out to be caused both by viscous (dashpot) and compressibility (spring)
effects. At frequencies much lower than the cutoff frequency, spring effects can be
ignored. The second one is the electrical breakdown between two parallel plates separated
by submicron distance. A minimum voltage appears as the distance decreases further, in
which case, the breakdown voltage is limited by the surface condition. The third one is
42
Chapter 2: Design Process
stiction, which is a function of contact area and water contact angle. Effective ways to
reduce stiction include reducing the area and roughening the contact surface.
This chapter introduces the design concept of the prototype valve. The second generation of the design differs to the first one by: 1) a revised geometry to increase the net valve
opening force; 2) using polysilicon as the valve sealing surface instead of smooth silicon
to reduce stiction; and 3) improving the fabrication process to reduce current leakage
between the electrodes. The fabrication process will be introduced in the next chapter.
Chapter
3
Microfabrication
Fabrication of the prototype valve was carried out in the MIT Microsystem Technology
Laboratories (MTL). The techniques of microfabrication were similar to VLSI, i.e., using
photolithography for mask patterning, and various wet and dry etching methods for cutting exposed geometries. In particular to MEMS fabrication, surface micromachining
refers to the process of making free-standing thin-film structures by use of sacrificial layers and bulk micromaching refers to the process of etching deep into the substrate [11].
Deep etches are usually achieved by using thick photoresist as a masking material or by
using a high selectivity material such as an oxide thin-film. MEMS microfabrication often
involves bonding two or more wafers together to achieve various geometries. In this case,
the surface of the wafer must be flat and smooth in order for wafer bonding to be successful.
It is worth noting that there are a couple of current technologies which have made the
current valve design feasible:
1. SOI (silicon on insulator) wafers are made by thermally growing oxide on a silicon
substrate and subsequently bonding to another silicon substrate, which would then
be thinned down and chemical-mechanically polished. We used these wafers as the
starting material for two purposes: 1) They possess excellent uniformity as well as
43
Chapter 3: Microfabrication
44
surface smoothness for wafer bonding. For this purpose, the SOI layer was used for
tether structures; and 2) the buried oxide could be used as an electrical insulation
from the substrate to the electrode.
2. The high aspect ratio silicon structures in the design would not have been accomplished without access to deep reactive ion etching (DRIE). In particular, we used
the time-multiplexed deep etching technique developed by Robert Bosch. This technique cycles an etching phase (using SF6) and a sidewall passivating phase (using
C4F8to prevent etching of the sidewalls) [12]. With this technique, etching of silicon
as deep as 300 gm with thin walls is possible.
The following section will explain the valve fabrication process.
3.1 Fabrication Process
The valve requires a total of fifteen masks to be patterned on three wafers, four sides, and
approximately twelve shallow plasma etches, four deep plasma etches, three thermal oxidations and two thin film depositions. Fabrication of the second generation differs from
the first one mainly because of the use of polysilicon in the bottom wafer. In this section,
we will discuss only the process flow for the fabrication of the second generation. The
wafer layout and all the masks used in fabrication are shown in Appendix A. Fabrication
details of each wafer are described in Appendix B.
Each wafer layout has twelve evenly spaced devices containing four different designs
of valve seat geometry (the numbering of dies on the wafer is shown in Figure A.1 in
Appendix A). The performance of each die after fabrication is listed in Table 3.1. Note
that because of fabrication constraints, all dies with grooves were not successfully fabricated and as a result, only 6 dies contained functioning valves.
45
45
Section 3. 1: Fabrication Process
Section 3.1: Fabrication Process
Table 3.1. Wafer layout and the status of dies as fabricated.
Die Number
Seat Outer Diameter
Seat Pattern
Fabrication Status
I, VIII, X
34
flat
good
II, VII, IX
42
flat
good
III, V, XII
34
grooved, 2 rings
bad
IV, VI, XI
42
grooved, 2 rings
bad
Table 3.2 lists the three wafers used for the three structural layers. The fabrication process for each wafer will be explained in detail in the following sections.
Table 3.2. Types of SOI wafers used for the three structural layers.
Silicon Substrate Thickness
SOI Thickness
Buried Oxide Thickness
gm
gm
Top Wafer (SOI)
0.34
1
500
Boss Wafer (SOI)
17
0.4
380
Seat Wafer (DSP)
N/A
N/A
450
Structural Layer
Top Wafer
The top wafer contains the top electrode, landing pads and the view port. The S01 layer is
used as an electrode and the buried oxide as an insulator. Figure 3.1 shows the fabrication
flow.
The major fabrication steps are:
Chapter
Microfabrication
3: Microfabrication
Chapter 3:
46
46
Silicon
Oxide
Nitride
Polysilicon
Substrate
Buried Oxide
1. Etch alignment marks
Sol
2. Plasma shallow etch
top electrode
3. BOE etch oxide step
*
Stepped oxide etch-4. DRIE through etch for
a1
view port and gas inlet
Landing
Pad
7View
LandingContact
Port
Top Electrode
Pad to
Landing Pad
Figure 3.1. Fabrication flow for top wafer.
1. Prepare the SOI wafer and etch alignment marks on both sides (mask: ALIGN). The
top side alignment is to be used for aligning to the other two wafers during waferbonding.
2. Shallow plasma etch the SOI layer to define the top electrode area as well as the
landing pad areas (mask: TOPELEC). The top electrode has a diameter of 1100
gm and the diameter of the landing pad is 80 gm. The valve is enclosed in a square
of 1.6 mm 2
3. Etch the buried oxide layer in buffered HF (BOE) (mask: TOPOX_2). Instead of
etching through the oxide using the same mask as in step 2, this oxide etch creates a
projected oxide layer (section 3.2 provides further explanation).
4. DRIE to etch through the wafer to open the flow inlet as well as a through hole for
47
4
Section 3. 1: Fabrication Process
viewing purposes under microscopes (TOPTHROUGH). Diameter of the hole is
290 pm.
Figure 3.2 shows an image of the fabricated top wafer using a Scanning Electron
Microscope (SEM). The white band shown in the picture is the "electrical wire" from the
electric contact located near the edge of the die. The wire is insulated from the rest of the
wafer surface by the oxide thin film below it. We could also see the step-oxide etch by noting the color contrast at the edge of the cylinder.
Figure 3.2. SEM image of top wafer.
Boss Wafer
The boss wafer forms another half of the parallel plate capacitor. It contains the movable boss that is supported by four tethers. The fabrication process is shown in Figure 3.3.
and the process flow can be summarized into the following steps.
Microfabrication
Chap~ter 3:
3: Microfabrication
ChaDter
48
48
Silicon
Polysilicon
Oxide
Nitride
Nitride
Thermal Oxide
Substrate
1. Thermal oxidation, 1 pm;
Nitride deposition; Etch
alignment marks
Buried Oxide
2. Wet etch oxide and
define device area
Landing Feet
3. Plasma shallow etch
landing feet, 3.4 pm
4. BOE etch oxide from
back side
5. DRIE etch tether from
back side, using BOX
as etch stop
6. DRIE etch boss from
front side using BOX
as etch stop
Bos
7. Wet etch oxide to release
boss; Remove nitride in
phosphoric acid hot bath
Tether
Contact Pad
to Boss
Contact Through Hole
to Top Electrode
Figure 3.3. Fabrication flow of boss wafer
1. Thermal oxidation of SOI wafer under wet conditions at 11000 C for 1.5 gm.
LPCVD nitride deposition of 0.1 gm. This nitride layer is used as the etch mask for
releasing the tether structure in BOE in the last step. Etch alignment marks on both
49
sides of wafer (mask: ALIGN).
2. Plasma etch nitride and then use BOE to etch top oxide layer to define the device
area for landing feet etching (mask: BOSSOX_1).
3. Plasma shallow etch of silicon to create the four landing feet, each with diameter of
30 gm. Etch depth is 3.4 pm (mask: BOSS_FEET_2).
4. Plasma etch nitride and use BOE to etch backside oxide layer (mask:
BOSSOX_2).
5. DRIE to etch the tether from the back side for 17 gm (mask: BOSSTETHER).
The buried oxide is used as the etch stop.
Figure 3.4. SEM image of boss wafer.
6. DRIE to etch the boss structure (mask: BOSSDEEP). The buried oxide again is
used as the etch stop.
7. Etch the buried oxide in BOE and release the boss structure using the nitride film as
50
50
Chanter 3: Microfabrication
the etch mask. Remove nitride layers in hot phosphoric acid.
An SEM photo of the as fabricated boss wafer is shown in Figure 3.4. The rough walls
seen in this picture are the result of DRIE process, which etches the side walls slightly.
Bottom Wafer
The bottom wafer has the valve seat and flow orifice. The process flow is shown in
Figure 3.5.
1. Thermal oxidation of double sided polished wafer under wet conditions at 1 100 0 C
for 0.7 gm after etching of alignment marks on both sides (mask: ALIGN in the
front and mask: STREETS at the back). This oxide thin film is used for two purposes. First, it is the insulation from the bottom electrode and the sealing material,
which is polysilicon. Second, it is used as an etch mask (in replacement of photoresist) to etch the bottom electrode.
2. LPCVD polysilicon deposition at 625 C, for 0.95 gm. This polysilicon layer is
used as the seat material.
3. Thermal oxidation on top of polysilicon under wet conditions at 1 100*C for 1 jm.
This process consumes about 0.5 gm of polysilicon and hence the final thickness of
polysilicon is 0.45 gm.
4. Three etches in a row to define the valve seat area and the bottom electrodes (mask:
SEALOX). First use BOE to etch the top oxide, then plasma-etch the polysilicon
thin film, and finally use BOE again to etch the bottom oxide thin film.
51
5
Section 3.1: Fabrication Process
Silicon
Oxide
Polysilicon
Nitride
1. Thermal oxidation,
0.7 gm
2. Undoped polysilicon
deposition, 0.95 pt n
4
4
Thermal Oxide
4
Polysilicon
4-
Thermal Oxide
Substrate
3. Thermal oxidation,
1 jm
4. DRIE etch flow out let
from back side, 22 0 gm
5. Etch top three laye rs
and define seat an d
bottom electrode
OR
6. Etch top two layers
except the seat are a
7. Etch flow channel,
100 jm
8. Etch bottom electrc de
using oxide as mas
100 sim
Bottom Electrode
A-
Valve Seat
9. BOE remove oxide
Through Hole for
Boss Contact
Flow
Outlet
Through Hole for
Landing Pad Contact
Figure 3.5. Fabrication flow of bottom wafer.
5. DRIE to etch the flow outlet from the bottom side (mask: SEALBACK). The etch
depth is 240 gm. The reason for this etch is to reduce the etch depth of the small
channel from the front. It is difficult to use DRIE to produce straight walls for wafer-
Chapter 3: Microfabrication
52
deep features, and especially in this case, where the cylindrical wall of the valve seat
has a thickness of only 16 jm. Furthermore, because of thinning of photoresist at
the corners during etching, the hole diameter tends to expand. Therefore, longer etch
times will result in less accurate geometric dimensions.
6. Etch the top two thin films for nested mask (mask: SEALSEAT). The oxide left on
the top of the valve seat and the bottom electrode will be used as the etch mask for
the DRIE etch of these features later.
7. DRIE to etch the flow channel from the top side for 100 jm (mask:
SEALCHANNEL). After this flow channel is etched, it would be very difficult to
spin on photoresist and expose the next mask evenly. This is the reason why the
oxide is used as the etch mask.
8. DRIE to etch down the bottom electrode as well as to etch through the flow channel
using only oxide as mask. The etch depth here for the bottom electrode is 100 jm.
9. Remove oxide layer using BOE.
Figure 3.6. SEM images of the seal wafer showing two different magnifications.
53
Two SEM photos of the fabricated seal wafer are shown in Figure 3.7. The one on the
right is an enlarged view of the valve seat area with the bottom electrode. With an etch
depth of 100 pim, straight walls of the channel are obtained using DRIE.
We will now examine the roughness of polysilicon deposited in this process. Figure
3.7 shows the topography of polysilicon surface using tapping mode Atomic Force Microscope (AFM). The average roughness is measured to be 21 nm, and the difference between
the actual surface area and the projected area is 5% as provided by AFM analysis. A comparison with smooth silicon is also made in the picture (note that the z scales in the two
images are different). The roughness of silicon shown here is 0.4 nm, and the area difference is 0.08%. As have been described in Equation (2.17), the increase of actual surface
area in polysilicon results in an increase of water contact angle. Quantitative comparison
in the actual stiction force exerted on silicon and polysilicon surfaces, however, is not able
to be made in this experiment.
NanOsanpe
Scs e
scan rate
Numbser of Sam
Tapping AFN
5.000 ON
0.4984 La
X1
000 pa/div
2 150.000 nw/Aiv
Polysilicon
X~-"1.000 Pm/dIV
Z 20.000 nA4/div
Silicon
Figure 3.7. AFM photos of polysilicon and silicon surfaces scanning on a 5 by 5 Rm2 area.
The grains and stripes shown on the silicon photo are the scan line artifacts.
Chapter 3: Microfabrication
54
3.2 Fabrication Considerations
This section will discuss a number of techniques employed in fabrication that are essential
to the valve function, and why some dies failed to be fabricated as expected.
Firstly, we have used the step-oxide etch method to eliminate possible leakage current
between two electrodes. Because etch of oxide in HF is isotropic, undercut of oxide after
etch is unavoidable (Figure 3.8.A). The hydrophilic oxide attracts water molecules and
creates a "shelter" for moisture and debris, which are the two possible sources for shorting
the SOI electrode with the silicon substrate. By using a different mask for the oxide etch,
we can etch the oxide far from the SOI edge and hence reduce the chance of current leakage path (Figure 3.8.B). Testing results have proved this technique to be effective.
Oxidie
Oxide
A) Oxide undercut
B) step-oxide etch
Figure 3.8. Profiles of oxide undercut A) without using step-oxide etch and B) after using
step-oxide etch.
Secondly, it is very important to protect the surfaces of the wafer for bonding during
fabrication processes. For DRIE etch, the wafer is mounted on a quartz or silicon handle
wafer for through etch. Thick photoresist (10 gm) or oxide thin films are used as etch
masks to protect the front side of the wafer. The back side of the wafer, however, can be
damaged during etching by footing or pitting, which occurs when the ions reflected off the
handle wafer attack the back side. This problem can be avoided by coating the back side
with thin resist. To protect the wafer further, oxide or nitride thin films prove to be useful.
Section 3.3: Wafer Bonding and Diesawing
55
Finally, the grooved seal geometries were not fabricated successfully. This problem is
caused by the nested-mask process, which requires two oxide thin films to be grown.
Etching of each oxide film results in different degrees of undercut depending on the time
etched. In order for the polysilicon layer to survive the undercut, it can be found that at
least 2.2 Jtm of margin must be used in mask design. Because of over-etch during the process, the grooves which had widths of 5 gm and 6 gm failed to survive the etches and
hence resulted in seat-less dies.
3.3 Wafer Bonding and Diesawing
After the three wafers were fabricated, they were bonded together using direct fusion
bonding. When two flat and smooth silicon or thermally oxidized silicon surfaces are
pressed to each other to atomic distances, the interfacial forces such as the Van der Waals
forces and electrostatic forces will attract the two surfaces and form good adhesion. Upon
annealing at high temperature, atoms at the two wafer surfaces migrate and reorient themselves to reach a state of minimum free energy, and in doing so, filling up the macroscopic
voids and result in bonding strength at the interface as strong as silicon. This mass transport model is analogous to the sintering mechanism in metal surface [21].
To prepare for bonding, firstly, the wafers must be flat. By our experience, wafer bows
greater than 20 pm usually cause the wafers to fail to adhere to each other. Secondly, the
surface of the wafer must to be cleaned using NH 4 C1 and HCl solutions to remove metals
or any organic contaminants. Direct bonding is most vulnerable to surface contaminants.
Any particles on the surface will create gaps and depending on the height of the particles,
the bond may fail locally or globally on the whole wafer. During cleaning, the wafer surface is also hydrated. This step is important as hydrophilic surface will result in greater
bond energy. The presence of hydroxyl group will attract the water molecules in the envi-
Chapter 3: Microfabrication
56
ronment and enhance the hydrogen bonds. Thirdly, the wafers will be contacted by pressing to each other. After the alignment contact, the wafer stack is continued to be
to
compressed under 4 atmospheric pressure for as long as more than 10 hours. This is
ensure good adhesion. Finally, the wafer stack is annealed at 1 100'C for 1 hour. Bonding
is then complete.
Figure 3.9 shows an optical interference photo of the bonding stack after annealing.
Bonding is shown to be successful in most areas except a few local gaps seen as fringes on
the picture. Since these gaps are located outside of the valve area, they are "harmless" to
the structures.
Figure 3.9. Results of wafer bonding of the three-wafer stack after annealing. The size of the
fringe is a measure of the local gap between the surfaces caused by particles.
Figure 3.10 shows a schematic view of the cross-section of the bonded three-wafer
stack. This schematic shows two contact holes for making electric contact from outside
electronics to the boss and the landing pads. The contact hole for the top electrode is omitted in this picture. It is worth noting that the contact made to the boss is through the tether
layer, and that there is a thin oxide film between the tether and the boss. This means that
57
Section 3.3: Wafer Bonding and Diesawing
when a voltage is applied to the contact pad, the actual voltage of the boss is floating. This
is the weakness of the current design that is difficult to overcome.
View Port
Landing Pad
Flow Path
Top Electrode
Landing
Feet
S
Tether
Contact P ad
to Boss
V
f
Bottom Electrode
alve Seat
Flow Outlet
Con tact Pad
to Landing Pads
Figure 3.10. Valve schematic as bonded.
We diced the wafer stack using a stainless steel blade impregnated with diamond. It is
important to place tapes on both sides of the wafer stack to ensure no water and slurry get
into the valve structure during the operation. Photos of the valve die taken from the top
and bottom sides are shown in Figure 3.11. The bottom view shows the contact pads that
make electrical contacts by using Pogo pins. How this is done will be explained in detail in
Chapter 4.
Figure 3.11. Pictures of the valve die showing the top view and contact pads for the various
electrodes in the bottom view.
58
Chapter 3: Microfabrication
3.4 Summary
This chapter has introduced necessary details of how the valve is microfabricated. The
fabrication process for each wafer is illustrated and explained. Because of a mishap
between design and fabrication, only six dies out of the twelve are rendered useful valves.
Three fabricated wafers were bonded together successfully, resulting in 100% bonding
yield.
It is also mentioned that the use of silicon-on-insulator (SOI) wafers and deep reactive
ion etch (DRIE) methods have enabled the current design of the valve. Several other techniques employed in fabrication are also essential to the function of the valve. They are
namely: 1) creating an oxide step between SOI and substrate to avoid current leakage
paths; 2) protecting the back side of wafer during DRIE etch with a thin resist, oxide, or
nitride; and 3) using a nested-mask process to etch through the flow channel as well as 100
gm deep bottom electrode. In conclusion, it is found from the valve fabrication experience that microfabrication in an important sense constraints the design, and therefore a
successful MEMS design must take into consideration the details of fabrication issues.
Chapter
4
Test Package and Testing Setup
For the prototype valve, a package was designed specifically for testing purposes. This
package need to contain both electrical and flow connections, be able to withstand 10
atmosphere pressure and deliver a voltage of 300 V. Different testing setups are needed in
order to characterize the electrodes, system dynamics, gas flow rate, and leakage rate.
4.1 Packaging
In MEMS devices, packaging is known to be costly and as important as the device itself.
Hence, design of MEMS is a process inseparable from the consideration of packaging
issues. For testing purposes, the valve chip has incorporated contact pads for making electrical contacts as explained in Chapter 3.
The housing of the package is made of Plexiglas, as it is simple to machine. The package assembly is shown in Figure 4.1, and the AutoCAD layout of each plate is included in
Appendix C. The valve chip fits in the middle of a spacer plate, which is made of aluminum and lies between the top and bottom plates that have through holes of 2 mm outer
diameter on the side for flow connections. Stainless steel tubes made by Scanivalve will be
fixed into the side holes and sealed by epoxy and make flow connections with the test system through Teflon tubing. The window plate of 5 mm thick closes the flow compartment.
59
Chapter 4: Test Package and Testing Setup
60
60Chpe4:TsPakganTetnSeu
O-rings are used for sealing between the chip and the plates. The bottom plate has pinholes with a diameter of 1 mm. Pogo pins made of nickel and silver alloy with gold-lined
interior will fit into these holes using instant glue1 . These special probes have a spring
mechanism to ensure ohmic contact with the valve chip. They are installed in receptacles
that are attached to wires and thus enable connection to the outside electronics. The design
of the package provides all electrical access to the valve from the bottom side of the chip.
This setup has been taken into consideration in the design of the fabrication process.
Window Plate
Top Plate
O-ring
Groove
Valve
Spacer
Bottom Plate
Pin Holder
Figure 4.1. Assembly of the valve chip package that attains both flow and electrical connections for testing purpose. Drawing by Alexander Hoelke.
Figure 4.2 shows a picture of the assembled testing package with flow and electrical connections. This package was used in all the flow tests. For electrode characterization
where no flow is involved, the top plate was replaced by a thin window plate that had a
hole opened in the middle to allow microscope access to the valve.
1. These Pogo pins are products of IDI, Interconnect Devices, INC.
Section 4.2: Testing
61
6
SetuD
Figure 4.2. Test package on an air-floating table.
4.2 Testing Setup
This section will explain the methodology and instrumentation used in each testing setup.
4.2.1 Electrode Characterization
To ensure the electrodes have proper functions, we first characterize the contact resistance
as well as the current leakage between two electrodes.
To obtain I-V curves, an automated Hewlett-Packard semiconductor analyzer is used,
which can be programed to provide a ramp voltage signal from -100 V to 100 V. The data
is graphically displayed and can also be converted to text format by using a special software provided by the vendor. This test can be done using a probe station without the use of
the testing package.
Chapter 4: Test Package and Testin2 Setup
62
4.2.2 System Characterization
The purpose of this test is to examine the actuator and how it responds to a voltage input.
We are interested in both the quasi-static response of the system to input voltage as well as
the dynamic response. This can be used to verify the spring constant of the tether, the time
response and the natural frequency of the system.
In tests that involves using the voltage source to actuate the valve, the circuit shown in
Figure 4.3 is used. When the circuit is switched on, a voltmeter is used to measure the
actual voltage across the valve, and a Keithley Picoammeteris used to measure the current
leakage through the valve while the voltmeter is disconnected (to eliminate the current
drawn by the voltmeter). When the circuit is switched off, an RC circuit is used to discharge the voltage across the valve. The voltage and current data are used to estimate the
power consumption of the valve.
O On
Off
Sourge
-
Valve
V
Voltmeter
Picoammeter
Figure 4.3. The circuit used to actuate the valve using a voltage source and obtain voltage
and current measurements.
To test the quasi-static response, voltage is applied between the top/bottom electrode
and the boss. Boss displacement is measured by using a Wyko surface profilometer, which
is an optical profilometer that renders 3 dimensional images of surfaces. Deflection of
63
6
Section 4 2: Testing Setun
boss can be obtained by measuring the tether deflection. A Wyko measurement displayed
in 2D and 3D image form is shown in Figure 4.4. This image is taken with the boss in its
upmost position.
1.9 mmI
/0001.2
00
-200-
0.4
2
00-0'100
200
300
0.0
0.0
TI
1.0
1.5
_
000 0
700
'0
800
um
-2.07 um
50 =m -276 m
7M.21_um
IIR_
0.5
400
46 22 um 069
'm
2.0
D:
2.5
Tether Profile
2D Front View
2.5
-2 9
0.0 .
mm
3D Perspective View
Figure 4.4. 2D and 3D images of the tethers taken by Wyko as they are deflected to the
upmost position. The tether deflection can be read from the 2D profile.
To test the dynamic response, the Computer Microvision system developed by Professor Dennis Freeman at MIT has been suggested [22]. This system is designed to visualize
the in-situ motion of MEMS structures to an accuracy of one nanometer by combining the
techniques of light microscopy, video imaging and machine vision. It does so by using a
light microscope to magnify the image and then project it to a camera. To detect the Z
motion, stroscopic illumination is used to take sequence of images at multiple planes of
focus. And at each plane of focus, images are taken at multiple stimulus phases that are in
synchronization with the source signal generated by the computer. This process can be
Chapter 4: Test Package and TestinR Setup
64
repeated at different frequencies and the images will be analyzed by Computer Microvision algorithm, which output the motion in three axes as a function of frequency. Using
this algorithm, bode plots can be generated and the dynamics of the system will then be
revealed. The Computer Microvision system has been used in many applications, including measuring 3D motion of fatigue structures, mirror alignment in optical system, linear
and nonlinear behavior of a gyroscope and in-plane motion of an MIT tethered-motor.
The source signal of the Computer Microvision system, however, is limited to 10 V
DC. For the valve, this results in displacement that is comparable to the noise. Therefore,
in order to use this system, an amplifying circuit will be needed.
The Computer Microvision system will be a very useful tool to provide information
about the dynamics of the system. Currently, experiments using this system have not been
adequate to make any conclusions. This method, however, will continue to be of interests
for future valve testing.
4.2.3 Flow Characterization
We are interested mainly in three types of flow tests. 1. Flow rate of the valve when it is
fully open at different tank pressures. 2. Voltage needed to open the valve at different tank
pressures. 3. Flow leakage rate when valve is completely closed. A flow chart of the testing setup for the flow tests is shown in Figure 4.5. The setup is part of the fluid control/
measurement system C. C. Lin has used for his microturbine air bearing rig [23].
A Mass-Flo Controllerby MKS Instruments is used to measure the upstream flow rate
of the valve. A Honeywell pressure transducer is used to measure the upstream pressure
that is set by the pressure regulator. Data acquisition is done via a National Instruments
GPIB board and the LabVIEW program is used for the PC interface to output the values of
pressure and flow rate. The program is explained in detail in C. C. Lin's Doctoral thesis.
65
The Mass-Flo Controller has a full scale range of 200 sccm and an accuracy of 1%.
This accuracy, however, will not be enough to detect the leakage rate of the valve. Hence a
Mass-Flo Meter is used to measure the flow rate downstream with a full scale of 50 sccm.
The measurement can be improved by using a more accurate flow meter; however, MKS
mass flow meters have a range limit of 10 sccm and therefore the best accuracy is 0.1
sccm. For very low leakage rate, a helium leak detector will be better.
PCItrae
Pressure Regulator
MKS
FlwPah
Nitrogen
Mass-Flo
Power Supply
Pressure
TasueLabview
In Flow
MKS Mass-Flo
Atmosphere
Meter
Controller
Valve Package
Figure 4.5. A chart representation of the flow test system showing the nitrogen flow path.
Helium leak detectors are commonly used in vacuum system. Using this tool, helium
leak rates as low as 1 x10- 10 atm-cc/sec can be measured. However, some modification is
needed in order to adapt the hose of the leak detector to the Teflon tubes from the valve
package; this can be done by using Teflon plates. The flow setup is similar to the flow
measurement setup, but instead of nitrogen, a helium source is used to supply the flow,
and the upstream pressure is directly read from the dial. The leak rate is displayed in the
detector, which has an upper limit of l0x 10- atm-cc/sec 1 .
1. The helium detector used in this test is 959 Portable Leak Detector manufactured by Varian Vacuum Inc.
66
Chapter 4: Test Package and Testing Setup
4.3 Summary
This chapter introduces the package design for both electrode and flow testing of the
valve. This package is designed to withstand 9 atm pressure drop and high voltage input.
Testing methodology and instrumentation are also described. We are mainly interested in
three types of testing: 1) Obtaining I-V curves and access current leakage in the actuator;
2) Verifying function of the electrode in both static and dynamic modes, and 3) Evaluating
flow characteristics, including flow rate, opening voltage and gas leakage of the valve at
different pressure drops.
Chapter
5
Modeling and Testing
This chapter presents the results for the testing of the actuation mechanism and flow characteristics. These results are then compared with those obtained from the lumped element
and flow models.
Before the tests are carried out, the valve dimensions are measured using Wyko and
Electronic Vision tools. Table 5.1 lists the average measurement values across the wafer
Table 5.1. Planar dimensions of fabricated valve as well as constants calculated
from these dimension measurements.
Items
Units
Designed
Measured
Tether Height t
Rm
17 (SOI)
17.5±0.1
Tether Width w
gm
60
55.6±0.4
Tether Length 1
gm
800
800± 1
Total Spring Constant K
N/m
300
303±6
Landing Feet Height
pm
3.4
3.6±0.1
Boss Diameter D
pim
1080
1080±2
Boss Height
jm
378
Tether:
Boss:
378±0.1
10.5x10-
7.97 ± 0.08x10 7
KHz
2.68
3.1 ± 0.1
Inner Radius r;
jim
20
22.0±0.6
Outer Radius ro
jm
34/42
31.1/39.1±0.6
Boss Mass m
Kg
Natural Frequency oo
7
Valve Seat:
67
Chapter 5: Modeling and Testing
68
as well as the uncertainties, which are the standard deviations of the measurements made.
5.1 Electrode Characterization
The purpose of these tests is to characterize the contact resistance of the metal-semiconductor contacts and the leakage current of the parallel capacitors. I-V curves within each
contact pad are obtained. It is found that for n-doped wafers, the wafer-metal contacts
exhibit diode behavior. The leakage current between two electrodes is also measured.
While the leakage current is very low between the boss and the top electrode, this is not
the case between the boss and the bottom electrode. Ideally, the leakage current should be
extremely low and hence the resistance in the circuit does not matter. However, if the leakage current is high, the actuation behavior will be affected.
A schematic of the valve cross-sectional view is shown in Figure 5.1. The four contact
pads are illustrated as those for the boss (which is actually the tether), the landing pad, the
top electrode and the bottom electrode.
Landing Pad: VL= VB
Boss: VB
Bottom
Electrode: Vs
Top Electrode: VT
Figure 5.1. Cross-sectional schematic of the second generation valve to show the probes and
the four contact pads.
69
Section 5.1: Electrode Characterization
Note that the boss and the landing pad are shorted so that the landing pad is not electrically floating. The voltages applied to the boss, the landing pad, the top electrode and the
bottom electrode are VB, VL, VT and VS respectively. In the following sections, VBT
denotes a voltage applied between the boss and top electrode, with the top electrode
grounded, and VTB means the same except that the boss is grounded. (That is, the second
subscript denotes the grounded electrode.) Other cases such as VBS, VSB are similar. When
voltage is applied between two electrodes, the third electrode is usually electrically floating.
To evaluate the contact resistance, I-V curves are obtained by putting two probes to the
same contact pad. Figure 5.2 shows the measurement curves. All wafer substrates used are
lightly doped, and the n-type boss wafer and bottom wafer exhibit the typical Schottky
diode behavior. This diode behavior may affect the actuation voltage of the electrodes.
x1 0-3
432-
Top Electrode
-
e
~ -1 50
C.)
.-
50
-100
100
0
9
Landing Pad
Boss
Bottom Electrode
-2-
-3-4-
Voltage (V)
Figure 5.2. I-V curves of the four contact pads measured using HP semiconductor analyzer
by sweeping -100V to 100 V across the same contact pad.
70
Chapter 5: Modeling and Testing
When voltage is applied across the top electrode and the boss, it is found that the electrodes behave differently depending on the voltage polarity. The boss behaves normally
when VBT is applied. If the boss is grounded, i.e., when VTB is applied, it oscillates when it
touches the landing pad. This phenomenon can be described by the I-V characteristics
shown in Figure 5.3.A. In this test, the boss is grounded. When voltage is negative, there is
little current measured. When voltage is positive, there is a high current leakage upon
breakdown, causing the actual voltage between the two capacitors to drop significantly.
The boss therefore releases, and when the voltage drops, the capacitor becomes charged
again, forcing the boss to move up. These experiments suggest that the top electrode
should have a lower potential than the boss in order for the actuator to work.
x
X 10-
10-3
-8--------------------
- ----- 6---------
-
-----
-
1 50
I
-
-100
-5
0
50
100
1
U)
0
-- -- - -- - - - - -
- - -
- -
- 7I-1
- - --
-1
o
.
TAI
-
----------0.5
1
76Votlage (V)
A) I-V curve between boss and top electrode
Votlage (V)
B) I-V curve between boss and bottom electrode
Figure 5.3. I-V characteristics between the two parallel plate electrodes.
The current leakage between the boss and bottom electrode is much more substantial
in both polarities, as shown in Figure 5.3.B. The step-etch approach is not applied to the
bottom electrode, and there might be residuals from fabrication process that act as a cur-
71
Section 5.2: System Characterization
rent path between these two electrodes. Because of the diode behavior as illustrated in Figure 5.2, current leakage is not obvious until voltage breakdown takes place. This could
explain the sudden rise of current at about 60 V in the plot.
5.2 System Characterization
We are ultimately interested in determining the pull-in voltage, the resonant frequency and
the time constant for the actuation system. To do so, we model the system by lumped element method using a parallel plate capacitor, and a mass-spring-damper mechanism. In
this section, we will compare the model and the experimental results in quasi-static mode,
and then predict the time response and resonant frequency using the dynamic model.
R
Fixed Electrode
Z
m\
Mechanical
Stops
Vin
T-
k
b
Fixed
Surrounding
Electrical Domain
Mechanical Domain
Figure 5.4. Lumped model of the electrostatic actuator.
The lumped model of the electrostatic actuator is shown in Figure 5.4. Here, m is the
mass of the boss, k is the spring constant of the tethers, and b is the squeezed-film damping constant. The two mechanical stops are the landing pads in the top wafer and the valve
seat in the bottom wafer; they restrict the motion of the boss to a certain stroke. R is the
72
Chapter 5: Modelin2 and Testin2
lumped resistance including the resistance of the power source, the contact pads and the
wafers.
5.2.1 Quasi-Static Mode
In quasi-static mode, voltage is applied across the electrode and the equilibrium positions
of the boss are measured. In the case where there is no current leakage between the electrodes, V = Vn, and hence the value of R is not important. From this measurement, the
pull-in voltage of the electrostatic actuator and the spring constant are determined.
At each equilibrium position, we can equate the electrostatic force with the spring
force, such that
EAV2
2(g 0 - z)
2 =
kz
(5.1)
where go is the original gap space between the two electrodes as the tethers are undeflected. Using this formula, we can express the voltage as a function of boss displacement
z and by using the design dimensions listed in Table 2.2, a plot of the displacement versus
voltage across top electrode and boss is determined (Figure 5.5). As voltage increases,
there are two solutions for the displacement, but one of the rootshis not stable, and hence is
not the real solution. There exists a maximum voltage at which the displacement converges to a single root in the plot; this point is where pull-in occurs. By setting the derivative of V with respect to z to be zero, we can find that this maximum voltage happens at
1
Zg = 3go. The pull-in voltage is therefore
8kgo
27eAactuator
This is the minimum voltage required to actuate the valve.
(5.2)
73
Section 5.2: System Characterization
6
5
4
0
-----
3
--- - --- - -
2
------ NN
---- --- ----
-
- - --
--
Pull-In
- - -
--
- ----
Unstable
-- - --
- -
--------------- --
---
Stable
0.00
10.00
20.00
30.00
40.00
50.00
60.00
Voltage (V)
Figure 5.5. Plot of equilibrium position of boss as function of voltage using measurement
data from Table 5.1.
Figure 5.6 plots the measured displacements as function of voltage VBT. Also shown in
the plot is the theoretical curve using the measured dimensions listed in Table 5.1. An initial upward displacement from 0.3 to 0.5 ptm is observed on all dies, which can be caused
by the initial residual stress in the SOI film. In the plot, however, this initial displacement
is trimmed and the zero stress state is set at zero displacement. Two measurements are
made for each die, and it can be seen that the experimental curves are repeatable. The pullin characteristics of 5 different dies are listed in Table 5.2. The table shows the pull-in
voltages from both the top electrode and the bottom electrode, the maximum boss displacements of each stroke, as well as the spring constant. The spring constant is calculated
by using Equation (5.2) and uses g as the sum of the average maximum upward displacement and the landing feet height. From the table, we can estimate that the spring constant
of tethers as fabricated is 316 ± 32 N/m, which is within the theoretical value of 303 N/m.
Chapter 5: Modefing and Testingz
74
Table 5.2. Pull-in Voltages of different dies for both the top electrode and the bottom electrode.
*Die VI has a tether that is buckled and is not considered in statistics.
Initial Tether
Die
Number Deflection
Maximum
Downward
Displacement
Spring
Constant
Vpi of Bottom
Electrode
(V)
(N/m)
(V)
162
0.34
Vpi of Top
Electrode
Maximum
Upward
Displacement
I
0.21
36.2
2.65
280
IV
0.21
39.1
2.79
300
141
0.36
VI*
0.36
45.5
2.89
385
180
0.41
V
0.35
40.5
2.70
340
108
0.39
XI
0.31
36.2
2.31
345
162
0.29
Average
0.29
38
2.7
316
140
0.36
STD
0.07
2
0.2
27
22
0.04
Notice from the table that the pull-in voltage of the bottom electrode is significantly
larger although the gap is much smaller. Figure 5.7 shows a typical tether response using
the bottom electrode. Although the theoretical pull-in voltage is 17 V, the actual applied
3.
S
r
2
-- -- -- -- --
- --- - - - - -
-- -
rtI
D
--------Ir
De
Die #1
00
*
II
W 0.5 --------
---
0
0
-De#
-
Ix
i
0
-
-------
-------------1.
2 ----------------I A
O
- -- -- -
-
2.5---- L -4----------------
10
- - -
- - -
- -- - I
I
20
30
Die#4
40
50
Voltage Applied to the Actuator (V)
Figure 5.6. Plots of boss deflection measured using Wyko vs. voltage applied between the
top actuator and the boss for two different dies. Also in the plot is the theoretical
curve using measured dimensions.
75
Section 5.2: System Characterization
voltage VBS has to be much larger because of current leakage (as shown in Figure 5.3) that
causes large voltage drop. The pull-in voltage differs significantly among dies, due to the
unknown resistance that puts the two electrodes in electrical contact.
-0.1-
)
-V
-0.2
69
4P
2
--o
-
1
QO
89
-
-
-
-F------
C
0
-0.3
--
-0.4
x
Theoretical
Die XI
-0.5
-0.6
-
-0.7
Voltage (V)
Figure 5.7. Tether deflection as voltage is applied across boss and bottom electrode.
5.2.2 Dynamic mode
Taking into consideration of dynamics, we could represent the lumped model of the electrostatic actuator in Figure 5.4 by a set of state-space equations.
The governing differential equation for the electrostatic actuator is
mz+bz + kz = -V2
2(go - z )2
The charge of the parallel capacitor can be expressed as Q=
(5.3)
V(go - Z)
M
. If we take the
charge Q, the boss displacement z and velocity v as the three state variables x 1 , x 2 and x3 ,
and take Vin as the input, then we can represent the system in state-space form,
Chapter 5: Modeling and Testing
76
x1 X
=
R-
54
X1 (go - X2)
Vi -(5.4)
EA
(5.5)
X2 = X3
2
X3 =
-
(5.6)
kx 2 - bx 3)
We are interested in obtaining the time response to a step voltage input of the system.
If we assume that the electrical domain has much faster time response than the mechanical
domain, which is usually the case, then V=Vin. Matlab Simulink can be used to obtain the
step response of the system. Figure 5.8 shows displacement of the boss when a step voltage slightly greater than the pull-in voltage is applied. In this plot, R is estimated to be 13
KQ, and b is evaluated using Equation (2.8) at the position where the gap between boss
and top electrode is minimum. This overestimates b and gives the time constant of the step
response to be 4 ins. It can also be shown that the cutoff frequency in the squeezed-film
damping model is two orders of magnitude greater than the natural frequency of the
spring-mass system. Therefore, we can neglect the spring effect in our damping model.
x
10-6
31
2.5
2
1.5
1
0.5
0
0
0.005
0.01
0.015
0.02
Time (sec)
Figure 5.8. Step response of the boss with a step voltage of 41 V.
77
Section 5.2: Systemn Characterization
We can also linearize the above system about an operating point. By using the Jacobian matrix, the linearized system can be written as
(go -x 2 o)
x 10
8xI
EA
LA
8X2j
0
0
x6
root, x 10 =
Vino(g -x
A
2
o)
x 2o
1
6x
1
8x2 +
_k
bo
6
m
m
mEA
where at the operating point,
--
-
0
(5.7)
1 6V,
X
is found by Equation (5.1) and choosing the stable
, and bo can be calculated by Equation (2.8). Using this model,
we can obtain a plot of the undamped natural frequency of the system versus the input
voltage, as shown in Figure 5.9. It is observed that the resonant frequency shifts as the
input voltage increases. As the voltage is approaching pull-in, the frequency drops rapidly.
Such phenomenon is often called "spring softening." At zero input voltage, the natural frequency is 3.1 KHz, the same as the spring-mass system.
3.5-
- ----- -------
1. -- ----- - ---- -----U.
Z
- -
-
-
-
- -
-
-
-
- - -
-
- -
0
0
10
20
30
40
50
Voltage Input (V)
Figure 5.9. Undamped natural frequency of the system as a function of the voltage input.
Chapter 5: Modeling and Testing
78
5.3 Flow Characterization
At the fully opened position, the flow rate of the valve is measured at different upstream
pressures and compared with analytical and finite element results. At low pressures, the
flow can be considered as incompressible as the Reynold's number is low. At high pressures, however, viscous effect can not be neglected. As analytical result is difficult to
obtain, flow analysis is done by commercial FEM package CFD FLUENT A simplified
geometry is able to predict the flow with reasonable accuracy. Where choked flow occurs,
a series of normal shock waves are observed.
When the valve is fully opened, its flow rate is observed to be rather linear with the
differential pressure. Figure 5.10 plots the testing results of two dies with different outer
seat geometry. Die IV has a seat radius 8 gm bigger than Die I. This results in more flow
resistance and hence slightly less flow (by about 2 sccm from the plot). However, such a
difference is usually hard to distinguish from that caused by uncertainty in dimensions.
Also plotted in the figure is the flow rate obtained using CFD FLUENT, which shows very
60
50 -----
II
I
50
I
----- I40--
cc
30 -------
---- IL----IL ----
-L - - j-----
- --
A
-1
- - --
-----1
--
- -----I
A-
Die 1,Test 1
---- -
----- -
9
Die 1,Test 2
Die IV
0
20 --- ---
----
- - ----------
7-
--
--
CFD Model
0
0
2
8
6
4
Pressure (x 105 Pa
10
12
Figure 5. 10. Valve open flow rate measured at different absolute pressures of gas inlet for
two dies with different seat geometry.
79
Section 5.3: Flow Characterization
good agreement with the experimental data. At 9 atm differential pressure, the volume
flow rate for the smaller seat geometry is about 43 sccm, corresponding to 3 g/hr. This
flow rate is larger than desired. The difference is caused by the isentropic model used to
choose the design dimensions, as well as the fabrication error. The testing and modeling
results will be further discussed. All modeling results are obtained by using the measurements values listed in Table 5.1, and for the seat, the smaller diameter is used.
Low Pressure Region
Flow at very low pressure is examined first as solutions can be obtained analytically.
When the Mach number is less than 0.3, the compressibility effect can be usually
neglected. A simplified flow geometry can be used for this analysis as drawn in Figure
5.11. Assuming steady state, fully developed flow, and neglecting gravity effects, we can
write the Navier-Stoke's equation in region I as
2
0
=
r
+
az2
r
(5.8)
with boundary conditions:
Vr(zj = 0) = 0
Vr(zi = h) = 0
Similarly, in region II,
P=
aZ2
r [
r2
Vz
r2
(5.9)
2
with boundary conditions:
JVz2(r 2 =)
= 0
3r2
Vz2 (z2 = 0) = 0
(5.10)
(5.11)
Modelingy and
Chapter
Testing
and Testingy
5: Modeling
Chapter 5:
80
80
z
h
Po,To
I
2
P3
*z2
II
Seat Profile
atm
Figure 5.11. Simplified flow geometry showing the flow direction.
Solving the above equations, we can obtain the volume flow rates in the two regions
as:
region I:
Q,
(P
=
1
-P2)th'
6gln
(5.12)
-o
r.
region II:
Q2 =
(P 3
-
P at,,,) i
8gl
(5.13)
We also need to take into consideration of the minor loss at the entrance and the bend.
For sharp-edged entrance, we choose Kent = 0.5, and for a sharp bend, Kbend = 1.1 [24]. A
Matlab program is set up to do the calculations. It is found that at pressures lower than
about 1.5 atm, the flow rate calculated complies quite well with the experimental data, as
shown in Figure 5.12. This value corresponds to a Mach number of about 0.5.
81
Section 5.3: Flow Characterization
16-
12
------------
---
14
--
---
-
------
----------
--- -
------------ Experimental
0 10------------------------ --2
U:
------ ------------
8-------------------6
0
--
- - - - -------
4 -----------
------- - - --
Model
----
IL---------
-------------
2 ---------------------0
- ----
L
I
0
1
2
Pressure (x 10
3
5
4
Pa)
Figure 5.12. Open flow rate as function of absolute pressure at low pressure range for Die I.
The model matches the experimental data well at pressure lower than about 1.5
atm.
High Pressure Region
At 10 atmospheric pressure, the Reynold's number reaches 1500. Although viscous effect
may not be negligible, it would be interested to see how the isentropic model compares to
experimental results.
Assuming isentropic, choked flow, Equation (2.15) can be used to calculate the flow
rate as a function of stagnation pressure. Figure 5.13 compares the calculated values with
the experimental data. It is worth noting that using the isentropic model, we could predict
the flow rate with a simple formula within 8 sccm. Therefore, it is a quick way to access
the design dimensions.
82
Chapter 5: Modeling and Testing
70-------
60
- -------
--------
E 50-40 -------
------
,u- 40 -- - - - --
- - - -- - - - --
30- -------------------------------------.220 -----------
Experimental
Model
LL
10 --
--
------------------
------
0
6
8
10
12
14
Pressure (x 105 Pa)
Figure 5.13. Open flow rate as function of pressure in high pressure region. The model
neglects visous effect.
CFD FLUENT Model
It is been shown in Figure 5.10 that the CFD FLUENT results are in good agreement with
the experimental data. Furthermore, at low pressure, laminar and fully developed flow is
observed as predicted, and at high pressure, normal shock waves are present.
At 1.2 atm upstream pressure, the velocity distribution in the flow region near the seat
area is shown in Figure 5.14. Note that the geometry drawn is rotated 900, and therefore
the flow inlet is from top and outlet to the right. The contours show rather smooth transition of velocity. At the throat of the flow path, the Mach number only reaches 0.26, as
revealed in Figure 5.15.A. Downstream in the channel, the flow is seen to be steady and
fully developed. Figure 5.15.B shows half of the parabolic velocity profile of a typical
Poiseuille flow.
83
Section 5.3: Flow Characterization
9.31e+01
8,38e+01
745e+01
4.66e+O1
3.72e+01 c
o
i
wro
r.2.tmustea.resue
2,79e+01
1t860+01
9.31e+00
O.0O+00
Figure 5.14. Velocity contours in flow region for 1.2 atm upstream pressure.
50-
0.3
-
- -
-
-
-
-
-
-
0.25
40-
0.2
30-
E
- ---- -- --------
z 0.15
0
JU
0.1
- - - -- -- - --
20 -
-----------------
--
--
-
----
10-
0.05
*
n
n
0
I
0
I
I
v
20
Position from Seat (0) to Boss (3.2 gm)
15
5
10
Distance from Center Line (gm)
A) Mach number profile in throat
B) Velocity profile downstream in channel
1
2
3
4
Figure 5.15. Flow profile in A) the throat and B) the channel showing subsonic flow that is
fully developed.
For a 10 atm upstream pressure, the flow patterns become more interesting. Figure
5.16 shows both the Mach number and the static pressure contours of the flow region. The
flow is choked at the outlet of the throat as expected. The Mach number profile plotted in
Chapter 5: Modeling and Testing
84
Figure 5.17.A further demonstrates this. As flow suddenly expands in the channel, flow
separation is observed near the wall region. Not far from the throat, a normal shock clearly
dominates the flow pattern, and result in a large pressure gradient. Downstream, the
boundary layer thickens and the shocks following are not as obvious. The flow gradually
diverges to subsonic before reaching the outlet of the channel. Such flow pattern resembles the case of a supersonic nozzle.
1010+06
3060+00
2.76e+00
.130+05
2AS.e+O0
8.13e+05
14e-+O
713e+05
1.84+00
&13e+05
2
5.13e+05
115*+W
1.2f*004.13o.-0S
3.13e+05
a, 13".01
o
m
6,13e-1
m2.13e+05
3,06"01
1.13e+05
1,760-6
1.32e+04
B) Pressure (Pa) contour
A) Mach number contour
Figure 5.16. Mach number and pressure contours for 10 atm upstream pressure.
12
1.2
E
0
0.
-
-
--
- -
--
-4 -
-
-
-
04
0.0
1.0
2.0
3.0
4.0
Position from Seat (0) to Boss (3.2 gm)
A) Mach number profile in throat
0
40
80
120
160
200
240
Position from Center Line (gm)
B) Pressure distribution on boss
Figure 5.17. At 10 atm upstream pressure, A) shows choked flow in the throat and B) shows
pressure drops on the boss along the valve seat.
85
Section 5.3: Flow Characterization
Figure 5.17.B shows the pressure distribution on the boss. It is seen that pressure drop
along the seat area can be approximated by linear profile, and the pressure drop in the
channel area has a rather small variation around 6 atm.
5.3.1 Valve Function
In this section, we will examine the voltage and power required to open the valve against
an applied pressure. This ultimately demonstrates how the valve functions.
The current valve closes at a very small pressure drops. In this test, a step voltage is
applied, and the lowest voltage that fully opens the valve is recorded. Figure 5.18 plots the
testing results of Die I. The opening voltage that is predicted using the worst case scenario
as depicted by Equation (2.11) is also plotted. As expected, the actual opening voltage is
less than the designed value. At 10 atmospheres (132 psig), the opening voltage is 136 V.
180
160 ------------- --|
------140 -------------- -----L
----120-------------------------4)
0
2
----- -
----
100 --------+------
4
6
8
- --------
10
12
Pressure (x 1O5 Pa)
Figure 5.18. Voltage required to open the valve against applied upstream differential pres-
sure.
Chapter 5: Modeling and Testing
86
86Chpe5:MdlnanTetn
To access the power consumption, the leakage current between the boss and the top
electrode is measured during flow operation and displayed in Figure 5.19. The curve
shows the characteristic shape of ionized current between two parallel plate electrodes. As
mentioned before, current leakage has been a major problem in the 1st generation of the
valve design. We have solved this problem by creating the step-oxide etch as discussed in
Chapter 3.
x 10-9
0.6
I
I
0.5
0.3 --------------- ---------
- I---
-
-
--
-- - ---
0.1 ------
0
0
20
40
60
80
100
120
140
160
Voltage Across Boss and Top Electrode(V)
Figure 5.19. Leakage current between the boss and top electrode as voltage is applied for
Die I.
It is shown that at 136V, the leakage current is 0.5 nA, resulting power consumption of
68 nW. The opening function has been very repeatable at different times over more than
one hundred cycles. Table 5.3 lists the performance of other tested dies. All dies have been
tested under voltage as high as 300 V and no voltage breakdown is observed. Die VI is
discarded because its current leakage is too high (0.8 mA at 300 V) and hence not able to
open the valve. The table reveals that opening voltage differs more than 10 V for different
dies and current leakage in particular varies significantly. Nonetheless, we could conclude
87
Section 5.3: Flow Characterization
that the valve can be opened with voltage less than 150 V, and it consumes power less than
0.04 mW.
Table 5.3. Valve performance for four dies at 10 atmosphere upstream pressure.
Seat outer
Diameter
Opening
Voltage
Flow Rate
Current
Power
Consumption
gm
(V)
(sccm)/(g/h)
(nA)
(nW)
I
31.1
136
45.1/3.08
0.50
68
X
31.1
126
41.6/2.84
13.3
1,683
131
43.4/2.96
Die Number
Average
IV
39.1
143
43.6/2.97
0.61
87
XI
39.1
142
43.1/2.94
270
38,232
142.5
43.4/2.96
Average
It will be interesting to observe the pull-in phenomenon at an upstream pressure. Since
the boss deflection can not be obtained directly during flow tests, the flow rate is instead
measured. Figure 5.20 shows the experimental curves for Die I at four different pressures.
At higher pressures, the valve pulls in much more suddenly and hence a gradual increase
in flow is difficult to record.
12
10
------- -- --T-- -- -- -- - - - -- -- - -- -- -- - --
--
8
0
LL
6
--------- - ------- -- - ------ ---------
0
4
E
--
-
-
-I
-
-
-
- I
-
-
-
-
- -
-
4.9 gpsi
_e10.9 gpsi
-*-20 gpsi
--- 29.8 gpsi
2
- -
- -
-
0
0
20
40
60
80
Voltage (V)
Figure 5.20. Flow rate at certain pressure as voltage is gradually increased to open the valve.
88
Chapter 5: Modeling and Testing
5.3.2 Gas Leakage
Gas leakage when the valve is closed is of fundamental interest. It can be used to determines whether the valve is suitable for the desired applications.
The leakage rate measured using a 50 sccm flow meter reads 0.01 sccm, which is the
minimum measurement the meter could detect. In order to measure the small flow more
accurately, a helium leak detector is used. Because of the upper detection limit of 104
cm 3/s of the particular detector used, the upstream pressure is limited to be less than 2.4
atm (20 psig). Two dies with different seat geometry are tested. The results are plotted in
Figure 5.21.
It can be determined from the trend of the two curves that the larger seat area results in
smaller gas leakage. A leakage model, however, is difficult to obtain, because the surface
roughness of the polysilicon is on the same order of magnitude with the gas mean free
path, and hence the fluid can not be treated as a continuum. If we assume that the flow rate
x 10-3
7
cc
.
----------------- - 4 -3
A--
-
-
--
-
-
-
------
2 -------
--- - - -L-
-
-
-
I
--
(Die
-
- - .-
--
(Diel1)
--
Large Seat
(Die VI)
-------
'-------
- Small Seat
0
0
5
10
15
20
Pressure (psig)
Figure 5.21. Helium leakage rate of two dies with different seat areas.
Section 5.4: Summary
89
is linear at higher pressure, we could estimate that at 10 atmospheres, the valve has leakage rates of 0.03 sccm for the small seat and 0.02 sccm for the large seat, which gives percentage leak of 0.07% and 0.04%, respectively.
5.4 Summary
Various testing results and corresponding analysis have been presented in this chapter.
They can be summarized as follow.
1. Electrodes
"I-V curves of the contact pads show Schottky diode behavior for n type wafers. This
has caused the actuator to behave abnomally in one polarity. In the future, this can be
avoided by using heavily doped p type wafers.
- Attributing to the step-oxide technique, very little current leakage is observed
between boss and top electrode. Since this technique is not applied, the bottom electrode shows substantial leakage.
2. System
- Equilibrium position of boss at applied voltage is measured using Wyko, and the
experimental value corresponds well with the quasi-static model. Average pull-in
voltage is found to be 38 V and the spring constant is 316 N/m.
* Using lumped element method, the dynamic model of the electro-static actuator is
obtained. The model predicts that the time constant for a step response for this system should be less than 4 ms. The system also exhibits "spring softening" effect, i.e.,
its resonant frequency drops as the input voltage approach pull-in voltage.
3. Flow
- In the low pressure region, the low can be considered incompressible and hence an
analytical model is obtained. The model complies well with experimental data.
90
Chapter 5: Modelin2 and Testin2
- In the high pressure region, viscous effect may not be neglected. Compared to the
isentropic model, the experimental data displays about 15% less flow rate. However,
the simple formula of isentropic chocked model is a quick access in the design of the
dimensions.
- CFD FLUENT is used to analyze flow characteristics. Laminar, fully developed flow
pattern is observed at 1.2 atm upstream pressure as predicted. As pressure is
increased higher, chocked flow occurs, and a set of normal shock waves appear in
the flow path, resembling the case of a supersonic nozzle.
4. Valve Function
- At 10 atm, the valve can be opened at voltage less than 150 V in average, while consuming less than 0.04 mW of power.
5. Gas Leakage
" Gas leakage using helium detector is estimated to be less than 0.07% of the full flow.
Larger seat diameter results in less gas leakage.
" Depending on the requirement of the application, gas leakage might have to be
improved. This can be done by using smoother seal surface, or increasing the seat
area. But the trade-off between leakage and stiction forever exists.
Chapter
6
Conclusions and Future Work
6.1 Conclusions
The MIT microengine prototype valve has been fabricated, tested, and found to be fully
functional. Two generations of the prototype valve were built and the second generation
was improved based on the testing results of the first one. This thesis emphasizes the fabrication and testing of the second generation.
The microengine valve employs electrostatic actuation and uses silicon material as the
valve seat. The actuation mechanism can be described using a parallel plate capacitor and
a lumped spring-mass-damper model. The flow is designed to be choked at the seat. Fabrication of the valve is made possible using SOI wafers and the DRIE process.
The first generation of valve has demonstrated functional electrodes and choked flow
characteristics. However, it fails to function as a valve in three aspects: 1) the actuation
force is too weak to open against pressure force; 2) current leakage is high; and 3) the
valve adheres to a surface, rendering any actuation force useless. Design of the second
generation aims to resolve such problems. First, actuation area is increased and the valve
seat diameter is reduced in order to increase the net opening force. Second, a step-oxide
etch is employed where two electrodes are separated by a thin layer of oxide, preventing
91
92
Chapter : Conclusions and Future Work
undercutting between the two conducting layers. This method has eliminated current leakage along the edges. Third, instead of silicon, which has a very smooth surface, polysilicon is chosen as the sealing material, becuase it has a much rougher surface. The
advantage of using polysilicon, however, is not solely justified. Reduction of the seat area
not only has greatly diminished the pressure force acting on the boss, but also decreased
stiction forces. Nonetheless, use of polysilicon is an alternative for fabrication; deposition
of polysilicon gives more flexibility in choice of thickness compared to using an SOI
wafer.
The new valve is shown to have met most of its specifications. At 10 atmospheres, the
valve can be opened with less than 150 V and consumes less than 0.05 mW of power. The
flow rate at this pressure is 3 g/h. The opening function is very repeatable. Stiction has not
been observed even at 100% ambient humidity. The time response of the valve to a step
voltage is estimated to be in milliseconds. The gas leakage when the valve is fully closed
at 10 atmospheres is estimated to be less than 0.03 sccm.
CFD FLUENT is used to model the flow and has very good agreement with the experimental data. At low pressures, the flow is laminar, fully developed and compressibility
effect can be neglected. At high pressure, flow is choked at the seat and series of shock
waves are predicted downstream as would be observed in supersonic flow in nozzles.
6.2 Future Work
The prototype valve has demonstrated the feasibility of the design of an MIT microengine
fuel valve. It will be of great importance to perform cyclic tests and have a better appreciation of the repeatability of the opening function. To incorporate this valve design into the
microengine will required more experimental work. The prototype valve could also be
used in other application, such as in the microrocket that MIT is developing.
93
Section 6.2: Future Work
For the cyclic testing, an electrical switch can be used together with the LabVIEW program to access the cyclic performance of the valve. The failure mode can be either
mechanical fatigue, or electrical breakdown. Therefore, this test could also be of interests
to study fatigue in silicon or electrical breakdown phenomenon.
The microengine will require an array of valves (designed to be 20) in order to modulate the flow and accomplish the control scheme. Distribution of valves on the
microengine valve chip can be planned as shown in Figure 6.1, where the array of valves
is drawn to show the relative position in the engine plenum. An extra valve is set to be the
start valve, which will require a much larger initial flow rate to start the engine. This valve
can be made by using the same design but varying the design dimensions to fit the flow
requirements. Wiring also needs to be carefully designed on the chip in order to effectively
switch on the correct numbers of valves.
L1,
0
N
Figure 6.1. Valves distributed on microengine chip.
Chapter: Conclusions and Future Work
94
To expand the applicability of the valve, it will be interesting to carry out testing in liquid fuel. The microrocket engine uses liquid fuels such as ethanol, kerosene or JP-7. However, liquid is usually has higher conductivity and therefore, current leakage will be large
between the electrodes, resulting in much greater power consumption even if the valve
functions. Nonetheless, there are fluids that possess very good electrical properties in high
strength fields that can be used as the first testing fluids, such as silicon oil. If the valve
can accomodate liquid fuels, it can be expected that its future applications will be much
wider.
References
[1]
A. Epstein, et al. Micro Gas Turbine Generators, Third Semi-Annual Interim
Technical Profess Report, January 1997.
[2]
D. J. Sadler, K. W. oh, et al. A New Magnetically Actuated Microvalve For Liquid
And Gas Control Applications, Transducers '99, June 7-10, 1999, Sendai, Japan, pp
1812-1815.
[3]
R. Zengerle, H. Sandmaier. Microfluidics in Europe, 28th AIAA Fluid Dynamics
Conference & 4th AIAA Shear Flow Control Conference, June 29 - July 2, 1997,
Snowmass Village, CO.
[4]
I. Chakraborty, W. C. Tang, D. P. Bame, T. K. Tang. MEMS Micro-Valve For Space
Applications, Transducers '99, June 7-10, 1999, Sendai, Japan, pp 1820-1823.
Mike L. Philpott, David J. Beebe, et al. Switchable Electrostatic Micro-Valves With
High Hold-Off Pressure, Solid-State Sensor and Actuator Workshop, Hilton Head
Island, South Carolina, June 4-8, 2000, pp 226-229.
[5]
[6]
A. P. Papavasiliou, D. Liepmann, Al. P. Pisano. Electrolysis-Bubble Actuated Gate
Valve, Solid-State Sensor and Actuator Workshop, Hilton Head Island, South
Carolia, June 4-8, 2000, pp 48-51.
[7]
G. Hahm, H. Kahn, etc. Fully Microfabricated, Silicon Spring Biased, Shape
Memory Actuated Microvalve, Solid-State Sensor and Actuator Workshop, Hilton
Head Island, South Carolia, June 4-8, 2000, pp 230-233.
[8]
C. Vieider, 0. Ohman, H. Elderstig. A Pneumatically Actuated Micro Valve with A
Silicone Rubbber Membrane for Integration with Fluid-Handling Systems,
Transducers' 95, Eurosensors IX, Stockholm, Sweden, June 25-29, 1995, pp 284286.
[9]
J. Ulrich, H. Fuller, R. Znegerle. Static And Dynamic Flow Simulation of A KOHEtched Micro Valve, Transducers'95, Eurosensors IX, Stockholm, Sweden, June 2529, 1995, pp 17-20.
[10] Mitchell J. Novack. Design and Fabrication of a Thin Film Micromachined
Accelerometer, Master Thesis in the Department of Mechanical Engineering at
Massachusetts Institute of Technology, September 1992.
[11] Stephen D. Senturia. Microsystem Design. Kluwer Academic Publishers. Boston
2000, pp 332-338.
95
96
96
References
References
[12] A. A. Ayon, R. Braff et al. Characterization of a Time Multiplexed Inductively
Coupled Plasma Etcher, Journal of The Electrochemical Society, 146 (1), 1999, pp
339-349.
[13] J. M. Meek, J. D. Craggs. ElectricalBreakdown of Gases. John Wiley & Sons, Ltd:
New York, 1978, pp2 10 -3 18 .
[14] Jo-Ey Wong. Analysis, Design, Fabrication, and Testing of a MEMS Switch for
Power Applications. Doctoral Thesis at the Massachusetts Institute of Technology,
June 2000, pp 2 9 -32 .
[15] C. H. Mastrangelo, C. H. Hsu. Mechanical Stability and Adhesion of Micro Structure
under Capillary Forces, Journal of Microelectromechanical Systems, March 1993,
pp33-43.
[16] Arthur W. Adamson. Physical Chemistry of Surfaces. Interscience Publishers, Inc.,
New York. 1960, pp2 6 1 -2 7 5 .
[17] K. Komvopoulos. Surface Texturing and Chemical Treatment Methods for Reducing
High Adhesion Forces at Micromachine Interfaces. Part of the SPIE Conference on
Materials and Device Characterization in Micromachining, Santa Clara, California,
September 1998. SPIE Vol. 3512, pp 106-122.
[18] Y. Ando, J. Ino et al. Friction and Pull-off Force on Silicon Surface Modified by FIB,
Micro Electro Mechanical Systems, 1996, MEMS '96, Proceedings. An Investigation
of Micro Structures, Sensors, Actuators, Machines and Systems. IEEE, The Ninth
Annual International Workshop, 1996, pp 349 -353.
[19] M. Houston, R. Maboudian, etc. Ammonium Fluoride Anti-Stiction Treatments for
Poysilicon Microstructures, The 8th International Conference on Solid-State Sensors
and Actuators, and Eurosensors IX, Stockholm, Sweden, June 25-29, 1995, pp 210213.
[20] Y. Matsumoto, T. Shimada, etc. Novel Prevention Method of Stiction Using Silicon
Anodization for SOI Structure, Sensors and Actuators A 72 (1999), pp 153-159.
[21] M. Horiuchi, S. Aoki. A Mechanism of Silicon Wafer Bonding. Proceedings of the
First International Symposium of Semiconductor Wafer Bonding: Science,
Technology and Applications. January 1992, pp 46-52.
[22] http://umech.mit.edu/MEMS.html
[23] Chuang-Chia Lin. Development of a Microfabricated Turbine-Driven Air Bearing
Rig. Doctoral Thesis at the Massachusetts Institute of Technology, June 1999.
[24] Munson, Yound & Okiishi. Fundamentals of Fluid Mechanics. Third Edition. Jogn
Wiley & Sons, Inc. New York: 1998.
Appendix
A
Mask Drawings
97
-
- a
-
Q -1d -
---
- _-
-1
-;
2=
11
Appendix
: Mask Drawins
Daig
pedi:Ms
98
02
-i*
4
4#
Figure A. 1. Mask: ALIGN, wafer level, with streets
Appendix: Mask Drawings
Figure A.2. Mask: TOPELEC, die level, with streets
99
Appendix
Drawingzs
Mask Drawings
Appendix: : Mask
100
100
0
0
0
0
Figure A.3. Mask: TopELEC, device level
101
101
Appendix : Mask Drawin~s
Appendix: Mask Drawings
.01
Figure A.4. Mask: TOPOX_2, die level, with streets
Appendix: Mask Drawings
102
eK2
Figure A.5. Mask: TOPTHROUGH, die level, with streets
103
103
Appendix : Mask Drawings
Appendix: Mask Drawings
(
)
Figure A.6. Mask: TOPTHROUGH, device level
104
104
Appendix
Mask Drawings
Drawings
Appendix: : Mask
Figure A.7. Mask: BOSSOX1, die level, with streets
Appendix: Mask Drawings
105
00
Figure A.8: Mask: BOSS_FEET, device level
106
106
Appendix
Drawings
Mask Drawings
Appendix:: Mask
0
Figure A.9. Mask: BOSSOX_2, die level
107
ADuendix: Mask Drawines10
0
Figure A.10. Mask: BOSSTETHER, die level, with streets
108
108
Amcendix
Mask Drawings
Drawings
Appendix:: Mask
Figure A. 11. Mask: BOSSTETHER, device level
Appendix : Mask Drawings
Appendix: Mask Drawings
0
Figure A.12. Mask: BOSSDEEP, die level, with streets
109
109
1±:
__________________________________
Appendix: Mask Drawings
110
_____________%
Figure A.13. Mask: STREETS, wafer level
111
Appendix: Mask Drawings
0
Figure A.14. Mask: SEAL-OXIDE, die level, with streets
112
112
A Dendix : Mask Drawings
Figure A.15. Mask: SEAL_OX, device level
113
Appendix : Mask Drawinas13
00
Figure A.16. Mask: SEALBACK, die level, with streets
Appendix : Mask
Drawings
Ms1raig
114
1ilAnedx
0
Figure A.17. Mask: SEALSEAT, die level, with streets
115
115
Appendix : Mask Drawings
Appendix: Mask Drawings
0
0
0
Figure A.18. Mask: SEALCHANNEL, die level, with streets
116
Appendix: Mask Drawings
Appendix
B
Valve Process Flow
B.1 Top Wafer
In this process, nitrite is used as protection layer.
1.
Nitrite Deposition: all wafers
ICL, Tube A5
Recipe: G460
Target: 0.1 um
Deposition Time: 36 min
Wafer Number
Monitori
Monitor2
STD
Film Thickness(um)
0.1012
0.1001
0.0006
0.0006
2. Mask 1: ALIGN, both sides
Photolithography
Coating: Standard thin resist at 3000 rpm
Coat on one side
Prebake 10 min at 90 degC
Coat the other side
Prebake 25 min at 90 degC
Exposure: EVI for 2 sec
Back side alignment
Develop: 10 sec
Exposure: Front side alignment
Develop: 55 sec
Postbake: 30 min at 120 degC
117
118
Appendix B: Valve Process Flow
AME Etch: both sides
Recipe: Nitride STD SF6 for nitride
Time: 60 sec
Recipe: Undoped Poly for SOI
Time: 60 sec
Step Height Measurement:
==> SOI thickness: 0.43 um
BOE 12 min
Double Piranha Strip
3.
Mask 2: TOPELEC
Photolithography
Coating: Standard thin resist @ 3000 rpm
Prebake 30 min at 90 degC
Exposure: EVI for 2 sec
Front side alignment
Develop: 60 sec
Postbake: 30 min at 120 degC
AME 5000 Etch
Recipe: Nitrite STD SF6
Time: 60 sec
Recipe: Undoped Poly
Time: 80 sec (intended for 50 sec)
Target: 0.34 um
SOI Thickness Measurement: 0.44 um
4.
Mask 3: TOPOX_2
Photolithography
Coating: Standard thin resist at 3000 rpm
Prebake 30 min at 90 degC
Exposure: EVI for 2 sec
Front side alignment
Develop: 60 sec
Postbake: 30 min at 120 degC
AME for Nitrite
Recipe: Nitrite STD SF6
Time: 60 sec
BOE for BOX, 1 um
Time: 13 min
119
119
Appendix B: Valve Process Flow
Appendix B: Valve Process Flow
5.
Mask 4: TOPTHROUGH
Photolithography
Coating: NT1-3: Thick resist at 1000 rpm: 10 um
NT4,5: Thick resist at 2000 rpm: 8 um
Coat on front side
Prebake 60 min at 90 degC
Exposure: EVI for 21 sec
Top side alignment
Develop: 180 sec
Coating: Thin resist at 3000 rpm back side
Postbake: 30 min at 90 degC
Mounting
6" quarze wafer
Thick PR; 2.2 krpm
Center Dot; Middle Ring; Outer Ring
Soft Bake: 15 min at 90 degC
Through Etch: STS2
Recipe: MIT_37
Time total: 4 hr 20 min
B.2 Boss Wafer
1.
Thermal oxidation, 1.5 um
ICL, Tube A3
Recipe: G224
10 min dry oxidation
280 min wet oxidation
Wafer Number
VB1
VB2
VB3
VB4
VB5
VBM1
VBM2
Film Thickness(um)
1.539
1.547
1.549
1.549
1.548
1.543
1.532
0.005
0.002
0.002
0.002
0.002
0.003
0.004
STD
120
Appendix B: Valve Process Flow
2.
Mask 1: ALIGN
Photolithography
Coating: Standard thin resist at 3000 rpm
Coat on one side
Prebake 10 min @ 90 degC
Coat the other side
Prebake 20 min @ 90 degC
Exposure: EV1 for 2 sec
Back side alignment
Develop: 10 sec
Exposure: Front side alignment
Develop: 55 sec
Postbake: 30 min @ 120 degC
BOE: 1.54 um oxide
HF:H20 7:1 Buffer
20 min
Nitrite Etch
AME 5000, Chamber A
Recipe: Nitrite CF4
Time: 60 sec
Alignment Mark Etch: AME 5000
All wafers; Both sides
Recipe: POLYSTD
Time: 135 sec
Etch Depth: 1 um
3.
Mask 2: BOSSOX1
Photolithography
Coating: Standard thin resist @ 3000 rpm
Coat on front side
Prebake 30 min @ 90 degC
Exposure: EV1 for 2 sec
Top side alignment
Develop: 60 sec
Back Side Coating: Standard thin resist @ 3000 rpm
Postbake: 30 min @ 120 degC
Xueen 12/12/00
AME Nitrite Etch: VB5
Recipe: NITRITE STD SF6
Time: 60 sec
BOE: 1.54 um oxide
121
121
Appendix B: Valve Process Flow
Appendix B: Valve Process Flow
19 min, ICL
Double Piranha Strip Photoresist
4. Mask 3: BOSSFEET_2
Photolithography
Coating: Standard thin resist @ 3000 rpm
Coat on front side
Prebake 10 min @ 90 degC
Re-coat PR front side
Prebake 25 min @ 90 degC
Exposure: EVI for 4 sec
Top side alignment
Develop: 90 sec
Postbake: 30 min @ 120 degC
Resist Height: 2.06 um
AME Etch
BOE 15 sec
Recipe: Undoped Poly
Time: 470 sec
Target: 3.4 um
Feet Etch Step height Measurement:
Wafer Number
VB2
VB3
VB5
5.
Feet Height (um)
3.39 um
3.68 um
3.78 um
Mask 4: BOSSOX_2
Photolithography
Coating: Standard thin resist @ 3000 rpm
Coat on back side
Prebake 60 min @ 90 degC
Exposure: EV1 for 2 sec
Top side alignment
Develop: 60 sec
Postbake: 30 min @ 120 degC
AME for Nitrite: VB5
Recipe: Nitirte STD SF6
Time: 60 sec
122
122
Avivendix
Valve Process
Flow
Process Flow
B: Valve
Appendix B:
BOE for Oxide, 1.54 um
Time: 20 min
6.
Mask 5: BOSSTETHER
Photolithography
Coating: Thick resist @ 3000 rpm: 6 um
Coat on front side
Prebake 60 min @ 90 degC
Exposure: EVI for 17 sec
Top side alignment
Develop: 120 sec
Coating: Thin resist @ 3000 rpm
Back side
Postbake: 30 min @ 120 degC
Tether Etch: STS1
Recipe: MIT_59
Etch Rate: 1.5 um/min ?
BOX Thickness: 0.35 um
Etch Time: 10 min
Tether Height Measurement Using Wyko:
Wafer #
Step Height
VB2
VB3
VB5
17.6
17.6
17.7
Double Piranha Strip
7.
Mask 6: BOSSDEEP
Photolithography
Coating: Thick resist @ 2000 rpm: 8 um
Coat on front side
Prebake 60 min @ 90 degC
Exposure: EVI for 20 sec
Top side alignment
Develop: 150 sec
Coating: Thin resist @ 3000 rpm
Back side
Postbake: 30 min @ 90 degC
Mounting:
4" quarze wafer
Appendix B: Valve Process Flow
Thick PR; 2.2 krpm
Center Dot; Middle Ring; Outer Ring
Softbake: 15 min @ 90 degC
Boss Etch: STS1
Recipe: MIT_69
Etch Rate: 2.04 um/min
Etch Time: 3 hr
B.3 Seal Wafer
1.
Double Alignment
Mask 1 & 2: ALIGN & STREET
Photolithography
Coating: Standard thin resist @ 3000 rpm
Coat on front side
Prebake 10 min @ 90 degC
Coat on back side
Prebake 25 min @ 90 degC
Exposure: Top side alignment (ALIGN)
EV1 for 2 see
Develop: 8 sec
Exposure: Back side alignment (STREET)
EV1 for 2 see
Develop: 55 see
Postbake: 30 min @ 120 degC
AME, ICL
Recipe: UPDOPEDPOLY
Time: 68 see
Target: 0.5 um
2.
Thermal oxidation, 0.7 um
Piranha strip photo: double piranha, ICL
Piranha clean, ICL
RCA clean, ICL
ICL, Tube A3
Recipe: G148
5 min dry oxidation
67 min wet oxidation
5 min dry oxidation
Film Thickness: 0.713 um
3. Polysilicon deposition, 0.95 um
123
124
Appendix B: Valve Process Flow
ICL, Tube A6
Recipe: G461
Deposition rate: 58 A/min
Deposition time: 2 hr 30 min
Wafer Number
Film Thickness(um)
Dummy
1.007*
STD
0.002
* Estimated *
4.
Thermal oxidation, 1 um
ICL, Tube A3
Recipe: G224
5 min dry oxidation
135 min wet oxidation
5 min dry oxidation
135 min wet oxidation
5 min dry oxidation
5.
Mask 2: SEALBACK
Photolithography
Coating: Thick resist @ 2000 rpm: 8 um
Coat on back side
Prebake 60 min @ 90 degC
Prebake 60 min @ 90 degC
Exposure: EVI for 18 sec
Top side alignment
Develop: 150 sec
Coating: Thin resist @ 3000 rpm
front side
Postbake: 30 min @ 90 degC
BOE: 9 min
Mounting
6" silicon wafer
Thick PR; 2.2 krpm
Center Dot; Middle Ring; Outer Ring
Soft Bake: 15 min @ 90 degC
STS2 Etch
Recipe: MIT_37A
Etch Time: 80 min
Appendix B: Valve Process Flow
Appendix B: Valve Process Flow
Etch Depth: 240 um
Piranha Dismount
30 min
Piranha Clean
10 min
6.
Mask 3: SEALOX
Photolithography
Coating: Standard thin resist @ 3000 rpm
Coat on front side
Prebake 60 min @ 90 degC
Exposure: EVI for 2 sec
Top side alignment
Develop: 60 sec
Postbake: 30 min @ 120 degC
BOE Etch Top Oxide
Time: 13 min (intended for 10 min)
Target: 1 um
AME Etch Poly
Recipe: Updoped Poly
Time: 70 sec
Target: 0.42 um
BOE Etch Bottom Oxide
Time: 9 min
Target: 0.7 urn
Piranha clean
10 min
7.
Mask 4: SEALSEAT
Photolithography
Coating: Standard thin resist @ 3000 rpm
Coat on front side
Prebake 60 min @ 90 degC
Exposure: EVI for 2 sec
Top side alignment
*Use Arturo's rig for alignment exposure*
*Make sure use clear area for blokage*
Develop: 60 sec
Postbake: 30 min @ 120 degC
125
125
126
BOE for Top Oxide, TRL
15 min
AME for Polysilicon
Recipe: Undoped Poly
Time: 80 sec
8.
Mask 6: SEALCHANNEL
Photolithography
Coating: Thick resist @ 2000 rpm: 8 um
Coat on front side
Prebake 60 min @ 90 degC
Exposure: EVI for 17 sec
Top side alignment
Develop: 180 sec
Coating: Thin resist @ 3000 rpm
Back side
Postbake: 30 min @ 90 degC
BOE Ultrasonic
Time: 10min
*Tencor measurement shows about half micron oxide ontop of silicon.
Mounting:
4" quarze wafer
Thick PR; 2.2 krpm
Center Dot; Middle Ring; Outer Ring
Softbake: 15 min @ 90 degC
STS1 Etch
MIT 37
2 hr
Piranha Dismount
9.
Wafer Bonding
RCA Clean
EvAlign-Bonder
Compressed overnight
Annealing: Tube A2
Time: 1 hr
10. Diesaw
*
Appendix
C
Mask Drawings
127
1.6
0.8
0.65
--
0.5
--
Clearance
Hole for 4-40
Clearance
Hole for 4-40 (4
0 0 00
RO.0313
1.0000±0.0005
Holes)
0
-0.0004 Reamed Holes for
(2 Holes)
Dowel
Pins
00
0.5
0.65
0.8
Ctearonc e Hole for 4-40 (2 Holes)
................
...........
1 1/16__
. . . ..........
.........
Material: Polished Plexiglass 1/16 thick
Tolerances: ± 0.003 unless noted otherwise
Alexander H61ke
indow Plate
Sheet 1
09/21/99
of 6
Figure C. 1. AutoCAD layout of the valve package: window plate
1.6
0.8
-e
0.65
-- 0.5
5
4
Clearance Hole for 4-40
R0.2362"0.001 [ R6.00mm+0.0 m]
Clearance Hole for 4-40
R0.0313 0
-
0.039[i.00mm
-
A(2
A
-
-
A
--
(4
Holes)
Reamed Holes for Dowel Pins
Holes), tight tolerances
on location i 0.001
00 04
0.5
RO.1969[R5.00mm.l6
0.8
4-40 UNC threaded through (2 Holes)
0.0394(1.00mm
Section A-A
0.028 ±0.001
3/64
1/06
3/16
[0.7mm]
3/32
-
- ------
I
0.3
0.024 ±0.001
[0.6mm]
Material: Polished Plexiglass 3/16 thick
Tolerances: ± 0.003 unless noted otherwise
Alexander Hdlke
Top Plate
Sheet 2
09/21/99
of 6
Figure C.2. AutoCAD layout of the valve package: top plate
1.6
0.8
0.65
0.5
0.302
0.001
- -
-
4-40 UNC threaded through
I
Clearance
1.6
-
holes for 4-40
(4
holes)
----00.06250.0000
Reamed Holes for Dowel Pins
<2 HoLes),
tight toterances on location t0.001
0.302±0.001
0.5
0.65
0.8
1.~)
0
01/8
(4 Holes Clearance)
Clearance Holes for 4-40
(2
Holes)
Note
Perpendicularity of center square
and alignment to the dowel pins
is critical (±0.001)
0.0590.001 (1.5mm)
Material: Aluminum
Tolerances: ± 0.003 unless noted otherwise
Alexander Holke Spacer Plate
Sheet 3
09/21/99
of 6
Figure C.3. AutoCAD layout of the valve package: spacer plate
1.6
0.8
0
0.65
0.5
0.039 [lm]
Through holes
for Pogo Pins (19 Holes)
Tolerances are ±0.001
Cl earance Hole for 4-40
0.030
4- 40 UNC threaded
through 04 Hotes)
RO.0 310 0.000
-0.0004
--
Reamed Holes
for Dowet Pins (2 Hotes)
tolerar ce for location ±0.001
0
-
-
[0.75mm]
0.089 [2.25mm]
0.148 [3.75mm3
0.207 [5.25mm)
026[.5m
0.266 [6.75cc)
Af
E±iZ --
+
0
0
0.213
0
0.039[1.00mm
00 )0
++
-
- ---
o0
000
~
R.197[R5,00m
-
0.5
1
0.65
.
0.030 10075cc)
0.089 (225mm)
0.8
0.148 [3.75-3
0.207
0.266
R6.00mm+0.04mm
R0.236+0.0015E
L0.00MM
0.0000
Clearance Holes
[5.25m
]
Detail of Center Section
-
[6.75mm]
for 4-40 (2 Holes)
0.039[1.00mm
0.024 ±0,001
Section A-A
I I
Il I I
I I
1/016
I
3/64
[0.6mm]
1
1/4
1/8
0.3
Material: Polished Plexiglass 1/4 thick
Tolerances: ± 0.003 unless noted otherwise
Sheet 4
Alexander Hblke Bottom Plate
09/21/99
Figure C.4. AutoCAD layout of the valve package: bottom plate
of 6
1.6
0.8
0.65
0.5
--
3
Clearance Hole
(4 Holes)
for
4-40
Clearance Hole
for
4-40
Clearance Hole
for 4-40 (4 Holes)
R0.03000$
I
--
-
---
-
-for
0.5
I III III liii
~[
IIII~I I~
I II
111111111 II
.A AL
I
Reamed Holes for Dowel Pins
4
(2 Holes), tolerances
on location are 0.001
80.016 #78 Through Holes (19 Holes)
for Pogo Pins, same Centers as shown
Bottom Plate, Tolerances ±0.001
0.65
1.05
.
L'.3
Clearance Hole
for
4-40 (2 Holes)
Material: Polished Plexiglass 1/2 thick
Tolerances: ± 0.003 unless noted otherwise
Alexander H61ke Pin Holder
Sheet 5
09/22/99
of 6
Figure C.5. AutoCAD layout of the valve package: pin holder
a-1
CL
00
0
I
3
CD
0
u-
CD
C
CD
Alexander Hlke
09/22/99
Figure C.6.. AutoCAD layout of the valve package: pin holder
Assembly
Sheet 6
of 6
7.
-
134