THE DESIGN AND FABRICATION OF AN ... NANOELECTROMECHANICAL SWITCH BASED IN A III-V

THE DESIGN AND FABRICATION OF AN OPTICAL
NANOELECTROMECHANICAL SWITCH BASED IN A III-V
MATERIAL SYSTEM
by
Reginald Bryant
Submitted to the Department of Electrical Engineering and Computer Science in
Partial Fulfillment of the Requirements for the Degree of Masters of Science in
Electrical Engineering
at the
Massachusetts Institute of Technology
September 2001
C 2001 Reginald Bryant
All rights reserved
The author hereby grants to MIT permission to reproduce and to distribute
publicly paper and, electronic copies of this thesis document in whole or in part.
Auth o r: .....................................................
................... ,..c.................................................................
Department of Electrical Engineering and Computer Science
August 17, 2001
Accep ted by:...............
........
............................................................................
/ofessor
Leslie Kolodziejski
of Electrical Engineering
IThepSfupervisor
Certified by:...................... z.....
....................................
Arthur C. Smith
Chairman, Department Committee on Graduate Students
MASSACH USETTS INSTITU TE
OF TECHNOLOGY
NOV 0 1 2001
LIBRARIE$
BARKER
...
THE DESIGN AND FABRICATION OF AN OPTICAL
NANOELECTROMECHANICAL SWITCH BASED IN A III-V
MATERIAL SYSTEM
by
Reginald Bryant
Submitted to the Department of Electrical Engineering and Computer Science
on August 17, 2001 in Partial Fulfillment of the Requirements for the Degree of
Masters of Science in Electrical Engineering
ABSTRACT
As part of ongoing research efforts towards the fruition of all-optical signal
processing, the possibility of a tunable wavelength filter using a photonic crystal is
currently being explored. The examination, critical analysis and development of a
nanoelectromechanical (NEM) optical switch will serve as a prelude to the successful
realization of a wavelength tunable microcavity photonic crystal in a III-V material
system. The NEM switch can be catalogued as an extremely useful component. The
device will employ nanoelectromechanical systems (NEMS) technology.
NEMS,
evolving from a flourishing, yet still developing, microelectromechanical systems
(MEMS) technology, incorporates some of the same founding physics principles of
MEMS while offering a reduction in size. Also, the optoelectromechanical device will be
fabricated in a material system not commonly used for electromechanical operation.
Once developed, new fabrication sequences could lead to more complex
nanoelectromechanical designs in a similar system. Lastly, since the optical switch will be
fabricated in a material system commonly used for optical devices, it can be easily
integrated into an optical network.
In this thesis the design and fabrication of the NEM optical switch structure will be
discussed.
Thesis Supervisor: Leslie A. Kolodziejski
Title: Professor of Electrical Engineering
-2-
TABLE OF CONTENTS
of Figures .......................................
List
of Equations .....................................
List
Acknowledgments .......................................
Chapter 1: Introduction ...............................
1.1 Motivation .......................................
1.2 Principle Results ................................
1.3 Organization of Thesis ...........................
Chapter 2: Design Theory .............................
4
6
7
9
10
12
13
14
2.1 Optical Theory ................................... 14
2.2 Electromechanical Theory ......................... 21
Chapter 3: Hardware Profile .......................... 32
3.1 Process Equipment ................................ 32
3.2 Quality Monitoring Equipment ..................... 37
39
Chapter 4: Device Fabrication ........................
4.1 Process Overview ................................. 42
4.2 Process Detail....................................42
Chapter 5: Work In Progress .......................... 53
5.1 The Nanoelectromechanical Switch Design .......... 53
5.2 Proposed Process Flow ............................ 53
Chapter 6: Conclusion ................................ 57
Bibliography ......................................... 58
Appendix: Process Recipes ............................ 60
-3-
LIST OF FIGURES
Number
Page
1. NEMS Switch Pictorial Diagram..........................................................................8
2. NEMS Structure I: Optical Simulation Diagram............................................
3.
17
NEMS Structure I: Transmission vs. Cantilever Deflection Graph............. 18
4. NEMS Structure II: Optical Simulation Diagram............................................
19
5.
NEMS Structure III: Optical Simulation Diagram .........................................
20
6.
Square Dielectric Waveguide Dispersion Relation.........................................
21
7.
1D Cantilever Lumped Model................................................................................
23
8. Exaggerated 2D Distributed Cantilever Model ...................................................
9.
24
2D Distributed Cantilever Model Initial and Boundary
Condition D iagram ................................................................................................
28
10. NEMS I and NEMS II voltage-length relation graph:...................................
29
11. NEMS I and NEMS II voltage-length relation graph....................................
29
12. MEMS I, II & III voltage-length relation graph..................................................
30
13. MEMS IV, V, VI voltage-length relation graph ..............................................
30
14. (14A) Photolitography (Waveguide and Cantilever).......................................
39
(1 4B) Liftoff (Nickel Mask) ................................................................................
39
(14C) RIE (S102 Hard Mask)............................................................................
39
(1 4D) Wet Etch (Nickel Removal).....................................................................
39
(14E) RIE (GaAs and AlGaAs).........................................................................
39
-4-
(14F) Photolithography (Trench Definition) ..................................................
40
(14G) R IE (AlG aA s).............................................................................................
40
(1 4H) W et Etch (Si02 Rem oval) ......................................................................
40
(141) Photolitohography and Liftoff (Front Side Contacts)..........................
40
(14J) Oxidation of AlGaAs/Cleaving/Lapback/
Backside Contact D efinition ......................................................................
40
(14K) Photolithography (Trench Redefinition)..............................................
41
(14L) W et E tch and Release .................................................................................
41
15. (1 5A) SEM Image: S1813 Photoresist Waveguide Pattern............. 44
(15B) SEM Image: S1813 Photoresist Waveguide Pattem............. 44
16. (16A) SEM Image: S1813 Photoresist Waveguide Pattern............. 45
(16B) SEM Image: S1813 Photoresist Waveguide Pattern............. 45
17. (1 7A) SEM Image: Liftoff Nickel Pattern ............................................................
46
(17B) SEM Image: Liftoff Nickel Pattern............................................................
46
18. SEM Image: Etched Si02 with Metal Mask ........................................................
47
19. (19A) SEM Image: S1813 Photoresist Mask/GaAs Etch..............
48
(19B) SEM Image: S1813 Photoresist Mask/GaAs Etch.............. 48
20. (20A) SEM Image: S1813 Photoresist Mask/GaAs Etch..............
50
(20B) SEM Image: S1813 Photoresist Mask/GaAs Etch.............. 50
21. (21A) SEM Image: S1813 Photoresist Mask/GaAs Etch..............
51
(21B) SEM Image: S1813 Photoresist Mask/GaAs Etch.............. 51
-5-
LIST OF EQUATIONS
Number
M axw ell's Equations......................................................................................
1
Page
14
2
Mixed Dielectric Master Equation.............................................................
15
3
Pull-in Voltage Relation.................................................................................
24
4
Pull-in G ap R elation......................................................................................
24
5
2D Distributed Cantilever Model Differential Equation............ 25
6
2D Distributed Cantilever Model Normalized Differential Equation ..... 26
7
2D Distributed Cantilever Model Finite Difference Equation....... 26
8
2D Distributed Cantilever Matrix Equation..................................................
27
9
Silicon Dioxide Chemical Equation...........................................................
43
-6-
ACKNOWLEDGMENTS
I wish to express gratitude to Professors Kolodziejski, Joannopoulos, Smith and Ippen for their
insight and expertise in the completion of this manuscript. In addition, I would also like to extend
my sincere appreciation to my coworkers Alexei Erchak, Solomon Assefa, Gale Petrich, and
Michelle Povinell for their assistance, answers, and active involvement to make this project a success.
Also, I would like thank the faculty and staff of the Experimental Materials Laboratory and the
Nanostructures Laboratory for their valuable input.
Finally, I would like to extend my sincere
apprecitation to Aisha who gave me endless support and encouragement.
-7-
I
IFigure 1: NEMS Switch Pictorial iaga
I
-8-
____________
--
________L
CHAPTER 1:
INTRODUCTION
This thesis will discuss some of the ideas necessary for employing a nanoelectromechanical system
photonic band gap (NEMS PBG) filter by presenting a NEMS optical switch design. A
nanoelectromechanical system involves the movement of nanometer sized materials by the
electronic pressure from two oppositely charged plates. A photonic band gap crystal is a structure
that incorporates periodic changes in a material's refractive index to control the propagation of
photons. The photonic bandgap crystal inhibits electromagnetic waves within a certain frequency
range from propagating. The symmetry of a PBG crystal can be broken to allow a single frequency
to propagate through the device. This symmetry breaking effectively creates a cavity inside the
crystal in order to confine a specific frequency or mode. The dependence upon cavity thickness on
wavelength is an exponential relationship. So, precise control of cavity optical behavior within a
PBG crystal is required in order to isolate a given wavelength. Due to chemical processing advances
in material systems with a high refractive index contrast (i.e. III-V material system); high quality PBG
filters are being engineered. The exponential dependence of cavity thickness on wavelength and
excellent wavelength selectivity make PBG type filters a viable candidate for active tuning via cavity
modulations [1,2].
-9-
Figure 1 represents a diagram of the NEMS optical switch's topology. The first section will
be a fiber mode matched multimode waveguide designed for optimum optical signal transfer from
fiber to chip. Section two will be a tapered waveguide that will adiabatically transfer the energy of
the multimode waveguide to a single mode waveguide. Sections one and two will rest on an oxide of
a lower index, that will aid in the confinement of the optical signal to the gallium arsenide waveguide
(III-V material). The third section will be the electromagnetic actuated single mode waveguide that
will be suspended in air. The fourth section will be a single moded receiving waveguide that will also
rest on an oxide. The fifth section will transfer the signal to a waveguide width that will be suitable
for output fiber coupling. The sixth section will be used to couple the optical signal out to a fiber. It
can be readily resolved that such a planar switch scheme could easily be implemented in an array
formation.
MOTIVATION
Everyone from industry to academia is looking to optics and photonics for solutions to a
score of technological challenges. Everything ranging from packet switching, wavelength division
multiplexing, filtering and signal routing to large-scale data storage, computing and systems
integration has been thought of in terms of its predilection towards optical operation. One of the
major themes associated with these efforts is to make active photonic and optical device. A simple
contrast of current active electronic devices and the future idealized active optical/photonic device
lends credits to the future viability of optical technology. Electronics are susceptible to operational
noises, require heat sinks for large scaled integration, and will soon be unable to meet demand for
-10-
ever increasing high-speed applications.
The ideal active optical/photonic device will not be
susceptible to operational noises nor require heat sinks since, unlike electrons, photons are virtually
massless and do not experience energy dissipation or momentum shifts due to particle-to-particle
interactions and periodic columbic forces. Also, the only limit in operation speed is the speed of
light.
In an attempt to fashion practical active optical devices after the idealized optical device, a
wide variety of methodologies are currently being explored. Some of these devices operate under
acoustical
modulation,
non-linear
electrical/material interactions.
perturbations,
chemical/material
interactions,
and
However, most of these types of devices do not operate like
idealized optical devices. For instance, the liquid crystal optical device consists of randomly arranged
micro-liquid crystal droplets in a polymer film. Actuation is accomplished by applying an electric
field to the material to promote constructive wave propagation through the material. The actuation
speeds associated with switching between wavelengths are on the order of milliseconds. Liquid
crystal filters have a respectable tuning range on the order of nanometers. However, this tuning
range comes at the expense of high tuning voltages. Typical actuation voltages can reach as high as
300 volts [3]. Carrier based devices take advantage of a material's index of refraction variation due to
free carrier injection. Changes in a material's refractive index are achieved by the plasma effect due to
injected carriers. Although narrow bandwidths can be tuned at speeds in the nanosecond regime, the
wavelength tuning range is on the order of angstroms. Also, such devices based on the plasma effect
have the disadvantage of being strongly attenuated and exhibit large insertion losses while operating
at high currents [4]. Thermo-optic devices exploit index changes of a material associated with joule
- 11 -
heating. Although the range over which wavelength tuning is wide, these types of devices suffer in
switching speeds and power requirements. Thermal heating is typically a slow process and hard to
control. These effects lead to a slow response in thermo-optical based devices. Typical tuning range
per watt is 22. This means that one watt of power is dissipated into a material to get 22 nm of
dynamic tuning range [5]. Electromechanical devices take advantage of the physical force generated
by two oppositely charged plates. Such notable devices that use this technology include Fabry Perot
filters, optical fiber switches, and movable mirrors. Typical silicon based MEMS devices are known
to operate at high voltages and have a voltage-speed inverse relationship. However, these devices
have ultra-low current and power requirements. Since a capacitive force interaction actuates the
MEMS devices, virtually no current flows through these devices [6][7].
The NEMS-PBG filter
possesses the same characteristics of MEMS devices while having advantages
over their
electromechanical operation. Unlike most other MEMS devices, the proposed NEMS PBG filter can
achieve faster tuning speeds and lower actuation voltages than typical silicon based MEMS devices
due to the reduction in size and the fact that the filter is based in a III-V material system, which is
more susceptible to capacitive force and its reduction in dimension.
PRINCIPLE RESULTS
Presented in this thesis are the design and fabrication groundwork accomplishments
necessary for the development of the NEMS switch. The results of empirical simulations for both
electromechanical and optical operation of the NEMS switch are surveyed with logical conclusions
drawn as to how a real NEMS device would perform. The intuition behind the simulations will also
-
12
-
be discussed. As a proof of concept, a scaled up MEMS switch was also simulated with the same
tools in order to compare and contrast the predicted and actual data as well as aid in the future
simulations of the NEMS device. Presented in this thesis is a synopsis of various processing steps
that are required for the fabrication of the MEMS device. All of the results presented are directly
related to the fabrication sequence associated with the NEMS device.
ORGANIZATION OF THESIS
This thesis is organized as follows. The second chapter is a two-part theoretical discussion
about the optical operation of NEMS switch, and the electromechanical operation of the NEMS
switch. In this theoretical analysis, various simulation results presented that illustrate the physics
behind the device. In the third chapter, there is a discussion of the various pieces of equipment used
in the fabrication of the device. This discussion focuses on the general operation of the equipment.
The fourth chapter is an in-depth account of the processing issues surrounding the fabrication of a
microelectromechanical switch. This section discusses the processing chemistry associated with the
fabrication of this device, and further elaborates upon the operation of the equipment presented in
chapter two. The fifth chapter muses over the progressive work of the nanoelectromechanical
switch. This section will incorporate the results presented in the prior section to describe the issues
associated with this device's fabrication.
Chapter 3, chapter 4, and chapter 5 will be presented in a
sequential manner, closely mirroring the actual process sequence of the test device and actual device.
Chapter 6 will summarize the material that is present in this thesis.
-
13 -
CHAPTER 2: DESIGN THEORY
The switching scheme that this thesis will attempt to explore and improve upon is the
mechanical tuning of an index guide rectangular slab. The basic idea behind the NEMS switch is to
suspend a gallium arsenide waveguide in air and operate it with an actuation voltage applied to it.
There is a coupled optical and electromechanical problem present. For the sake of clarity, it will be
assumed in the first section that the waveguide can be actuated from a position that is aligned to a
receiving waveguide to a position that is in intimate contact with a gallium arsenide substrate. The
electromechanical issues that govern the waveguide's actuation will be addressed in the following
section.
OPTICAL THEORY [8,9,10]
In collaboration with Prof. Joannopoulos' research group at MIT, 3D energy modeling
simulations were carried out to determine the relationship between optical transmission and the
deflection of the cantilever.
1 8B
VxE +---=
V-B=O
V -D = 4rfp
0
C a
VxH-I--=-J
c at
-14-
c
Two methods were used in the simulation of the optical switch structure.
The two methods were
frequency-domain and time-domain simulations. Both methods solves for full vectorial solutions to
Maxwell's equations (1) to extract relevant information about the structure. The frequency-domain
method solves Maxwell's equations to resolve the eigenstates and eigenvalues of the switch's
electromagnetic (EM) modes by using a plane wave basis with the appropriate boundary conditions.
The time-domain method examines the structure by using initial conditions and a time evolving
propagating signal. This method uses the time evolving signal to excite the modes in the waveguide.
The frequency-domain method examines the propagation of electromagnetic fields within a given
structure by solving the master equation given by:
VX
V x H(r)
H(r)
=
(2)
C)
(e(r)
This eigenvalue equation represents a condense version of Maxwell's equations where the field
intensities are small enough to be considered linear; and the material system that is being examined is
This equation determines the radial macroscopic
nonmagnetic, linear, isotropic, and low-loss.
magnetic field H(r) for a given switch's geometry, c(r), at a specific frequency, o.
From this
information, the electric field and the allowable modes for a given structure can be determined. The
time-domain method is used to examine temporal characteristics of the device such as transmission
and resonance decay-time. The time-domain method usually determines the effect that peripheral
components (i.e. substrate, and oxide) have on the switch device.
-
15 -
The simulation results of three NEMS structures are presented. Each NEMS structure was
electromagnetically analyzed in a 3D program. The structures were normalized to a length a and
situated in a normalized cell filled with a refractive indexed material of one (i.e. air). The length of
these cells were set in such a manner as to make the transversing EM fields independent of that
dimension. The cross section of the normalized cells was chosen to obtain a realistic account of
how the device would function.
The flux plane of the output waveguides in the NEMS plane was
chosen to be slightly larger than the cross section of the output waveguide in order to measure
optical fields that are weakly confined to the waveguide. A typical flux measurement is taken at a
plane of 2.5a X 3a.
-
16 -
(1/5)a
Flux
Plane
4g
9C
(2/5)ci
Output Waveguide
Input Waveguide
4oc
6o
I: Optical Simulation Diagram
Figure 2: NEMS Switch Structurc
The first NEMS structure (NEMS I) that was simulated is depicted in figure 2.
Two
waveguides of a-normalized width and (2/5)L-normalized thickness were initially aligned with a
(1/5)cc-normalized separation for the on state. The input waveguide and output waveguide are both
separated from the substrate by a 4a-normalized height. For the off state, when the two waveguides
are misaligned, the input waveguide becomes lowered into intimate contact with the substrate. The
index of refraction of the waveguides and the substrate was set at 3.37 to reflect the material
properties of gallium arsenide operated at a wavelength of 1.55 nm. Both the on and off state were
simulated within a normalized cell of roughly 9c-height and 6a- width. A time-domain simulation
was carried out to determine the on/off contrast.
The structure was excited with normalized
frequencies from 0.3c/a - 0.34c/a, where c is the speed of light and a is unit of measure associated
with the structure. For this simulation cx is taken to be the width of the waveguides. Figure 3
illustrates the normalized optical transmission as a function of the waveguide offset. Although a 10
-
17
-
lip.
.-
-~
-
--
dB contrast could be obtained, usable optical switches require larger on/off contrast: a contrast of
40-60 dB.
0.6
Waveguide/Cantilever Separation= 100 nm
0.5 4
o
In
M
c
Gap =26M nm
0.4
-
GaAs Caniikwr
0.3
-
Thickness =200 nm
Width = 500 nm
Output Wamguide
4D
E oThickness
n 5
0.2
-
.-
0.1
-
= 200 nm
Width =200 nm
0
0
0.2
0.4
0.6
0.8
1
1.2
1.4
offset (micron)
Figure 3: NEMS Structure I: Transmission vs. Cantilever Deflection Graph
The next NEMS structure (NEMS II) to be simulated is depicted in figure 4. Again,
two waveguides of a-normalized width and (2/5)a-normalized thickness were initially aligned with
a (1/5)cx-normalized separation for the on state.
The input waveguide is separated from the
substrate by 6a-normalized height while the output waveguide is separated from the substrate by a
4a-normalized height.
For the off state, when the two waveguides are misaligned, the input
waveguide is aligned to a step discontinuity in the substrate.
The index of refraction of the
waveguides and the substrate was set at 3.37 to reflect the material properties of gallium arsenide
operated at a wavelength of 1.55 nm. Both the on and off state were simulated within a normalized
cell of roughly 9a-height and 6a- width. The normalized flux from the output waveguide in the on
- 18 -
state was 0.95 with a 0.01 deviation for frequencies from 0.3c/a - 0.34c/A.
The normalized flux
from the output waveguide in the off state was 0.0005 with a 0.0001 deviation within a 0.3c/a 0.315 c/cc frequency range. For x= 500 nanometers, the NEMS structure pictured in figure 4 is
simulated to operate at 1.55 micrometers with an on/off contrast of roughly 63 dB. Although these
simulated results seemed promising, such a NEMS structure could lead to more complex fabrication
sequences than the first proposed design scheme.
(1/5)a
4 1*Flux
Plane
(2/5)a
9a
Output Waveguide
Input Waveguide
t
6cc
4a
A
6ax
Figure 4: NEMS Switch Stnicture II: Optical Simulation Diagram
-
19 -
V
(1/2)cc
Flux
Plane
Li11
L
OUtPi t Waveguide
put Waveguide
11cc
Optical Sink
Waveguide
/////,F--
Optim
Low
-+
16cc
oss-talk
distance
IL
(1/2)a
Figure 5: NEMS Switch Structure
III: Optical Simulation Diagram
The NEMS structure that is currently being simulated (NEMS III) is depicted in figure 5.
Two
waveguides of a x a normalized cross sectional area are aligned with a (1/2)a normalized
separation. An additional waveguide of the same dimensions sits parallel to the output waveguide
displaced by a perpendicular distance for optimum low cross-talk. The index of refraction of all
three waveguides is set at 3.37. The normalized cell size of the simulation is about 11C-normalized
height and 16c-normalized width.
It is predicted that such a simulation should yield on/off
contrasts on the order of the second NEMS structure described previously. The advantage of such a
design scheme lies within the design fabrication sequence of the device. The processing of this
structure is of a more planar nature as opposed to the previous design that was more of a vertical
nature. Figure 6 depicts the dispersion relation of the square waveguides present in this design
- 20 -
scheme. The guided modes are shown as nonlinear lines, or bands. The continuum of radiative
modes is shown as the shaded region to the left of the bands; these modes will not be guided.
0.4
0.350-3-
7
02 50
S0-2-
7
0.15-
I-
1-
0.10.05
00
0.050-1 0.15 0.2 0.25 0 3 0.35 0.4 0.45 0.5
wave vectorj2nr/a)
Figure 6: Square Dielectric Waveguide Dispersion Relation Graph
ELECTROMECHANICAL THEORY [11,12]
Figure 1 illustrates the optical switch with a front side contact for horizontal deflection and
a backside substrate contact for vertical deflection. In order for the optical switch to have a strong
on/off contrast, the cantilever must be deflected by an appreciable amount.
However, if this
requisite deflection distance exists outside the operation regime of the cantilever, the device will
malfunction. The major cause of the malfunction is linked to the thin layer of water molecules
accumulated on the electrode surfaces during operation, which fuses the electrodes together by
adhesive forces[12].
The deflection regime of the cantilever is directly related to the height, or
-
21
-
separation, from the substrate. The greater the separation distances between the cantilever and the
substrate, the greater the deflection regime of the cantilever. The voltage required to deflect the
cantilever is inversely related to the cantilever's length and directly related to the separation from the
substrate. If the device is long and close to the substrate, the deflection voltage will be low. Also, the
cantilever must have dimensions that are admissible for release during the drying process of
fabrication. When the device dries, the cantilever and the substrate could come into contact with
each other due to an adhesion force. If this adhesion force exceeds the restoring elastic forces of the
cantilever, it could result in an irreversible adhesion of the surfaces.
The critical length of the
cantilever determines the point at which the adhesion force exceeds the restoration force.
The
critical length follows a direct relationship with the height of the cantilever and an inverse
relationship with the thickness.
Various release schemes will be explored in chapter 4.
The
cantilever must be deflected from alignment with the receiving waveguide as much as required to
allow for maximum signal attenuation. For the vertical displacement case, the cantilever must be
fabricated at a height that permits such deflections. This height will set the critical length of the
cantilever and the critical length will determine the amount of voltage that must be applied to the
structure for deflection. There will be several design tradeoffs associated with the inception of this
device.
For the horizontal displacement case, the cantilever's deflection distance is set by the
topology. Once optical simulations resolve the rough dimensions of the optical switch, the
mechanical portion of the design can be developed.
-
22
-
The basic concept of electrostatic pull-in can be intuitively understood by first examining the one
dimensional spring model (Figure 7) where the cantilever is approximated by two conductive plates
biased by a voltage, V.
Keff
Pex
+
*
9
P,
V
Figure 7: 1D Lumped Cantilever Model
The two conductive plates are initially separated by a distance g.. The only degree of freedom for
this illustration is the gap spacing, g, which is a function of horizontal displacement. The applied
voltage V becomes a distributed electrostatic load that creates an electrostatic pressure, P. =
e.V 2/2g 2 . After perturbation by the electrostatic pressure, Pe, the two plates are then separated by a
smaller distance g. In the small-deflection regime, the effective spring constant, Kef, is derived from
the requisite amount of pressure, P.,, required to lower the top plate to the lower plate transversing
the initial distance g. Therefore, the spring constant is defined as: Kf = Pt,,/g.
Pex, represents the
built in pressure that the structure exerts upward. As the voltage increases, the gap decreases until
an instability condition is reached. Using the pressure-balance equation, P(g) = K., (g. - g) + Pnet -
cV 2 /2g 2 , the condition for static equilibrium, P(g)=0, and the condition for stable static
-23-
equilibrium, dP(g)/dg <0, the critical pull-in voltage, Vp1 (3), and the critical pull-in gap, gpj
(4),where the instability condition is met can be derived as:
VP =P1
g9p =
ex
8 Kef go +
2c
(3)
27ev
3
(4)
+
±
Kj)
The normalized relationship between gap distance and voltage is nonlinear. The stable region of
operation for this device exists for a gap greater than 2/3 of the initial position. Instability is reached
when the gap is lowered below the 2/3 of its initial position. At this point, the cantilever snaps
down to the bottom electrode.
The two-dimensional distributed model can be extended from the one-dimensional model
Tip
g(x
Figure 8: Exaggerated 2D distributed model of a simple cantilever.
-24-
presented. The 2D model accounts for the fact that the actual structure has a non-igid, positiondependent gap as illustrated in Figure 8. The position-dependent cantilever is discretely divided into
smaller 1D cantilevers. Each follows the same constitutive relationships described previously with
natural boundary conditions.
In Figure 8, V represents the voltage applied to the structure; g.
represents the initial gap existing between the top and bottom electrodes without an electrical bias;
g(x) represents the position dependent bending of the cantilever under an electrical bias; the tip
represents the last 1D cantilever from the base of the structure.
Much like the one-dimensional case, a similar pull-in voltage, Vp1 , verses pull-in gap, gp1 , relationship
can be derived using a numerical fiite-difference method, which solves the 2D differential equation
for the cantilever structure in the small deflection regime.
Since the fabricated cantilever will be
actuated on a small vertical deflection scale in comparison to the lateral dimensions, this will prove
to be a reasonable approximation.
E I
E
E =
(1-
I+
(5)
0.65-
(wide beams)
E is Young's Modulus,
v is Poisson's Ratio,
(narrow beams)
s. is permittivity of vacuum,
v2)
E
__
g =6 - 60V2W
2 g2
OXg
t is the beam thickness,
3w
is the beam width,
2
g is the gap,
V is voltage,
-
25
-
The 2D differential equation (5) takes into account an electrostatic distributed load with a positiondependent gap plus a fringing field correction in the case of beams. By representing the force per
unit area as that of a locally parallel plate capacitor, this model assumes only small-angle bending,
and neglects any non-uniformity in electric field due to curvature. Equation (5) resolved into a
normalized equation (6) shown below.
g g"= a+bg
(6)
b=--.65
a=- 6,
2EI
62
2EI
By using variational theory, the gap displacement denoted as g can be substituted by (g+s), where P
is the small variation about an initial gap g. After equation (6) is
.,-2
_-,
+s,6i6+
2h g" bh+
gI
'
2
g _i
-4ein +
i
ah/4 bh4 4
=
2 + -h
g
9i
g
"
(7)
substituted with (g+s) and the finite difference form of the derivatives, equation (7) can be derived.
Recasting this equation into a matrix equation yields equation (8).
-
26
-
0
*
-4
1
0
-.
1
1
*-
-4
0
0
6+ 2h'4 g"
gi
0
0
1
-4
0
0
0
0
1
0
0
0
0
0
0
bh2
gi _
1
0
0
0
0
0
0
0
0
-4
1
0
0
ci2
E
si
+
_
2h4g"" bh~
gi+1
i+1
-4
1
0
-4
1
0
1+1
8.+2
_
ah4
2
g,
ah4
+
bh 4
--
g,
bh 4 -
4 f
+g,+
2
g,+1
,+ 1
-4
1
(8)
The Matlab script, used in the beam simulation, solves this equation iteratively until the s's are
minimized. The specific boundary and initial conditions for the cantilever is depicted in figure 9.
The series of graphs in Figures 9A - 9G shows the variable cantilever length dependence of the
pull-in voltage.
For the horizontal deflection case, it is assumed that the behavior of the cantilever
closely mirrors that of the vertical deflection. So, the same Matlab script was used to analyze these
structures.
-
27
-
g(x)
w
t
g
1
2
{
N-4 N-3 N 2 N-1 N
4
*
x=0
x=L
H = L/(d-1)
Step Size
Initial Conditions:
Uniform gap distance along cantilever:
Boundary Conditions:
Cantilever fixed at one end:
There is no curvature of cantilever at fixed end:
The cantilever has no momentum at free end:
The cantilever has no shearing at free end:
g(x,t=0) = g.
g3 =g
g(x=0,t) =g
g'(x=0,t) =g,) g2 = g4
g"(x=L,t) =0 gN-3 - 2 gN2 + gN-1 0
2
2
g.'(x=L) = 0 -gN-4 + gN-3 - g'N +
Figure 9: 2D Distributed Cantilever Model Initial and Boundary Condition Diagram
-
28
-
= 0
Length
------------------
- -- -------- - -------
--
- - N M
lWidth w)
Cantilever
:NEMS 11 PUL L-IN-1.3053:
----.- .------ --------------- - - -----
-----
Pull in
Displaceme
Vertical
Electrode
40
30
35
*Mbt* Caw4orLtw4wnf)
mi
45
2
50
Figure 10: NEMS I and NEMS II voltage-length relation graph
I
Figure 10 shows the electromechanical penalty of employing the NEMS II design; a 200
nm thick, 500 nm wide cantilever with an electrode separation of 3000 nm; as opposed to NEMS I
design; a 200 nm thick, 500 nm wide cantilever with an electrode separation of 2000 nm. Figure 11
shows the advantage of using NEMS III design; a 200 nm thick, 200 nm wide cantilevers with an
GMllwnrasnId Canhlevr Sam2O Model
---------------- ----- ------- :::--:: -:
---------- -------- - NEMS I(Horzontal Deflection)
:::--:::---- NEMS III
Gap (g)
Cantilever
Pull.iin
e*Displacemnent
0.8269NEMSI (H-orizzoatalDe *ction):!LL.k-IN O.
ULL-IN 0.834
NEMS I .0
Thickness (t)
---- - ... -----------
-----
--
Horizontal
Electrode
-----------------
----- - --- -- --- --- --- -- --
,
at
25$
V
35
40
45
Lngh
Figure 11: NEMS I and NEMS Im voltage-length relation graph
50
electrode separation of 2000 nm; as opposed to horizontally deflecting the NEMS I structure. A
comparison of the electromechanical response of the vertical deflection in figure 10 to horizontal
deflection in figure 11 suggests that it requires less voltage to deflect NEMS III structure from left
to right than it takes for NEMS I structure to be deflected up and down. There have even been
29
-
I
reports showing that a curved electrode in the horizontal deflection setup can further reduce the
voltage required for actuation.
'r
1114
NENS
MSLULli 445
MEMS III: RULL IN 41.5456
.. .. .. ..
MEMS
II
MEMS-SLL
MEMS IIIvau.mn~m-
----------
---]
jimjjjj. jpjj
MEMS----'
MEMSV
MEMS M
HENS VI: PULLINq 1.5456
-I-
-- -- -
Figure 12: MEMS 1, 11, & III voltage-length relation graph
-
Figure 13: MEMS IV, V, & VI voltage-length relation graph
Data points from MEMS structures I-VI will be used to ascertain the mechanical properties
of GaAs, which will aid in accurately predicting the behavior of the NEMS structures. Figure 12
represents the simulated pull-in voltage dependence of the first set of scaled-up MEMS structures.
MEMS I is a 200 nm thick, 1000 nm wide structure with an electrode separation of 2500 nm, which
is deflected vertically. MEMS II is a 200 nm thick, 1000 nm wide structure with an electrode
separation of 2000 nm, which is deflected horizontally. MEMS III is a 200 nm thick, 1000 nrm wide
structure with an electrode separation of 4000 nm, which is deflected horizontally. These structures
will be fabricated upon the same sample with various lengths. Figure 13 represents the simulated
pulling voltage dependence of the second set of scaled-up MEMS structures. MEMS IV is a 200 nm
thick, 2000 nm wide structure with an electrode separation of 2500 nm, which is deflected vertically.
MEMS V is a 200 nm thick, 2000 nm wide structure with an electrode separation of 2000 nm,
-
30
-
which is deflected horizontally.
MEMS VI is a 200 nm thick, 1000 nm wide structure with an
electrode separation of 4000 nm, which is deflected horizontally.
These structures will also be
fabricated upon the same sample with various lengths.
With an initial survey of the data presented in the optical theory section and the electromechanical
theory section, we can see that maximum on/off contrasts cannot be achieved solely due to the pullin gap condition.
The deflection of the cantilever cannot go beyond 920 nm, which limits the
transmission coefficient of the "off' state.
In order to achieve excellent on/off contrasts and
reasonable actuation voltages, the gap spacing and cantilever length must be varied. Calculations for
these parameters are presently being optimized.
-31-
CHAPTER 3:
HARDWARE
PROFILE
PROCESS EQUIPMENT [1,13]
The Riber Instruments S.A. 32P gas source molecular beam epitaxy (GSMBE) growth
system is the machine that was chosen to grow the heterostructures to be processed. The molecular
beams are formed from themal evaporated Group III elements: gallium and aluminum. Group V
elements, arsenic and phosphor, are provided by thermal cracking in their gaseous hydride forms.
The GSMBE system is always under ultrahigh vacuum conditions (<IxiG'1
with effusion
cells
containing extremely
pure material
torr) and is equipped
sources, which
yield high-purity
heterostructure layers. With growth rates at a few anstroms per second and beam switch speeds that
operate at a fraction of a second, the heterostructures can be made with abrupt step interfaces. The
Riber system consists of five major chambers: the introduction chamber, the transfer chamber, the
buffer chamber, the Auger electron spectroscopy chamber and the reactor chamber(s). A robotic
arm that extends from the transfer chamber translates the epi-ready wafers between the various
chambers. The introduction chamber is the loading area where the wafer is placed in the system.
This is the only chamber that is not constantly maintained under ultra-high vacuum. After the wafer
is loaded, it is then baked at 210'C to remove moisture on the substrate.
The wafer is then
robotically maneuvered through the transfer chamber into the buffer chamber. The buffer chamber
holds the sample until it is ready to be transferred into the growth chamber via a transfer rod. The
substrate manipulator allows the sample to be rotated in order to face the material effusion cells.
After the sample is then transferred to the Ill-V reactor chamber, it is heated up to the growth
-
32
-
temperature. The native oxide on the substrate surface is desorbed, which occurs at 580 0C. During
the growth of the heterostructure a reflection high-energy electron diffraction (RHEED) system
monitors the growth. After the growth, the wafer is cycled back through the chambers in reverse
order. The Auger electron spectroscopy chamber is used to examine the chemical make-up of the
surface following the growth.
The Plasmatherm Inc. Series 700 Wafer'Batch reactive ion etching/plasma enhanced
chemical vapor deposition (RIE/PECVD) system is a two-chamber stainless steel system which has
one chamber dedicated to deposition processes and the other used for etching processes. The
Plasmatherm system has three components: the radio-frequency (RF) power system, the vacuum
system, and the gas flow control system, that are controlled by recipes programmed in the onboard
computer interface. In each of the chambers, the RF power system is used to ignite plasmas, which
supplies the necessary energy for chemical and physical reactions to occur. Plasmas formed in the
chambers are driven at 13.56 MHz with power ranging from 10-50 W for PECVD processes and
100-500W for RIE processes. A network of capacitors and inductors controls as well as isolates the
RF power supply from the chamber. The Plasmatherm system allows users to adjust the RF signal
by either adjusting the capacitive load of the network or tuning the RF signal itself. The pumping
system for the Plasmatherm has two pumps: roughing pump, and turbo pump. The mechanical
pump consists of two pumps: roughing pump and blower. The roughing pump is used to pump the
chamber from atmosphere pressures to 2 Torr. The blower is used to assist the roughing pump to
achieve pressure ranges from 2 Torr- 20 mTorr.
The turbo pump is used to reach low pressures
ranging from 20 mTorr - 1x10 6 Torr. Pressures in higher regimes are monitored by a thermal
-
33 -
pressure gauge, which convectively measures pressure by the heat loss by a filament in a voltageThe system uses baratron capacitace monometer to monitor the chamber
controlled circuit.
pressure. This is the pressure that is displayed on the computer monitor. The thermal pressure
gauges are just used in the the pumping system to control the opening and closing of pressure
valves. Pressures in the low-pressure regime are monitored with an ion gauge, which determines the
pressure by the thermionic emission of electrons from a filament in a voltage controlled circuit.
Each chamber has four separate mass flow controlled (MFC) gas lines, one purge gas line and one
vent line. The first MFC line, or channel, in the RIE chamber has four gases: boron trichloride
(BCb3), silane (SF), carbon tetrafluoride (CF4), and halocarbon 23 CHF 3. The second channel of the
RIE chamber has two gases: silicon tetrachloride (SiCl4), and methane (CH 4). The third channel of
the RIE chamber has chlorine (Cl2), and hydrogen (H2) as its two input gases. The fourth MFC line
has three gases: helium (He), argon (Ar), and oxygen (02). The purge lines in both the RIE and
PECVD chambers are primarily used to purge the process gases from the chamber. In the RIE
chamber, the purge line is solely dedicated to nitrogen while the PECVD chamber has its purge line
shared by a CF 4/0
2
mixture and Nitrogen. It should be noted that the MFC's are not accurately
calibrated for the respective gases that flow through them. For instance, a
5
% SiH 4 95% He gas
mixture passes through a MFC that is calibrated for 2% SiH 4 98% N 2 gas mixture. Such a caveat
does not necessarily affect reproducibility since once the relationship between gas flow and the MFC
reading is established, successive runs will yield reproducible results, albeit not accurate. The system
is typically defaulted to idle under low pressure. Gas lines, which are used during a recipe, are always
evacuated before any recipe is initiated. After the gas lines are evacuated, the chambers is vented to
-
34
-
atmosphere pressure in order to load the sample(s).
During a process recipe, the chambers are
initially pumped down to a base pressure of 5x10-5 before any process gases are introduced. At the
end of the process run, the chambers are again vented to atmosphere in order to remove the
sample(s). Finally, the gas lines are again evacuated with gas source cylinders closed.
Photolithography is a multi-step process that requires the use of a spinner, a few
ovens/hotplates, aligner, and glass beakers. Each of these steps is performed under a controlled
setting to retain reproducibility.
The spinner is used to apply a uniformly thick layer of photoresist
on a sample. A sample is held to the spinner chuck by vacuum while drops of resist is statically
applied to the surface. The spinner then spins the resist to equally distribute it across the sample's
surface. The resist thickness is set primarily by the resist viscosity and secondarily by the spinner's
rotational speed. Prior to spin coating, a singeing oven or hotplate is used to remove moisture from
the sample surface by evaporation. Singeing aids in the adhesion of applied resist films. Following
spin coating, soft baking densifies the applied resists. In the event that the resist is used as an etch
mask, postbaking is required to stabilize and harden the resist after it has been developed. This step
is usually skipped in the event the resist will be used for lift-off. Alignment was done on the Karl
Suss MJB-3 aligner. Alignment is done manually with microscope optics and stages. The mask with
the desired pattern is aligned to the sample. The sample and mask are placed in hard contact. The
sample is then exposed with a narrow ultraviolet light band of wavelengths centered around 320 nm,
365 nm or 405 nm. After exposure, the sample is then developed and rinsed with a manual method
of dipping baskets and beakers. One beaker contains a dilute developer corresponding to the
specific photoresist that was used and the other beaker contains deionized (DI) water used for
-
35 -
rinsing the development agent from the sample.
Both development time and exposure time is
critical to the outcome of the sample.
The electron beam evaporator deposits metals on sample surfaces by heating a metal filled
crucible with a tightly focused electron beam. The beam sweeps out a scalable area upon the metal
surface. Samples are loaded onto a metal stage with clips. These loaded metal stages are then placed
above the metal filled crucible to be evaporated. While the e-beam evaporation chamber is pumped
to the process pressure and the electron beam is ramped to the process intensity, a shutter isolates
Once the e-beam and the chamber pressure
the loaded samples from being deposited upon.
become stabilized, the shutter opens and metal vapors condense on the samples forming a thin film.
Liftoff is accomplished in a two-beaker process. One beaker contains a specific reacting
agent that removes the desired resist. This beaker can sometimes be heated and/or placed in an
ultrasonic bath to aid in the resist removal process. After the sample is introduced and removed
from this solution, it is then placed in a rinsing liquid to remove the residue of the reacting agent.
A tube furnace is used to carry out the oxidation process. The setup consists of a carrier gas
source, H 2 0 gas source and the oxidation furnace.
The oxidation chamber is a quartz tube partially
surrounded by a single-zone furnace. The oxidation furnace is heated to the oxidation temperature
with an empty sample boat, sample holder. After the furnace's temperature stabilizes, the sample
boat is pulled from the furnace and then loaded with a sample.
The loaded sample boat is
immediately pushed back into the furnace. The oxidation furnace is again stabilized to the oxidation
temperature with the loaded sample. During this stabilization period, the furnace is continuously
purged with N 2 to ensure that the growth chamber remains dry. After the furnace is stabilized for 15
-
36
-
minutes, steam is flown through the furnace by nitrogen flowing through a bubbler. At the end of
the oxidation, the steam flow is discontinued and dry N 2 is allowed to flow through the system. The
chamber is cooled down to 2000 C before the sample is removed from the system.
Wet etching is accomplished in a two-stage solution emerging sequence. Two Teflon dishes
are prepared with a reactive species etchant solution in one and DI water in the other.
The plasma asher is a PECVD/RIE machine that is dedicated to etching photoresist. The asher
chamber is preloaded with a sample to be stripped and an oxygen and helium gas mixture is allowed
to flow through the chamber. This gas mixture is then sparked with an RF signal to produce plasma
that in turn etches the sample free of resist.
QUALITY MONITORING EQUIPMENT [10]
The thickness and refractive index of very thin transparent films is measured by an
ellipsometer. Deposition rates and growth rates of films can be ascertained using the ellipsometer.
The ellipsometer's curve-fitting algorithm works under the premise that the material under
investigation is of high quality. The quality of the film, i.e. the density of the film, can be assessed by
second order means like visually measuring the thickness of the film in a scanning electron
microscope (SEM) and then using this thickness to curve fit the film's index of refraction with the
ellipsometer. Since the ellipsometer uses the index of refraction of high quality films, data fits that
deviate widely from preset values can be considered low quality films.
One of the fundamental quality monitoring pieces of equipment is the standard microscope.
The microscope aided in the analysis of photolithography. Not only did it aid in multilayered resist
-
37
-
patterning
alignment, it also helped in troubleshooting
the
parameters
associated with
photolithography like exposure time, development time, and resist thickness.
In order to resolve more detailed visual information about micro/nano structures, a
scanning electron microscope (SEM) was used. The SEM uses a focused beam of electrons to scan
across a sample in order to resolve its image. The beam of electrons is focused by a series of
magnetic field producing coils. These coils essentially act as lenses. Once the electrons from the
beam strike the sample's surface, they backscatter in a fashion that illustrates the features on the
sample. The intensity of backscattered electrons versus position are displayed on a CRT screen. In
operation mode, the SEM system is kept at high vacuum while operating.
The electron microscope
was used to inspect the vertical profile of patterned features. One of the patterned samples was
cleaved perpendicular to the features of interest, making an atomically smooth cross section that
aided in analyzing the sample's profile. The cleaved sample was then placed upon a wedge shaped
holder, and tilted 20 degrees. In order to prevent charging frm the electron beam, samples must be
coated with a thin layer of conductive material. This conductive material is usually applied to the
surface of a sample through a cold plasma process that doesn't destroy the sample's contours.
-
38 -
CHAPTER 4:
DEVICE FABRICATION
Figure 14B:Lift-off (Nickel Etch Mask)
Figure 14A:Photolithography(Waveguides and Cantilever)
t?~1
I
Figure 14C: RIE (SiO2 Hard Mask)
Figure 14D: Wet Etch (Nickel Removal)
C
A
I
tact Metal
Photoresist
SiO 2
.GaAs
InGaP
GaAs substrate
-
1iF14E:
E (GaAs and AlGaAs)
39
-
Figure 14G: RIE (AlGaAs)
Figure 14F: Photolithography(rrench Definition)
I
Figure 14H: Wet Etch (SiO2 Removal)
Figure 141: Photolithography and Lift-off: (Front Side Contacts)
C
Cotact
Metal
Photoresist
Sic) 2
A1.
GaAs
Al..1GaAs
InGaP
GaAs substrate
Fiam*(14J: Oxidation of AlGaAs
Cleaving
Lapback
Backside Co ntact Definition
40-
Figure 14K Photolithography (trench Redefinition)
Figure 14L: Wet Etch and Release
O
(:ontact Metal
Ni
Photoresist
SiO2
GaAs
AlOAli
GaAs
TnGaP
GaAs substrate
- 41 -
PROCESS OVERVIEW
The first step in the development of the NEMS switch was first to design and fabricate a
scaled up model of the switch. By doing so, basic fabrication issues such as release methods, etching
times, and liftoff techniques can be worked out using only optical techniques with fast turn around
periods.
The first issue to be resolved was a comparable scale up of the switch's thickness, length, and
substrate separation that would give some insight on what can be expected during the fabrication of
a nanometer sized switch. Since the design goal of the nanometer switch calls for a length around 20
microns, a width of 500 nanometers, and a thickness of 200 nanometers, a 1 and 2 micron switch
needs to have these same scaled parameters.
PROCESS DETAIL [1, 13, 14, 15]
Figure 14A illustrates the grown heterostructure on an n-doped gallium arsenide substrate.
The heterostructure consisted of the indium gallium phosphide (InGaP), aluminum gallium arsenide
(AlGaAs), and gallium arsenide (GaAs). The n-doped GaAs substrate will serve as an electronically
active material, that acts as an electrode. The InGaP layer has a few functions. One of the functions
will be to act as an etch stop layer during the wet etch of AlGaAs, which will be described later in the
processing chain of events. It also serves as an insulating layer, separating the ground electrode from
the cantilever once it is electrostatically pulled onto the substrate. The AlGaAs layer will be the
-
42
-
sacrificial material used to release the cantilever.
Once the AlGaAs layer is oxidized to form
aluminum oxide (ALO) it acts as an optical isolation layer for the waveguides that rest on top of it.
The GaAs layer was patterned to form the coupling waveguides and switch. This GaAs was grown
to be p-type.
Figure 14A illustrates a plasma enhanced chemical vapor deposited (PECVD) layer of
silicon dioxide (SiO2) upon the grown heterostructure. Since SiO 2 is a strong material that can
withstand heavy ion bombardment, it is used as a hard mask for reactive ion etching profiles in the
underlying GaAs and AlGaAs layers. Equation (9) shows the chemical reaction that takes place.
SiH4 + 2N 20 - SiO2 +2H 2 +4N 2
(9)
SiH 4 and N 2 0 are combined to form SiO 2 with the byproducts of hydrogen (H2) and nitrogen (N2).
The SiO 2 was deposited at 900 mTorr with 400 sccm of SiH 4 and 550 sccm of N 20 with a 300 watt
plasma at 13.56MHz. The substrate was heated to 300'C to provide the energy for the chemical
reaction upon the sample's surface. Details of the PECVD process can be found in the appendix.
By measuring the thickness of the SiO 2 film at various times, a deposition rate of 14.48 nm/min was
ascertained. One of the major benefits of PECVD is its built in compressive stress, which reduces
the tendency of the SiO2 films from developing pinholes and cracking during deposition.
Figure 15A illustrates the photolithography definition of the waveguide and switch topology.
The main objective of this photolithography step is to have an inverted nickel mask after the resist is
lifted off. Due to the higher resolution possible, a positive resist was used instead of a negative resist
-43
-
7M.
Patten
Figure 15B: SEM image: S1813 Photoresist Waveguide
Figure 15A: SEM image: S1813 Photoresist Waveguide Pattem
for lift-off. The sample was baked at 200'C for at least 30 minutes to separate water residue from the
sample surface. A thin layer of Hexamethyldisilazane (HMDS) is spun on the sample at 4000
revolutions per minute for 30 seconds to improve the adhesion of the photoresist upon the SiO 2
surface. Adhesion is accomplished by the strong bond formed between the silylation portion of
HMDS and the oxide surface while the methyls bond with the photoresist. Shipley's 1813
photoresist was spun on the sample in the same manner as the HMDS, at 4000 revolutions per
minute for 30 seconds, yielding a 1.3-micron thick layer. After the spinning of resist, the sample
experienced edge beading. The photoresist that accumulates upon the edges of the sample can be
up to 20-30 times thicker than that on the rest of the chip. This thickness could lead to poor
sample/mask contact during exposure. The application of acetone to the edges of the sample
alleviated this problem. The sample was soft-baked at 90C for 30 minutes to densify and remove
the solvents in the resist. The sample was aligned to a chrome on glass optical mask and exposed for
21 seconds in hard contact with the mask. After the exposure, the sample was developed in a MF319 developer for 45 seconds and then immediately submerged into a DI water rinse for 45 seconds.
-44-
Since this photoresist will be used for liftoff, the sample was not postbaked. The optical microscope
and the scanning electron microscope (SEM) were used to examine the quality of the
photolithography process. Details of the photolithography process can be found in the appendix.
Figure 16A- SEM image: S1813 Photoresist Waveguide Pattem
Figure 16B: SEM image:
S1813 Photoresist Waveguide Pattem
Figures 16A and 16B shows a photolithography defined 2 micron trench. After a series of trials, it
was concluded that Shipley's 1813 was not able to resolve one micron images due to the limitations
of the contact aligner. Currently, photolithography using Shipley's 1805 positive resist, a thinner
resist, is being developed to resolve one micron images.
A 20-nanometer layer of nickel was
deposited upon the sample in order to invert the resist pattern by a liftoff process.
Liftoff is accomplished by first spraying the sample with acetone several times. Then the
sample is placed in a Teflon dish of acetone for a five minute soak. The Teflon dish is then placed
in an ultrasonic bath to further aid in lifting off the resist. After the sample is taken from the
-
45 -
acetone solution, it is then placed into a methanol solution for a few minutes.
extracted from the methanol solution and then sprayed with methanol.
The sample is
The sample was then
inspected under the optical microscope and scanning electron microscope. Details of the nickel
liftoff process can be found in the appendix. Figure 17A and 17B shows the results of a 2-micron
nickel inverted waveguide.
Figure 17B: SEM image: Liftoff Nickel Pattern
Figure 17A- SEM image: Liftoff Nickel Pattem
Ideally the nickel should not have the jagged edges. The reason for the jagged edges is due to the
photoresist's positive sloping walls and wavy profile as seen in figures 15B. There are several
solutions that are currently being explored to achieve better lift-off patterning. Surface treatments
such as chlorobenzene and toulene can give the photoresist negative sloping sidewalls by hardening
the top layer of resist, increasing the dissolution rate of the resist's surface. These surface-hardening
agents can be applied to the samples before or after they are exposed.
-
46 -
Figure 18 represents the etch profile of SiO 2 with a nickel mask. SiO 2 will serve as an etch
mask to etch deep recesses into the underlining GaAs and AlGaAs. The SiO 2 was etched with
halocarbon 23 (CHF3) at a flow rate of 15 sccm, a pressure of 15 mTorr, and a power of 300 watts.
Details of the reactive ion etching of SiO 2 can be found in the appendix. The directional nature of
RIE combined with CHF 3's polymer sidewall passivation layer provides SiO 2 with a highly
anisotropic etch. During etching, CHF3 deposits a heavy polymer on the underlying GaAs layer,
Figure 18: SEM image: Etched SiO2 with Metal Mask
which, unlike SiO 2 , cannot be removed by ion bombardment. SiO 2 is highly selective over GaAs in
CHF3 etch chemistry.
-
47
-
After the nickel pattern was transferred onto the SiG2, the nickel was stripped off with a
nickel etchant. The sample is submerged in a wet nickel etchant solution for approximately five
minutes. The sample is then removed from the solution and rinsed with acetone and methanol.
The SiO 2 mask is used to transfer the pattern into the GaAs and AlGaAs layer. The upper
GaAs layer was completely etched while the AlGaAs layer was partially etched. Again the reactive
ion etcher was used to perform this task. GaAs and AlGaAs were etched with 30 sccm of boron
trichloride (BCl3), and 20 sccm of silicon tetrachloride (SiCl) at a pressure of
041
J-,
Figure 19A- SEM image:
S1813 Photoresist Mask/GaAs
.~,
Figure 19B: SEM image: S1813 Photoresist Mask/GaAs Etch
Etch
This recipe was predicted to etch GaAs at
30 mTorr and incident RF power of 250 watts.
180-220 nm/min and AlGaAs at a slightly lower rate due to its aluminum content. A total thickness
-
48
-
of 1450 nm was reactive ion etched:
200 nm of GaAs and 1250 nm of AlGaAs.
Although
BCl3 /SiCl 4 is highly anisotropic, it has a poor selectivity between masking materials (i.e. photoresist).
By using a 100+ nm thick SiO 2 layer as a mask, there should not be any degradation of the pattern to
be etched.
The third photolithography step defined a trench to aid in the release of the cantilever. The
sample was baked at 2000C for at least 30 minutes. A thin layer of HMDS is spun on the sample at
4000 revolutions per minute at 30 seconds. Shipley's 1813 photoresist was spun on the sample at
4000 revolutions per minute for 30 seconds, yielding a 1.3-micron thick layer.
Shipley's 1813
photoresist provided adequate resolution for the trench features ranging between 20 to 90 microns.
The sample was soft-baked at 90 0C for 30 minutes. The trench features on the chrome/glass optical
mask was aligned to the waveguide topology and exposed for 21 seconds in hard contact. After the
exposure, the sample was developed in a MF-319 developer for 45 seconds and then immediately
submerged into a DI water rinse for 45 seconds. A few samples were baked after the resist was
developed. Both set of samples were used to test the effects of baked resist etches versus non-baked
resist etches. The optical microscope and the scanning electron microscope (SEM) were used to
examine the quality of the photolithography. Figures 19A and 19B represent the etch profile of the
baked photolithography defined trench: it was etched with BC, at a flow rate of 15 sccm, a pressure
of 20 mTorr, and a power of 250 watts. Figures 20A and 20B represent the etch profile of the
unbaked photolithography defined trench: it was etched with BC, at a flow rate of 15 sccm, a
pressure of 20 mTorr, and a power of 250 watts. Details of the GaAs etch can be found in the
appendix.
It can be seen that both etches are subjected to the defect of micromasking..
-49-
Micromasking is the sputtering of the redeposition of dislocated molecules of the masking material
upon unmasked portions of the sample. The redeposit material acts as "mini" masks and prevents
unmasked portions of the sample from being etched. Figure 21
Figure 20A .EM image:
S1813
Figure 20B: SEM inage:
Photoresist Mask/GaAs Etch
S1813
Photoresist Mask/GaAs Etch
shows that a postbaked trench was etched with BCl3 at a flow rate of 8 sccm, a pressure of 20
mTorr, and a power of 250 watts, reduced the effects of micromasking while figure 22 shows that a
baked trench etched with BCl1 at a flow rate of 8 sccm, a pressure of 20 mTorr, and a power of 250
watts did not experience any noticeable reduction of micromasking. Currently other etching gases
are being explored to alleviate the micromasking of photoresist.
The photoresist was removed by
oxygen plasma that readily desorbs water, carbon monoxide, and carbon dioxide components of
most resists, without adversely affecting the underlying GaAs and AlGaAs layers. The SiO 2 hard
-
50 -
mask was then removed with halocarbon 23 (CHF3 ) at a flow rate of 15 sccm, a pressure of 15
mTorr, and a power of 300 watts.
V
x20000
392.3t"M
2 Im
,
5kV
3MM
Figure 21: SEM image: S1813 Photoresist Mask/GaAs Etch
x20000
EPM
5kV
3mm
Figure 22: SEM image: S1813 Photoresist Mask/GaAs Etch
The electrode front side contacts were photolithographically defined with an inverted lift-off
pattern. To provide the lowest resistivity possible, a graded metallic structure of nickel, germanium,
and gold was then e-beam evaporated on the sample. The graded metallic structure reflected the
difference in band gap energy of the GaAs layer and a electrical probe used to test the MEMS
cantilever. Similar to the nickel lift-off procedure, the contact metal was also lifted-off.
The trench was again redefined to act as a mask for the wet etch of the AlGaAs. The wet
etch served as the initial step in the release sequence to leave the GaAs cantilever free-standing. One
the the major implications for the design is the release of the MEMS structure. The main problem
-
51 -
that plague MEMS structures are the capillary forces the ensue after drying the wet etch liquid. The
release method that this thesis explores is the resist release method. Instead of drying a sample after
introducing it to a wet etch, the sample is rinsed and sprayed with acetone. At this point, the sample
is immersed in a photoresist-acetone mixture. The photoresist immersion allows the photoresist to
flow under released structures. These structures are then baked, forming solid photoresist supported
structures. These prepared samples are then stripped of the photoresist supports in an oxygen
plasma, leaving them freestanding [16]. This release method is effectively a dry release method, and
is not affected by capillary forces that pin structures to the substrate.
-
52
-
CHAPTER 5: WORK IN PROGRESS
THE NANOELECTROMECHANICAL SWITCH DESIGN
The NEMS switch will further extend the conceptual framework and results presented in
this thesis. Work is currently being done to bring the NEMS switch into existence. Much of the
same concepts the governed the MEMS switch technology will be carried over to the nanometer
regime.
In fact, the only major difference between these two devices is the definition of the
nanometer order feature sizes. This thesis has already pointed out the empirical simulations that
dictate how the NEMS switch should operate. What follows is a survey of the proposed fabrication
sequence for the NEMS switch. The Proposed Process Flow section will attempt to draw parallels
between the NEMS switch process and the MEMS switch process.
PROPOSED PROCESS FLOW [1,13,14,15]
The fabrication sequence will begin with a GSMBE growth of an InGaP/AlGaAs/GaAs
heterostructure atop a gallium arsenide substrate. The insulating 200 nm InGaP layer will serve as an
electronic isolator for vertical actuation and will also serve as an etch stop during the etching of the
AlGaAs layer. The 2500 nm AlGaAs layer will serve as the sacrificial release layer for the cantilever
and will also serve as an optical confinement layer for the waveguides that rests on top of it. The ntype 200 nm GaAs layer will serve as the optical waveguide and cantilever. This layer will also be
-
53
-
used for electrode contacts. There will be a deposition of SiO2 to serve as the masking material for
the AlGaAs/GaAs etch. As previously stated, a sufficient thickness for this layer is 100 nm.
A high-resolution resist, PMMA will be applied to each of the heterostructure samples. This
resist is a positive resist that will be used for liftoff. PMMA is a resist that is sensitive to electron
beam exposures. This resist will be used to image the nanometer order features of the NEMS switch
and alignment marks. Instead of using a mask to image features, the e-beam system is loaded with a
program file that directs the electron beam.
After the PMMA is patterned, a nickel layer will be evaporated upon its surface. This
evaporated nickel will in turn be liftoff to be used as a mask layer to etch the Si0
2
layer. This
process step is necessary because the process of e-beam definition of the resist is engineered in such
a way as to take the shortest amount of time. This requires that the patterns be written in the
PMMA in an inverted fashion.
Shipley 1805 photoresist will be used to define the larger topology of the NEMS switch
design. Shipley 1805 photoresist is a positive resist with a thickness of 800 nm. This photoresist is
used because of its high resolution.
Similar to the MEMS switch design, this resist layer will be
treated with a surface hardening agent that will yield a negative sloped profile, which is a profile good
for lift-off. The decision to use this photoresist for lift-off instead of etching is because such a thin
resist will not be able to hold up during the etching of the SiO 2 layer. Also, there will be a higher
likelihood of the etched pattern being uniformly etched during the Si0
feature definition uses a nickel mask.
-
54-
2
etch since the smaller
After both fine and coarse features are defined in the nickel mask, the SiG hard masking
layer is etched. Results from the previous section suggest that the SiO 2 etched with a nickel mask
produces excellent transfer of patterns. So, in order to get excellent sidewalls, care must be taken
during the liftoff process.
The SiO2 hard mask is used to etch the GaAs and the AlGaAs layers. This etch is used to
define the waveguide, cantilever, and contact topology. This etch should produce similar results like
those present in the previous chapter. The GaAs should be totally etched while the AlGaAs layer
should be partially etched.
Shipley 1814 resist is used to define the trench. The resist will be used as a masking layer.
The resist-defined trench will be used to etch the remaining AlGaAs layer: it should produce
trenches similar to the MEMS switch trenches. After the trench resist is stripped from the sample,
the Si0 2 is also removed with an etch that is benign to the GaAs and AlGaAs layers beneath it.
Next, the sample is photolithographically defined with electrical contacts. Since the contacts
are on the order of several microns, there is not an advantage to use thin or thick resist layers. Metal
contacts are then defined through a liftoff procedure. The trench is redefined in order to perform a
wet etch of the sacrificial AlGaAs layer. This layer is to protect the structures that are not to be
released.
Immediately following the wet-etch is the dry release sequence. The NEMS switch dry
release sequence exactly mirrors that of the MEMS switch. The sample is dipped into a beaker of
-
55
-
photoresist. Photoresist flows under the release structures. The sample is pulled from the beaker
and baked in order to dry the photoresist. The photoresist is then removed from the sample by
ashing. Aside from the small feature definition, the NEMS switch's fabrication sequence is exactly
the same as the MEMS switch sequence. The data collected from the MEMS switch's processing
will be extremely useful in the NEMS switch processing.
-
56
-
CHAPTER 6:
CONCLUSION
Presented in this thesis are the initial stages of the NEMS switch development, which will be
used in the design of a PBG optical filter.
A full analysis of the optical and electromagnetic
operation of the NEMS switch was simulated and provided insight on the behavior that was to be
expected for the switch.
Optical photolithography based processing techniques gave definitive
evidence that the simulated devices could be fabricated.
-
57
-
BIBLIOGRAPHY
1.
Lim, Kuo-yi.
1999.
" Designs and Fabrication of One-dimensional and Two-dimensional
Photonic Bandgap Devices", PhD Thesis, Massachusetts Institute of Technology, Cambridge,
MA.
2. Chen, J.C., Haus, H.A., Shanhui Fan, Villeneuve, P.R., Joannopoulos, J.D. 1996. Optical filters
from photonic band gap air bridges. Lightwave Technology, Journal of , Volume: 14 Issue: 11,
Nov. Page(s): 2575 -2580
3.
Spruce, G.; Pringle, R.D. 1992. Polymer Dispersed Liquid Crystal (PDLC) Films. Electronics &
Communication Engineering Journal , April, Vol. 4 Issue: 2
4. Suzuki, S.; Nishio, M.; Numai, T.; Fujiwara, M.; Itoh, M.; Murata, S.; Shimosaka, N. 1990. A
Photonic Wavelength-Division Switching System Using Tunable Laser Diode Filters. Lightwave
Technology, Journal of, May, Vol. 8 Issue: 5
5.
Toyoda, S.; Ooba, N.; Kaneko, A.; Hikita, M.; Kurihara, T.; Maruno, T.
2000. Wideband
Polymer Thermo-Optic Wavelength Tunable Filter with Fast Response for WDM Systems.
Electronics Letters, March Vol. 36 Issue: 7 , 30 Page(s): 658 -660
6. Connie
J.
Chang-Hasnain.
Tunable VCSEL. 2000.
IEEE Journal On Selected Topics In
Quantum Electronics, Vol.6, No. 6 November/December
7.
E.C. Vail, M.S. Wu, G.S. Li, L. Eng and C.J. Chang-Hasnain. 1995.
GaAs Micromachined
Widely Tunable Fabry-Perot Filters. Electronics Letters 2 "d February, Vol. 31 No. 3
-
58
-
8. Joannopoulous, John D., Robert D. Meade, and Joshua N. Winn. 1995.
Photonic Crystals:
Molding the Flow of Light. Princeton University Press, Princeton, NJ.
9.
Kong, Jin Au. 2000. Electromagnetic Wave Theory. EMW Publishing, Cambridge, MA.
10. Saleh, Bahaa E. A., and Melvin Carl Teich. 1991. Fundamentals of Photonics. John Wiley &
Sons, Inc., Canada.
11. Osterberg, Peter Maynard.
Structures
1995.
"
Electrostatically Actuated Microelectromechanical Test
for Material Property Measurement",
PhD Thesis, Massachusetts Institute of
Technology, Cambridge, MA.
12. Timoshenko, S. 1959. Theory of Plates and Shells. McGraw-Hill Book Company, Inc., 4-6,
118, 125.
13. Gahandi, Sorab K. 1994. VLSI Fabrication Principles. John Wiley & Sons, Inc. New York,
NY.
14. Campbell Stephen A., 1996.
The Science and Engineering of Microelectronic Fabrication.
Oxford University Press, New York, NY.
15. Perton, S.
J.,
1994. Reactive Ion Etching of III-V Semiconductors.
International journal of
modern physics. B, Condensed matter physics, statistical physics, applied physics. Page(s): 1781-
1872.
16. Kozlowski, F., N. Lindmair, Th. Scheiter, C. Hierold, W. Lang. 1995. A novel method to avoid
sticking of surface micromachined structures. The 8h International Conference on Solid-State
Sensors and Actuators, and Eurosensors IX. 25-29, June. Page(s): 220-223.
-
59 -
APPENDIX:
PROCESS RECIPES
-60-
PECVD Process Recipe Sheet
I
Substrate
GaAs
Film
Structure (Top to Bottom)
SIO,
GaAs Substrate
Base Pressure 5x
Chiller Temperature
1
Deposition Temperature 3
I
PRESSURE
Step
Description
Nwnm
1
Time
Chamber Base Pressure Pump
PressurPPhase Lead
Pump Type/
Gpoint
5E-5 Torr
Set
PointTun
I0
I
ELECTRICAL SIGNAL
Adjustment Controls
C
RF Control
Pressre
Incident Power (INCP) or
Direct Current (DC)
GASES
mPlasma
Adjustment Controls
Channel I
gas/sccm
Channel 2
gas/sccm
Channel 3
gas/sccm
Channel 4
gas/sccm
Purge
gas
Color!
CF/02
Mixed
Quality
....
Turbo
2
3
4
5
Chamber Clean
Deflorization (part I)
Deflorization (part II)
Deposition
60 min
6 min
2 min
2.5 min
300 mTorr
Auto.4
(Low Vac)
Man .6
300 mTorr
Auto .4
(Low Vac)
Auto .6
900 mTorr
Auto .4
(Low Vac)
Auto .61
900 mT
4
Low Vac
6
Purge (2 min) /
Evacuation (2 min) 3 Cycles
8
9
12 min
Auto .6
8.9
300 W (INCP)
Auto .4
....
....
Auto .4
N20
CF4 *
Auto .6
250
250
....
N2
Man .6
8.9
8.9
300 W (INCP)
200 W (INCP)
Auto .4
....
....
250
Auto .6
8.9
300 W (INCP)
....
Auto .6
SiH4
N20
400
550
....
....
.....
*Supposed to have been N2 gas
instead of CF
4
Photolithography Recipe Sheet
I
Alimner Tyne:
Lamp Modes
Standard (S)
CP: Electrical Power
High Resolution (HRI
High Resolution (HRI
ClI: intensity @ I = 320 nm
CII: intensity @ 1 = 365 nm
E.V220
tEl
EV220 (E)
C12: intensity @ I = 405 nm
MASK
Run
Waveguide Definition
Type
Chrome/Glass
SINGE
Smallest
Feature
2
urn
Time
COATINGS
Temp.
i
30
200
Si02/GaAs Substrate
Waveguide Definition
SiO2/Si Wafer
SEM
Results
Chrome/Glass
I um
30
200
SOFT BAKE
ALIGNER
SOLUTIONS
Type of
Coat
Spin
Speed (rpm'
Spin
Time
Temp. Type
Exposure
Lamp
Intensity
Time (s)
(min)
(OC)
time (s)
Mode
mW/cm
HMDS
4000
30
30
1813 Photoresist
4000
HMDS
4000
30
1813 Photoresist
4000
30
30
90
90
(S)
(S)
21
21
CII
CIl
2
4.5
4.4/4.5
HARD BAKE
Type of Post-
Time
Time
Temp.
Exposure Solution
(s)
(min)
(OC)
Developer MF-319
45
DI water rinse
45
Developer MF-319
45
DI water rinse
45
Lift-Off Recipe Sheet
COMMENTS
SOLUTIONS
Type of Solution
Run
Nickel Etch Mask Lift-off
Time
(s)
Acetone Spray
Acetone Soak
-5 min The solution was swirled
around and aided with
an ultrasonic bath
Methanol Soak
I min The solution was swirled
Methanol Spray
N 2 Dry
- 63 -
SEM RESULTS
RIE Process Recive Sheet
Material Etched
Mask Material
sio,
I
Nickel
Susceptor
I
Adjustment Controls
Pressure
Pmp Type/
Time
Chiller Temperature
Alumina
[tpPhsFea 1e
Phase Lead
Set
Gai
Point
RF Control
Chamber Clean
60 min
15 mT/ Turbo
3
Flow Gases
2 min
15 mT/Turbo
4
Spark Plasma
30 sec
20 mT/Turbo
5
Etch
10 min
15 mT/Turbo
Incident Power (INCP) or
Direct
*Description
Current (DC)
6
Purge (2 min) /
12 min
..
300 W (INCP)
300 W (INCP)
E
li
IOI
l
GASES
--
300W (fNCP)
Load
Tune
EL
EL
EL
EL
EL
Plasma
Channel 1
gas/sccm
Channel 2
gas/sccm
Channel 3
gas/sccm
....
Channel 4
gas/sccm
02/99
Purge
gas
....
7
8
9
-64-
Color/
Quality
Mixed
CHF 3/15
CHF 3/15
CHF 3/15
N
Evacuation (2 min) 3 Cycles
I
~
Adjustment Controls
Turbo
2
Base Pressure
I
C
I
5E-5 Torr
Chamber Base Pressure Pump
GaAs Substrate
ELECTRICAL SIGNAL
PRESSURE
-I
I
I
/GaA/
I
I
Num.
SIO,
Structure (Top to Bottom)
2
RIE Process Recipe Sheet
Material Etched I
Mask Material IBaked
S113
I
Photoresist
Susceptor
Pressure
Pump Type/
Time
Num.
I
Chamber Base Pressure Pump
AlumIna
5E-5 Torr
Chiller TemperatureC
Base
I
Adjustment Controls
RF Control
Phase
Phase Lead
_aPin
Gain
Incident Power (INCP) or
Set
Pressure 5
1j
I
I
ELECTRICAL SIGNAL
PRESSURE
Description
I
I
I
Step
lI
GaAs Substrate
Structure (Top to Bottom)
GaAs
GASES
Adjustment Controls
Channel ]
Load
Direct Current (DC)
Point
gas/sccm
Channel 2
gas/sccm
Channel 3
Channel 4
gas/sccm
gas/sccm
Purge
gas
Tune
Color/
Quality
....
Turbo
2
Chamber Clean
40 min
30 mT/ Turbo
[_6__1
9.5
300 W (INCP)
.Auto
....
02/99
....
.5 Man.
3
Flow Gases
2 min
20 mT/Turbo
6
BCL3/15
9.5
50
4
Etch
10 min
20 mT/Turbo
67
.5
250 W (INCP)
Auto .4
BCL 3 /l 5
Auto .6
5
Purge (2 min) /
Evacuation (2 min) 3 Cycles
12 min
..
Man 4
8.9
Man .4
Auto .6
Auto .6
6
7
8
9
-
NO
II MIN
ill
65
-
.....
....
N2
Mixed
RIE Process Recipe Sheet
Material Etched
I
Mask Material
I
GaAs
I
Unbaked
S1813
Structure (Top to Bottom)
I
Photoresist
I
GaAs Substrate
Susceptor
I
Chiller Temperature[2mIC
I
I
Alumina
Base Pressure
5x1
I
I
Pressure
Step
1
Nu.
Description
NuLGa
Time
Pump Type!/
5E-5
Chamber Base Pressure Pump
mT
GASES
ELECTRICAL SIGNAL
PRESSURE
Adjustment Controls
RF Control
Phs
Phase Lead
an
Incident Power (INCP) or
L
et
on
Pon
Plasma
Adjustment Controls
Channel
Load
D
Direct Current (DC)n
T11111
gas/scem
1
Channel 2
gas/sccm
Channel 3
Channel 4
gas/sccm
gas/sccm
Purge
gas
Color/
Quslity
....
Turbo
2
Chamber Clean
40 min
30 mT/ Turbo
9.S
6f
300 W (INCP)
Flow Gases
2 min
20 mT/Turbo
....
02/99
-...
.....
....
N2
.5 Man.
50
3
.Auto
BCL3 /15
9.5
6
5so
4
Etch
10 min
-8 mT/Turbo
6f
.5
250 W (INCP)
Auto .4
BCL3 /15
Auto .6
5
Purge (2 min) /
Evacuation (2 min) 3 Cycles
12 min
..
4
8.9
6
7
8
9
-
Man .4
Auto .6
Auto .6
66-
Mixed
RIE Process Recive Sheet
Material Etched
Mask Material
I
GaAs
IBaked
Structure (Top to Bottom)
1813 Photoresist
Pressure
Time
Pump Type/
... ,.
GASES
ELECTRICAL SIGNAL
RF Control
Phs
Phase Lead
Incident Power (INCP) or
Set
I.
I
Direct Current (DC)
Point
Chamber Base Pressure Pump
Base Pressure
Alumina
Adjustment Controls
Num.Gi
I
I
I
PRESSURE
Description
CHiller TemperatureE251C
GaAs Substrate
Susceptor
I
Step
I
Adjustment Controls
Load
Plasma
Channel I
gas/sccm
Channel 2
gas/sccm
Channel 3
Channel 4
gas/sccm
gas/sccm
Purge
Color/
gas
Tune
Quality
5E-5 mT
Turbo
2
Chamber Clean
60 min
30 mT/ Turbo
_
9.5]
300 W (INCP)
Flow Gases
2 min
15 mT/Turbo
....
Man .55
20
3
Auto .75
3
BCL 3/5
9.5
20
4
Spark Plasma
30 sec
250 W (INCP)
15 mT/Turbo
BCL 3/5
Auto .75
5
Etch
12 min
-8 mT/Turbo
1
9.5
250 W (INCP)
BCL 3/5
Man .55
20E
Man.5
6
Purge (2 min) /
Evacuation (2 min) 3 Cycles
7
.....
I..
8
-
67
-
02/99
....
Mixed
RIE Process Recipe Sheet
Material Etched
I
Mask Material
GaAs
I
Structure (Top to Bottom)
Susceptor
Baked S1813 Photoresist
I
Pressure
1
Nu.
Description
NujGin
Time
Chamber Base Pressure Pump
I
Chiller Temperaturej25*
Alumina
Pump Type!
5E-5 mT
Base Pressure
I
1
10-5
777::=
1
PRESSURE
Step
GaAs Substrate
ELECTRICAL SIGNAL
Adjustment Controls
RF Control
P
1-i
Phase Lead Set
GainPoi~
Incident Power (INCP) or
GASES
Adjustment Controls
Pon
Direct Current (D)C)
9.5
300 W (INCP)
Load
Tnegas/sccm
Plasma
Channel I
Channel 2
gas/sccm
TuneII
Channel 3
Channel 4
gas/sccm
gas/sccm
Purge
gas
Color!
Quality
....
Turbo
2
Chamber Clean
60 min
30 mT/ Turbo
3
Auto.75
20
3
Flow Gases
2 min
15 mT/Turbo
3
5
Spark Plasma
Etch
30 sec
12 min
15 mT/Turbo
-8 mT/Turbo
BCL 3/5
9.5
2LJ
___3_
_
9.5
250 W (INCP)
Auto .75
Purge (2 min) /
Evacuation (2 min) 3 Cycles
7
....
BCL3/5
Man .55
250 W (INCP)
Auto .75
20H
6
-..
1
20
4
02/99
Man .55
BCL/5
Man .55
N.
l...
8
-
68
-
Mixed