Total-Ionizing-Dose Effects on the Resistance Switching Characteristics of Chalcogenide Programmable Metallization Cells

advertisement
IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 6, DECEMBER 2013
4563
Total-Ionizing-Dose Effects on the Resistance
Switching Characteristics of Chalcogenide
Programmable Metallization Cells
Y. Gonzalez-Velo, Member, IEEE, H. J. Barnaby, Senior Member, IEEE, M. N. Kozicki, Member, IEEE,
P. Dandamudi, Student Member, IEEE, A. Chandran, Student Member, IEEE, K. E. Holbert, Senior Member, IEEE,
M. Mitkova, Member, IEEE, and M. Ailavajhala
Abstract—Programmable metallization cells (PMCs) are
emerging ReRAM devices exhibiting resistance switching due to
cation transport in a solid-state electrolyte and redox reactions at
the electrodes. Their non-volatility and low power requirements
have led to increased interest in their development for non-volatile
memory applications. Investigation of the total dose response of
PMCs will contribute to our understanding of radiation induced
effects in these novel memory devices as well as assess their
suitability for use in ionizing radiation environments. This work
investigates the impact of total ionizing dose on the switching
characteristic of silver doped
PMC memory devices.
The results obtained show that the resistance switching characteristic of these cells which use a solid state electrolyte based
on
is not affected by a total dose exposure of up to
10 Mrad(
).
Index Terms—Cation, chalcogenide glass, ECM, electrochemical
metallization, memristors, nanoionic memory, photo-diffusion,
photodoping, PMC, programmable metallization cell, radiation
effects, ReRAM, resistive switching, total ionizing dose.
I. INTRODUCTION
E
MERGING non-volatile memory devices referred to as
“programmable metallization cells” (PMCs) [1]–[5], or
“electrochemical metallization cells” (ECM) [5]–[7] or “memristors” [8], [9] have been identified as a promising memory
technology [10]. These memory devices have been recognized
to exhibit scaling potential for several generations beyond
the 16 nm node, where current memory technologies such as
DRAM, SRAM and Flash will encounter difficult issues related
to continued scaling [10].
PMC characteristics, such as non-volatility, very low-power
operation, speed and scalability, have hastened the development
Manuscript received July 05, 2013; revised September 11, 2013; accepted October 13, 2013. Date of publication December 03, 2013; date of current version
December 11, 2013. This work was funded by the Defense Threat Reduction
Agency under grant no. HDTRA1-11-1-0055.
Y. Gonzalez-Velo, H. J. Barnaby and K. E. Holbert are with the School of
Electrical, Computer and Energy Engineering, Arizona State University, Tempe,
AZ 85287-5706 USA (e-mail: yago.gonzalezvelo@asu.edu).
M. N. Kozicki, P. Dandamudi, and A. Chandran are with the School of Electrical, Computer and Energy Engineering and also with the Center for Applied
Nano-Ionics, Arizona State University, Tempe, AZ 85287-5706, USA.
M. Mitkova and M. Ailavajhala are with the Department of Electrical and
Computer Engineering, Boise State University, Boise, ID 83725 USA.
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org.
Digital Object Identifier 10.1109/TNS.2013.2286318
Fig. 1. Micrographs of the actual implementation of a PMC in the BEOL of a
circuit and detail view of a single storage cell [12].
of Conductive-Bridging Random Access Memory (CBRAM), a
new low-power non-volatile memory technology currently in
production [11]–[17]. In CBRAM circuits, PMCs are implemented in the back-end-of-line (BEOL) of a CMOS process (see
Fig. 1) [12]. Thus, this technology may be used not only as a
standalone memory microcircuit but also as embedded memory
in system-on-chips.
PMCs rely on the processes of ionic transport through a solidstate electrolyte and reduction/oxidation (redox) reactions occurring at the electrode terminals [3]–[7] to grow or conversely
remove conductive nano-filaments within the device. This allows for a controllable resistance change from a high resistance
state (HRS) to a low resistance state (LRS). These different resistance states are used to represent bit information in memory
circuits [11]–[17].
Common solid-state electrolytes used in PMCs are chalcogenide glass (ChG) films that are photodoped with metals such
as Ag or Cu. As early as the mid-1960s, it was shown that
silver could be “photo-diffused” in chalcogenide materials, i.e.,
diffusion of silver (or copper) into chalcogenide materials can
be activated by light via electron-hole pair generation [18].
This process also causes variation in the material conductivity
[5]–[7], [19]–[22]. After photo-diffusion, the silver doped
chalcogenide glass exhibits high ionic conductivity. High-energy ionizing radiation may induce unintended effects on PMCs
similar to photo-diffusion as well as bond reconfiguration [23].
These effects could impact the operation of the devices by
influencing the electrical properties of ChG materials.
0018-9499 © 2013 IEEE
4564
IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 6, DECEMBER 2013
In this work, we investigate the effects of Co-60 gamma-ray
exposures on silver doped chalcogenide based PMCs to assess the influence of total ionizing dose (TID) on resistance
switching.
In Section II, the basic operation of PMC devices is described.
Section III provides the details of PMC processing and the experimental protocol used in this work. In the subsequent section, experimental results obtained after Co-60 exposures up to
10 Mrad (
) are presented. The results are then analyzed and discussed in the final “discussion” section.
II. DEVICE BASIC OPERATION AND CHARACTERISTICS
PMCs are two terminal devices exhibiting controllable resistance switching. The devices are usually composed of an active electrode, made of an electrochemically active metal that
is easily oxidized (i.e. Cu, Ag), a counter electrode composed
of an electrochemically inert metal (Ni, Pt, W) and a solidstate electrolyte in between the two electrodes. The active electrode is generally used as the anode, and the inert electrode is
used as the cathode of the device. The material connecting the
two electrodes could be comprised by some oxide films [5]–[7]
or ChG films [1]–[7]. Bulk chalcogenide glasses are usually
formed upon water quench of alloyed melts of group IV and/or
group V elements with those of group VI (chalcogens S, Se, and
Te) [24] and are used as a source material for thin-film deposition in most PMC manufacturing [25].
In this work, we focus on PMCs with an active electrode composed of silver, and a solid-state electrolyte that is a silver doped
thin-film.
The resistance switching process is illustrated in Fig. 2, where
the process of growing a conductive filament within a PMC is
shown along with the typical current-voltage characteristic of
one of the PMC devices studied in this work. Initially, there is
no conductive filament formed in the PMC, and the device is in a
high resistance state as represented in Fig. 2(a). When the silver
active electrode is positively biased, silver is oxidized (i.e., loss
of an electron that produces silver ions) according to (1)
(1)
(2)
will transport via a field dependent
Once Ag oxidizes,
process through the ChG film as illustrated in Fig. 2(b). When
the
ions reach the inert electrode (cathode), they are reduced according to (2) and electrodeposition of silver occurs
giving rise to a filament growth (see Fig. 2(c)). The growth of
the Ag filament at the inert electrode continues while the cell
is under positive bias. Growth of the filament (or collection of
filaments) leads to a decrease in cell resistance, reaching LRS
once the filament(s) form(s) an electrical connection between
the two electrodes, i.e., a conductive-bridge between electrodes
is formed (Fig. 2(d)). By reversing the voltage applied on the
structure, it is possible to oxidize the silver filament and thus
switch the resistance back to the initial HRS as represented in
Fig. 2(a).
The current–voltage characteristic and the resistance value
of the PMC obtained during the electrical characterization (DC
Fig. 2. Schematic representation of the mechanisms of conductive-bridge formation in PMCs is depicted in sketches (a) to (e), along a typical current–voltage
m diameter Ag doped
PMC. Incharacteristic measured on a
serted sketches are from [6]. Arrows presented on the curve indicate the direction followed when voltage is swept. The sketches representing the PMCs are
not to scale, i.e. the width of a conductive filament is a few nanometers, and
does not represent an important portion of the actual PMC via.
Fig. 3. PMCs DC current-voltage characteristic in log scale (solid line) and
corresponding resistance change characteristics (dashed line). The LRS
and HRS
are reported on the right axis (dashed line).
sweep) are presented in Fig. 3, this time with a logarithmic scale
for the current. In Fig. 3, HRS and LRS resistances are indicated
with the dashed line, and range from M in the HRS to k
in the LRS state. In this case the current flowing through the
device during the electrical characterization has been limited to
A. This maximum value of the current is referred to as the
programming current. These two different resistance states are
used to represent bit information in memory circuits.
The programming current (
) level is a parameter to control the magnitude of the on-state resistance,
[26]–[29]. A
higher programming current produces a lower
. This dependence of
with
is shown in Fig. 4, which plots
values vs.
for measurements on PMCs with
m via diameters.
The decrease of
with
is attributed to the filament
growth dependence on the current flow through the device. The
higher
enables a higher number of cations to reach the
inert electrode and/or the growing filament. As a consequence,
once the cell is effectively shorted by an initially thin conductive
filament, if cations still flow, they will contribute to a lateral
growth of the filament, thereby reducing
[5]–[7], [10]–[17],
GONZALEZ-VELO et al.: RESISTANCE SWITCHING CHARACTERISTICS OF CHALCOGENIDE PROGRAMMABLE METALLIZATION CELLS
4565
Fig. 4.
as a function of programming current measured on a set of 23
is taken on the current-voltage (I–V) sweep characPMCs on one die. The
teristic for an applied voltage of 50 mV.
[26]–[29]. This dependence of
with
allows PMCs to
be considered for use as multi-level cells (MLC).
III. TEST STRUCTURES AND RADIATION TEST PROTOCOL
A. PMC Processing and Description
PMCs studied in this work were
The Ag-doped
fabricated at the Arizona State University Center for Applied
Nano-Ionics. The electrodes are an active silver anode (on the
top) and an inert nickel cathode (on the bottom).
The first step used in the fabrication process is the deposition of 100 nm of
on a p-doped silicon wafer using a TorrVac VC-320 electron-beam evaporator. The second step is the
deposition of a 100 nm blanket film of nickel (cathode) using
the TorrVac VC-320. An additional 100 nm
layer is deposited on top of the nickel layer and then etched to form vias
in which a 60 nm film of
is deposited using a Cressington 308 thermal evaporator. A 30 nm thick Ag film is then
deposited on top of the
and the wafer is exposed
to UV light in order to allow photodoping of the ChG with
Ag. The photodoping step used on the devices studied in this
work consisted of a 1 hour exposure of the wafer to UV light
(
nm). Once the photodoping is completed, the active
electrode is formed by depositing an additional 35 nm of Ag on
top of the silver-doped
(Ag-ChG) layer. Contacts to
the bottom (inert Ni) and top (active Ag) electrodes are formed
by depositing 800 nm of aluminum. In Fig. 5, a top view microphotograph of a 10 μm diameter PMC (Fig. 5(a)) is presented
together with a cross-section of the device (Fig. 5(b)).
B. Experimental Details
A set of several dice with
m and
m diameter
PMCs were exposed to Co-60 gamma-rays at a dose-rate of
8.95 rad(
s in a Gammacell 220 irradiator at Arizona
State University. Exposures were conducted at room temperature and samples were left floating in the high resistance state
during exposure.
A set of devices was step-stressed to a maximum TID of
5.2 Mrad(
), and electrical characterizations were performed at several dose levels in order to retrieve the evolution of
Fig. 5. (a) Top view of a PMC with a diameter equal to
section of PMCs manufactured and studied in this work.
m. (b) Cross-
the switching characteristics with increasing exposure. A set of
additional devices was exposed to a TID of 10 Mrad(
)
and was characterized without being step-stressed to intermediate TID levels (characterization before and after exposure,
with only one high TID step).
The devices were electrically characterized on a probe-station
by measuring DC current-voltage characteristics with an Agilent 4156 C parameter analyzer. The voltage between the electrodes is swept from–0.5 V to 0.5 V, where the varying voltage
is applied on the anode, while the inert cathode is fixed at 0 V.
Current-voltage (I–V) measurements were performed prior to
and shortly after the end of the exposure steps.
In this work, devices with different
were also characterized in order to assess the impact of TID on memory elements
switched to different on-state resistances.
IV. EXPERIMENTAL RESULTS
From the typical switching characteristic plots presented in
Figs. 2 and 3,
and
of the devices can be extracted. In
this work both resistances were obtained at an applied voltage
of 50 mV. Resistance data are plotted in cumulative probability
graphs in order to quickly assess the HRS and LRS distribution pre- and post-irradiation. On these graphs the abscissa is
the resistance value of the PMC, and the ordinate represents the
probability that the resistance
is lower than a given resistance value. Five different PMCs have been switched five times
at each dose level in order to obtain a large data set.
A. TID Effects on On- and Off-state Resistance
for
Fig. 6 shows the cumulative probability graph of
PMCs step stressed and switched with an
of
A for
TID level up to 5.21 Mrad. The LRS resistance does not exhibit
4566
IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 6, DECEMBER 2013
Fig. 6. Cumulative probability of
switched 5 consecutive times with an
obtained on 5 PMCs. Devices were
A at each TID.
Fig. 7. Cumulative probability of
switched 5 consecutive times with an
obtained on 5 PMCs. Devices were
A at each TID.
Fig. 8. Comparison of the cumulative density of
(red closed symbols) and
(black open symbols) obtained on PMCs switched with an
A
in both prerad state and after the 5 different TIDs utilized.
Fig. 9.
as a function of programming current measured on PMCs exposed
to 2.28 Mrad and 5.21 Mrad.
any noticeable variation due to exposure to Co-60 gamma-rays.
The median of
(resistance at
in the LRS) for
the set of devices across all doses is between
k (mean of
k ) and
k (mean of
k ).
In Fig. 7, the cumulative probability graph of
obtained
on the same set of devices is shown. It can be observed that
the resistance values are much higher for the HRS than for the
LRS. Important horizontal shifts/discontinuities are observed in
the distribution. These shifts are due to typical device to device
variation in the HRS of PMCs. For the studied devices the median HRS resistance ranged from
M to
M .
For the studied devices, a large range of values of
is obtained which is a typical characteristic of ReRAM cells. The
range of
can be modified (made smaller) by altering the
manufacturing process or by performing electrical stress/ preconditioning on the devices. No electrical stress has been performed on the studied parts between dose steps to avoid disturbing the radiation response of the PMCs.
It has to be noted that for those devices even if a large range
of
is obtained, the important point is that the measured
and
are different, and in this work they are different by a
factor higher than 10. No matter what the distribution of
is, for all values of resistance higher than a threshold
the cell will be considered as being in a given logic state, and
for a resistance value lower than
it will be considered
as in the other logic state. This is shown in Fig. 8, where the
data of Figs. 6 and 7 are combined so that both
and
are presented for all devices and all irradiation levels. It can be
observed that the resistance distributions of the two different
states of the PMCs are well separated and do not overlap, even
after 5 Mrad exposures. Furthermore the median HRS is greater
than the median LRS by more than a factor of 100.
The studied devices showed capability for programming of
as a function of
before exposure as well as after exposure to Co-60 gamma-rays at high TID levels (see Fig. 9). This
illustrates that programming of different resistance levels can
be achieved on the devices even after high levels of TID. Thus,
even for increased
, where more
are transported and
reduced to form the filament no modification of the
is observed, which indicates that even after exposure there has been
essentially no modification of the solid-state electrolyte properties. This is an interesting result from the point of view of MLC
capabilities of PMC after radiation exposure.
Several more PMCs were characterized to higher TID levels,
but without being step-stressed to intermediate total doses. Resistance switching of these devices was still observed even after
GONZALEZ-VELO et al.: RESISTANCE SWITCHING CHARACTERISTICS OF CHALCOGENIDE PROGRAMMABLE METALLIZATION CELLS
Fig. 10. Cumulative probability of
(open symbols) and
(closed symbols) obtained on 4 PMCs with 5um diameter exposed to 10 Mrad.
Fig. 11. Ratio of
over
obtained on 3 control PMCs (solid lines) and
3 PMCs exposed to 10 Mrad (red open symbols) for a maximum of 500 consecA.
utive resistance switching operation with
a 10 Mrad exposure, as shown in Fig. 10. During these tests, the
PMCs were characterized with
A.
B. Repeated Switching–PMCs Cycled After Exposure
Repeated resistance switching was performed on two sets of
three devices referenced as ‘exposed’ devices, and ‘control’ devices (or ‘non-exposed’ devices). ‘Exposed’ devices were subjected to 10 Mrad and electrically characterized after exposure
with no resistance switching performed before exposure. Control devices (or non-exposed devices) were characterized at the
end of the experiment (not cycled before exposure) to investigate the cycling behavior of devices not exposed. The characterization on control devices was performed within 24 hours of the
characterization performed on exposed devices. On both sets of
samples, resistance switching was performed until failure or to
a maximum of 500 resistance switching cycles. The term failure
here denotes devices that exhibit equal values of
and
(i.e., the ratio
over
is equal to 1). In Fig. 11, the ratios
of
and
extracted at 50 mV on the DC-IV characteristic
are presented for both control devices and exposed devices. This
ratio is generally used to represent the separation between HRS
and LRS.
For the measurements shown in Fig. 11, two out of three of the
control devices exhibit an
equal to 1 before reaching
Fig. 12. Cumulative probability graph of the
3 control PMCs.
Fig. 13. Cumulative probability of the
trol PMCs.
4567
obtained on 3 exposed and
obtained on 3 exposed and 3 con-
the 500 cycles, and one does so after less than 150 cycles. Moreover, the
ratio is lower for control devices than those
exposed to 10 Mrad. The increase in
obtained on exposed PMCs is principally due to an increase in
and not a
decrease of their
. This is illustrated in Fig. 12, which plots
the distribution of
, and Fig. 13, which plots the distribution
of
, for the 3 exposed PMCs and the 3 control PMCs. Fig. 12
shows that while the distribution in LRS resistance broadens
somewhat after the 10 Mrad exposure, the average
remains
fairly close to
k .
Concerning the HRS resistance, it can be observed in Fig. 13
that the distribution of exposed devices 1 and 2 have a median
value one order of magnitude higher than that of control PMCs.
This is why the ratio
is higher than 100 for these devices (see Fig. 11). Concerning exposed device 1, its
is close to those observed on control devices but its distribution
is more uniform.
This “cycling” has been performed by repeating DC-IV
switching. For research devices which ‘cycling’ is characterized with DC-IV sweeps, the number of cycles can go from a
few tens to a few thousands of cycles. In order to check for the
maximal number of cycles that PMCs can undergo (which can
be higher than
cycles) another set-up using pulse generators
is generally used in the literature. This type of assessment will
be conducted in further investigations of the radiation response
of PMCs.
4568
IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 6, DECEMBER 2013
V. DISCUSSION
PMC devices exhibit resistance switching due to silver cation
transport through a solid-state electrolyte. It is known that photoinduced effects like photodoping can occur on structures composed of silver and ChG materials [18], [19] leading to the incorporation of Ag into chalcogenide glasses, and formation of
phases like
[25], [30]–[34]. These effects are related
to the generation of electrons and holes in the material and
have been intensively studied and characterized in the case of
UV light illumination of bulk and thin film chalcogenide materials. Depending upon the deposition conditions, there are cases
when the thin films behave differently than their bulk counterparts. High energy ionizing radiation, by generating electron-hole pairs could trigger photo-induced effects that might
disturb the basic resistance switching operation of PMC devices
[23], [35]–[39]. The effects of higher energy ionizing radiations
on these materials for either bulk or thin films have not been
thoroughly studied but since PMCs could be included as the
building blocks of low power non-volatile memories, the impact of higher energy radiation on PMC cells is of great interest,
particularly for space based applications.
A. TID Effects on On- and Off-state Resistance
The cumulative probability plots presented in Figs. 6 to 8
and Fig. 10 show that resistance switching of PMCs continues
even after exposure to a TID of 10 Mrad with no major variation of resistances, showing that the HRS resistance levels and
the LRS levels continue to be largely different after exposure
to Co-60 gamma-rays. It is known that electron-hole generation induces photodoping within Ag/ChG structures [19] and
that effect could have impacted the different resistance states of
the PMCs exposed to Co-60 gamma-rays and their capability to
represent different logic states. In this work, we show that this
effect is not happening or occurs only in a reduced way, so that
there is no noticeable effect from the Co-60 exposures on PMC
switching operation. The suppression of radiation response may
be related to the UV photodoping conducted during processing
of the PMC by which we fully saturate the chalcogenide glass
with Ag. Photodoping has been shown to reach saturation levels
for Ag-ChG test structures exposed to UV light [19]. Therefore, the UV photodoping step during processing may reduce
the impact of any additional electron-hole generation occurring
once the solid-state electrolyte is formed, and allow for inherent
hardening against additional ionizing dose effects, at least to the
levels that we report in this work.
B. PMCs Cycled After Exposure
As far as the cycling results (Figs. 11-13) of control PMCs
and PMCs exposed to 10 Mrad the
ratio is higher after
a 10 Mrad exposure, and this increase of
is related to
the increase of the HRS
.
This is an interesting result, as it shows that the HRS is likely
to be more impacted than the LRS for PMCs. The LRS is basically fixed by the grown filament, whereas the HRS is related to the properties of the solid-state electrolyte. It has to
be noted that in Fig. 2 the resistance switching mechanisms are
schematically represented within a uniform ChG film, but the
resistance switching in PMCs does not involve the transport of
in a pristine ChG or a uniformly photodoped material, but
rather in a material that is thought to be made of nanophases
formed after reaction of Ag with the chalcogen atoms [30]–[34],
[40]–[42]. As an example, the binary phase
is formed
after photodoping of
[30]–[34], which has ionic properties. The solid-state electrolyte is thus formed by a collection
of nanophases with different Ag doping, i.e., different conductivities. Resistance switching can be related to conductive filaments being formed between these different phases, which ultimately provide a dendritic conductive path between electrodes
after an appropriate bias [17], [30]–[34], [40]–[42]. It has been
found by means of Raman spectroscopy and XRD studies conducted after UV-light exposures and high temperature annealing
[32], [41], [42] that the nanophases/crystallites in the electrolyte
can evolve in size, as well as in composition.
A radiation induced modification of the composition in
could lead to an increase in the HRS
if
crystallite size is reduced due to exposure.
is an ionic
conductor, and any modification of the relative composition of
the solid-state electrolyte in
could lead to modification
in the conductivity of the electrolyte, i.e., in the PMC HRS.
Even though modifications and changes occur in the electrolyte, the resistance switching performed on exposed PMCs
allows us to conclude that for devices exposed to TID levels
common in space based systems, cation transport still occurs,
and no important changes in the voltage needed to program
the parts to the LRS is observed. This occurs even if the electrolyte exhibits increased HRS
(i.e. even with nanophases
evolving due to ionizing radiation exposure). The increase of
the
ratio obtained in this work is in fact, an improvement of the resistance switching characteristics of the PMC.
VI. CONCLUSION
In this work, we experimentally investigated total dose
effects on the resistance switching characteristics of Ag doped
PMCs, an emerging ReRAM device. These devices
exhibit resistance switching due to silver cation transport
through a solid-state electrolyte and reduction and oxidation
of the silver cation at the electrodes of the cells. The devices
are shown not to be sensitive to ionizing radiation exposure
to TID up to 10 Mrads, and the obtained results suggest that
resistance switching could be achieved at even higher TID
levels. An interesting result obtained when cycling the device
is that the
ratio of the devices is shown to increase
after radiation exposure, which is an improvement in one of
the metrics of the resistance switching process. Concerning the
impact of radiation on the MLC capability of the devices, the
study of the On-state resistance control with
demonstrated
that this capability of the cell is preserved after exposure.
ACKNOWLEDGMENT
The authors would like to thank Dr. James Reed of DTRA for
his support of this work.
REFERENCES
[1] M. N. Kozicki and W. C. West, “Programmable metallization cell
structure and method of making same,” US patent no. 5 761 115, Jun.
1998.
GONZALEZ-VELO et al.: RESISTANCE SWITCHING CHARACTERISTICS OF CHALCOGENIDE PROGRAMMABLE METALLIZATION CELLS
[2] M. N. Kozicki, M. Yun, L. Hilt, and A. Singh, “Applications of programmable resistance changes in metal-doped chalcogenides,” in Proc.
Symp. on Solid State Ionic Devices, 1999, pp. 1–12.
[3] M. N. Kozicki, M. Mitkova, M. Park, M. Balakrishnan, and C.
Gopalan, “Information storage using nanoscale electrodeposition
of metal in solid electrolytes,” Superlat. Microstruct., vol. 34, pp.
459–465, Dec. 2003.
[4] M. N. Kozicki, M. Park, and M. Mitkova, “Nanoscale memory elements based on solid-state electrolytes,” IEEE Trans. Nanotechnol.,
vol. 4, pp. 331–338, May 2005.
[5] I. Valov and M. N. Kozicki, “Cation-based resistance change memory,”
J. Phys. D: Appl. Phys., vol. 46, no. 7, Feb. 2013.
[6] I. Valov, R. Waser, J. R. Jameson, and M. N. Kozicki, “Electrochemical metallization memories—fundamentals, applications, prospects,”
Nanotechnology, vol. 22, p. 254003, Jun. 2011.
[7] R. Waser, R. Dittmann, G. Staikov, and K. Szot, “Redox-based resistive switching memories—nanoionic mechanisms, prospects, and challenges,” Adv. Mater., vol. 21, pp. 2632–2663, Jul. 2009.
[8] L. Chua, “Memristor-The missing circuit element,” IEEE Trans. Circuit Theory, vol. 18, pp. 507–519, Sep. 1971.
[9] L. Chua, “Resistance switching memories are memristors,” Appl. Phys.
A, vol. 102, pp. 765–783, 2011.
[10] Assessment of the potential and maturity of selected emerging
research memory technologies, ITRS Workshop and ERD/ERM
Working Group Meeting [Online]. Available: http://www.itrs.net/
Links/2010ITRS/2010Update/ToPost/ERD_ERM_2010FINALReportMemoryAssessment_ITRS.pdf
[11] Adesto Technologies [Online]. Available: http://www.adestotech.com
[12] C. Gopalan, Y. Ma, T. Gallo, J. Wang, E. Runnion, J. Saenz, F.
Koushan, P. Blanchard, and S. Hollmer, “Demonstration of conductive bridging random access memory (CBRAM) in logic CMOS
process,” Solid-State Electron., vol. 58, pp. 54–61, 2011.
[13] N. Derhacobian, S. C. Hollmer, N. Gilbert, and M. N. Kozicki, “Power
and energy perspective of nonvolatile memory technology,” Proc.
IEEE, vol. 98, pp. 283–298, Jan. 2010.
[14] H. Hönigschmid, M. Angerbauer, S. Dietrich, D. Gogl, C. Liaw, M.
Markert, R. Symanczyck, L. Altimine, S. Bournat, and G. Muller, “A
non-volatile 2 Mbit CBRAM memory core featuring advanced read
and program control,” VLSI Circuits Dig. Tech. Papers, pp. 138–139,
2006.
[15] R. Symanczyk, R. Dietrich, A. Duch, J. Keller, M. Kund, G. Müller,
B. Ruf, P.-H. Albarede, S. Bournat, and L. Bouteille, “Conductive
bridging memory development from single cells to 2 Mbit memory arrays,” in Proc. Nonvolatile Memory Technol. Symp., 2007, pp. 71–75.
[16] S. Dietrich, M. Angerbauer, M. Ivanov, D. Gogl, H. Hönigschmid, M.
Kund, C. Liaw, M. Markert, R. Symanczyk, L. Altimime, S. Bournat,
and G. Müller, “A non-volatile 2 Mbit CBRAM memory core featuring
advanced read and program control,” in Proc. VLSI Circuits Dig. Tech.
Papers, 2006, pp. 138–144.
[17] M. Kund, G. Beitel, C.-U. Pinnow, T. Röhr, J. Schumann, R.
Symanczyk, K.-D. Ufert, and G. Müller, “Conductive bridging RAM
(CBRAM): An emerging non-volatile memory technology scalable to
sub 20 nm,” in Proc. IEDM Tech. Dig, 2005, pp. 754–757.
[18] M. T. Kostyshin, E. V. Mikhailovskaya, and P. F. Romanenko, Sov.
Phys. Solid St., vol. 8, pp. 451–453, 1966.
[19] A. V. Kolobov and S. R. Elliott, “Photo-doping of amorphous chalcogenides by metals,” Adv. Phys., vol. 40, pp. 625–684, Feb. 1991.
[20] M. Kawasaki, J. Kawamura, Y. Nakamura, and M. Aniya, “Ionic conglasses,” Solid State
ductivity of
Ionics, vol. 123, pp. 259–269, Aug. 1999.
[21] M. Mitkova and M. N. Kozicki, “Ag-Photodoping in Ge-chalcogenide
amorphous thin films–reactions products and their characterization,” J.
Phys. Chem. Solids, vol. 68, pp. 866–872, 2007.
[22] M. A. Ureña, A. A. Piarristeguy, M. Fontana, and B. Arcondo, “Ionic
) in AgGeSe glasses,” Solid State Ionics, vol. 176,
conductivity (
pp. 505–512, Feb. 2005.
[23] O. Shpotyuk, Semiconducting Chalcogenide Glass 1, R. Fairman and
B. Ushkov, Eds. : Elsevier, 2004, ISBN 0127521879.
4569
[24] Z. U. Borisova, Glassy Semiconductors. New York, NY, USA:
Plenum Press, 1981.
[25] M. Mitkova and M. N. Kozicki, “Silver incorporation in Ge-Se glasses
used in programmable metallization cell devices,” J. Non-Crystalline
Solids, vol. 299–302, pp. 1023–1027, 2002.
[26] D. Kamalanathan, S. Baliga, S. C. P. Thermadam, and M. N. Kozicki, “ON state stability of programmable metalization cell (PMC)
memory,” in Proc. Non-Volatile Memory Technology Symp., Nov.
2007, pp. 91–96.
[27] U. Russo, D. Kamalanathan, D. Ielmini, A. L. Lacaita, and M. N. Kozicki, “Study of multilevel programming in programmable metallization cell (PMC) memory,” IEEE Trans. Electron Dev., vol. 56, pp.
1040–1047, May 2009.
[28] D. Kamalanathan, U. Russo, D. Ielmini, and M. N. Kozicki, “Voltagedriven on–off transition and tradeoff with program and erase current in
programmable metallization cell (PMC) memory,” IEEE Electron Dev.
Let., vol. 30, no. 5, pp. 553–555, May 2009.
[29] N. E. Gilbert and M. N. Kozicki, “An embeddable multilevel-cell solid
electrolyte memory array,” IEEE J. Solid-State Circ., vol. 42, no. 6,
Jun. 2007.
[30] M. Mitkova, M. N. Kozicki, H. C. Kim, and T. Alford, “Local structure
resulting from photo and thermal diffusion of Ag in Ge-Se films,” J.
Non-Crystalline Solids, vol. 338–340, pp. 552–556.
[31] M. N. Kozicki, M. Mitkova, J. Zhu, and M. Park, “Nanoscale phase
separation in Ag-Ge-Se glasses,” Microelectron. Engrg., vol. 63, pp.
155–159, 2002.
[32] M. Mitkova, M. N. Kozicki, H. C. Kim, and T. L. Alford, “Crystallization effects in annealed thin Ge-Se films photodiffused with Ag,”
J. Non-Crystalline Solids, vol. 352, pp. 1986–1990, 2006.
[33] M. Mitkova, Y. Sakaguchi, D. Tenne, S. K. Bhagat, and T. L. Alford,
“Structural details of Ge-rich silver doped chalcogenide glasses for
nanoionic nonvolatile memory,” Phys. Status Solidi A, vol. 207, no.
3, pp. 621–626, 2010.
[34] M. Mitkova, M. N. Kozicki, H. C. Kim, and T. L. Alford, “Thermal
and photodiffusion of Ag in S-rich Ge-S amorphous films,” Thin Film
Solids, vol. 449, pp. 248–253, 2004.
[35] D. Nesheva, M. Ailavajhala, P. Chen, D. A. Tenne, H. Barnaby, and
M. Mitkova, “Study of gamma radiation induced effects in Ge-rich
chalcogenide thin films,” in Proc. RAD12 Conf., 2012, pp. 063–7,
ISBN 978-86-6125.
[36] P. Chen, M. Ailavajhala, M. Mitkova, D. Tenne, I. S. Esqueda, and H.
Barnaby, “Structural study of Ag-Ge-S solid electrolyte glass system
for resistive radiation sensing,” presented at the Proc. IEEE Workshop
on Microelectronics and Electron Devices, 2011.
[37] M. Mitkova, P. Chen, M. Ailavajhala, D. P. Butt, D. A. Tenne, H. J.
Barnaby, and I. Sanchez Esqueda, “Gamma ray induced structural effects in bare and Ag doped Ge-S thin films for sensor application,” J.
Non-Crystalline Solids, 2013.
[38] M. Ailavajhala, M. Mitkova, and D. P. Butt, “Simulation and process
flow of radiation sensors based on chalcogenide glasses for in-situ
measurement capability,” Phys. Status Solidi C, vol. 9, no. 12, pp.
2415–2419, 2012.
[39] Y. Gonzalez-Velo, H. J. Barnaby, A. Chandran, D. R. Oleksy, P. Dandamudi, M. N. Kozicki, K. E. Holbert, M. Mitkova, M. Ailavajhala, and
P. Chen, “Effects of cobalt-60 gamma—rays on Ge-Se chalcogenide
glasses and Ag/Ge-Se test structures,” IEEE Trans. Nucl. Sci., vol. 59,
no. 6, pp. 3093–3100, Dec. 2012.
[40] M. Mitkova, M. N. Kozicki, and J. P. Aberouette, “Morphology of
electrochemically grown silver deposits on silver-saturated Ge-Se thin
films,” J. Non-Crystalline Solids, vol. 326–327, pp. 425–429, 2003.
[41] M. Balakrishnan, M. N. Kozicki, C. D. Poweleit, S. Bhagat, T. L. Alford, and M. Mitkova, “Crystallization effects in annealed thin GeS2
films photodiffused with Ag,” J. Non-Crystalline Solids, vol. 353, pp.
1454–1459, 2007.
[42] R. Bruchaus, M. Honal, R. Symanczyk, and M. Kund, “Selection of
optimized materials for CBRAM based on HT-XRD and electrical test
results,” J. Electrochem. Soc., vol. 156, pp. 729–733, 2009.
Download