5626

advertisement
Background Statement for SEMI Draft Document 5626
Line Item Revisions to SEMI E154-0713, Mechanical Interface
Specification for 450 mm Load Port AND to SEMI E166-0513,
Specification for 450 mm Cluster Module Interface: Mechanical
Interface and Transport Standard
Notice: This background statement is not part of the balloted item. It is provided solely to assist the recipient in
reaching an informed decision based on the rationale of the activity that preceded the creation of this Document.
Notice: Recipients of this Document are invited to submit, with their comments, notification of any relevant
patented technology or copyrighted items of which they are aware and to provide supporting documentation. In this
context, “patented technology” is defined as technology for which a patent has issued or has been applied for. In the
latter case, only publicly available information on the contents of the patent application is to be provided.
Summary of Changes
Background:
Some process modules need higher or lower wafer transfer plane height that is outside the range of
EFEM End effector’s range of vertical motion, which is constrained by the stroke and/or vertical position of
the robot in the EFEM. As EFEM robot vertical motion must accommodates wafer transport from/to every
slot in a FOUP on the Load port Option B.
Proposed EFEM pocket volume will allow conventional robot to be able to have extra range of vertical
motion by extending stroke or to be capable of servicing lower wafer transport height by lowering the
robot without changing Load port height requirement for Option B.
Line item 1. New section 11 in E154 for EFEM pocket.
Add the related information in E154 & E166.
Line item 2. Correction on z105 value in E154.
Note: The additions are underlined and deletions are strikeouts.
Review and Adjudication Information
Task Force Review
450mm International PIC &
International Process Module Physical
Interface Joint TF
April 18, 2014
Date:
Time & Time zone: 10:00 AM – 12:00 AM (Japan Standard Time)
SEMI Japan Office
Location:
City, State/Country: Tokyo, Japan
Shoji Komatsu (Acteon)
Leader(s):
Supika Mashiro (TEL)
Group:
Standards Staff:
Hirofumi Kanno (SEMI Japan)
hkanno@semi.org
Committee Adjudication
Japan PI&C Committee
April 18, 2014
1:30 PM – 5:00 PM (Japan Standard Time)
SEMI Japan Office
Tokyo, Japan
Tsuyoshi Nagashima (Miraial)
Tsutomu Okabe (TDK)
Kenji Yamagata (Daifuku)
Hirofumi Kanno (SEMI Japan)
hkanno@semi.org
This meeting’s details are subject to change, and additional review sessions may be scheduled if necessary. Contact
Standards staff for confirmation. Telephone and web information will be distributed to interested parties as the
meeting date approaches. If you will not be able to attend these meetings in person but would like to participate by
telephone/web, please contact Standards staff.
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
SEMI Draft Document 5626
Line Item Revisions to SEMI E154-0713, Mechanical Interface
Specification for 450 mm Load Port AND to SEMI E166-0513,
Specification for 450 mm Cluster Module Interface: Mechanical
Interface and Transport Standard
NOTICE: Per ¶ 3.4.4.3.1 of the SEMI Standards Procedure Guide, the purpose, scope, limitations, and
terminology sections of SEMI E154-0713, are provided below.
SEMI E154-0713
MECHANICAL INTERFACE SPECIFICATION FOR 450 mm LOAD PORT
1 Purpose
1.1 The purpose of this Document is to define the basic interface dimensions of a load port on the semiconductor
manufacturing equipment (SME), where a 450 FOUP and 450 MAC can be loaded and unloaded. The intention of
this Document is to define a set of requirement and features to enable interoperability of load ports and carriers
without limiting innovative solutions.
2 Scope
2.1 The interface specification in this Document is driven by and intended to mate with a carrier compliant to the
relevant SEMI 450 mm FOUP and 450 mm MAC Standards.
2.2 Loading and unloading is assumed to take place in semi-automated and automated mode by using any kind of
system or device (i.e., person guided vehicle or overhead hoist vehicle).
NOTICE: SEMI Standards and Safety Guidelines do not purport to address all safety issues associated with their
use. It is the responsibility of the users of the Documents to establish appropriate safety and health practices, and
determine the applicability of regulatory or other limitations prior to use.
3 Limitations
3.1 This Standard does not intend to address manual loading or unloading of a carrier.
3.2 The detailed methods and mechanisms inside a 450 FOUP and 450 MAC door of how a carrier door may be
engaged to and disengaged from the carrier shell are not specified within this Document.
3.3 Since the direct scale-up of the 300 mm methods (e.g., for how a load port is opening/closing a FOUP,
kinematic coupling (KC) pin-to-carrier groove interface, KC pin/groove system-to-load port open/close interface,
and wafer pitch/wafer handling) has not yet been demonstrated, this document is to address the need for prototyping
and data gathering.
4 Referenced Standards and Documents
4.1 SEMI Standards and Safety Guidelines
SEMI E63 — Mechanical Specification for 300 mm Box Opener/Loader to Tool Standard (BOLTS-M) Interface
SEMI E110 — Guideline for Indicator Placement Zone and Switch Placement Volume of Load Port Operation
Interface for 300 mm Load Ports
SEMI E158 — Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450 mm Wafers (450
FOUP) and Kinematic Coupling
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 2
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
4.2 International Organization for Standardization (ISO) Standards 1
ISO 68-1 — ISO General Purpose Screw Threads – Basic Profile – Part I: Metric Screw Threads
ISO 4287 — Geometrical Product Specifications (GPS) – Surface Texture: Profile Method – Terms, Definitions and
Surface Texture Parameters
NOTICE: Unless otherwise indicated, all documents cited shall be the latest published versions.
5 Terminology
5.1 Abbreviations and Acronyms
5.1.1 BEOL — back-end-of-line
5.1.2 BI — BOLTS interface surface
5.1.3 BP — bilateral plane
5.1.4 EB — equipment boundary
5.1.5 EBUPPER — equipment boundary above z100
5.1.6 FEOL — front-end-of-line
5.1.7 FP — facial plane
5.1.8 HP — horizontal plane
5.1.9 KC — kinematic coupling
5.1.10 KCP — kinematic coupling pin
5.1.11 LB — load boundary
5.1.12 OHT — overhead hoist transport
5.1.13 RFID — radio frequency identification
5.1.14 SME — semiconductor manufacturing equipment
5.2 Definitions
5.2.1 450 BOLTS interface surface (BI) — a physical surface on the semiconductor manufacturing equipment
intended to mate with a load port.
5.2.2 450 equipment boundary (EB) and upper 450 equipment boundary (EBUPPER) — consisting of two planes, one
plane parallel to the facial plane establishing the boundary between the semiconductor manufacturing equipment and
the load port (see dimension y100). And, the second plane parallel to the facial plane and above z100 establishing
the boundary between the semiconductor manufacturing equipment and the overhead transport vehicle (see
dimension y105).
NOTE 1: The 450 EB is not defining a physical feature. It is a boundary only between the load port and the SME. The EB and
EBUPPER should not be confused with the ‘physical’ equipment front as defined in § 7.9. The equipment front is a real physical
feature, while the EB and EBUPPER is a boundary only.
5.2.3 450 FOUP — used generally as a ‘term’ only to identify the front-opening carrier used in fabs for 450 mm
wafers.
5.2.4 450 load boundary (LB) — a plane parallel to the facial plane establishing the boundary between the load port
and the fab aisle (see dimension y101).
5.2.5 450 load height — the distance from the horizontal plane to the fab floor.
5.2.6 450 load port — the interface location on a semiconductor manufacturing equipment, where a 450 FOUP can
be loaded and unloaded.
1
International Organization for Standardization, ISO Central Secretariat, 1 rue de Varembé, Case postale 56, CH-1211 Geneva 20, Switzerland;
Telephone: 41.22.749.01.11, Fax: 41.22.733.34.30, http://www.iso.ch
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 3
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
5.2.7 450 spacing — the distance from the bilateral plane of one load port to the bilateral plane of an adjacent load
port on a semiconductor manufacturing equipment.
5.2.8 bilateral plane (BP) — a vertical plane, defining x=0 of a system with three orthogonal planes (HP, BP, FP),
coincident with the nominal location of the rear primary KCP, and midway between the nominal locations of the
front primary KCPs.
5.2.9 BOLTS — used generally as a ‘term’ only within this Document to identify the interface between a load port
and the semiconductor manufacturing equipment. [SEMI E63]
5.2.10 facial plane (FP) — a vertical plane, defining y=0 of a system with three orthogonal planes (HP, BP, FP),
y33=194 ± 0 mm in front of the nominal location of the rear primary KCP.
5.2.11 horizontal plane (HP) — a horizontal plane, defining z=0 of a system with three orthogonal planes (HP, BP,
FP), coincident with the nominal location of the uppermost points (tips) of the three KCPs.
5.2.12 indicator placement area — an area in which load port status indicators may be placed. [SEMI E110]
5.2.13 load port door — mechanical feature on a load port surrounded by the load port frame. It can be engaged
with the carrier door and together they can be moved away to allow access to wafers in a carrier.
5.2.14 load port frame — mechanical feature on a load port surrounding the load port door.
5.2.15 load port status indicator — any kind of visualization means (e.g., lamp, LED, display) to indicate the status
of a load port to an operator. [SEMI E110]
5.2.16 nominal location — the value a dimension would have if its tolerance were reduced to zero.
5.2.17 plane — a theoretical surface which has infinite width and length, zero thickness and zero curvature.
SEMI E166-0513
SPECIFICATION FOR 450 mm CLUSTER MODULE INTERFACE:
MECHANICAL INTERFACE AND TRANSPORT STANDARD
1 Purpose
1.1 The purpose of the standard is to ensure minimum necessary level of physical connectivity between the
transport module and process modules comprising the cluster tool for 450 mm. It is expected that a process module
can be connected to the transport module of any cluster tool with least design change provided that both modules
meet the requirement of this Standard.
1.1.1 Process modules accept wafers at locations that may vary substantially from one module to another. This
places a burden on the capabilities of transport modules to move wafers to and from various process modules in a
cluster tool. This specification defines wafer transport planes within modules. This obviates the wafer transport
problem to a large extent, but does not unduly restrict process module content.
2 Scope
2.1 The standard defines the interface plane between modules in a cluster tool. It provides the mechanical
specifications at the interface between the transport module and process module to be connected together; no
requirements are imposed on the module content.
2.2 The standard is applicable only to wafers that are 450 mm in diameter and to the interface between cluster tool
modules, with the following exception. The transport module operates across the interface plane; thus, a definition
of the wafer transport plane within process modules is required.
NOTICE: SEMI Standards and Safety Guidelines do not purport to address all safety issues associated with their
use. It is the responsibility of the users of the Documents to establish appropriate safety and health practices, and
determine the applicability of regulatory or other limitations prior to use.
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 4
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
3 Referenced Standards and Documents
3.1 SEMI Standards and Safety Guidelines
SEMI E21 — Cluster Tool Module Interface: Mechanical Interface and Wafer Transport Standard
SEMI E21.1 — Cluster Tool Module Interface 300 mm: Mechanical Interface and Wafer Transport Standard
SEMI E154 — Mechanical Interface Specification for 450 mm Load Port
3.2 ISO Standard2
ISO 1609-1986 (E) — Vacuum Technology – Flange Dimensions
ISO 4287 — Geometrical Product Specifications (GPS) – Surface Texture: Profile Method – Terms, Definitions and
Surface Texture Parameters
NOTICE: Unless otherwise indicated, all documents cited shall be the latest published versions.
4 Terminology
4.1 Definitions
4.1.1 cluster tool — an integrated, environmentally isolated manufacturing system having process and transport
modules mechanically linked together.
4.1.2 environmental isolation — separated from the ambient atmospheric environment. [SEMI E21]
4.1.3 interface plane — the vertical surface defined by the mating surfaces of two joined modules. [SEMI E21]
4.1.4 interface seal zone — an absolute surface or face reserved for establishing an environmental seal between
modules. [SEMI E21]
4.1.5 intratool transport — wafer movement inside a cluster tool. [SEMI E21]
4.1.6 module — an independently-operable unit that is part of a tool or system. [SEMI E21]
4.1.7 passive process module — a process module that has no wafer moving mechanism for wafer handoff
4.1.8 process module — a module that accepts or presents a single wafer inside the module for intratool transport.
[SEMI E21]
4.1.9 reach — the distance measured from the interface plane to the wafer centroid within a process module.
4.1.10 transport module — a module that accepts or presents a single wafer outside the module across the interface
plane for intratool transport. [SEMI E21]
4.1.11 wafer transport plane — the virtual horizontal plane a wafer traverses between modules.
4.1.12 wafer transport zone — the area of the interface plane free of physical obstructions, reserved for wafer
movement between modules. [SEMI E21]
2
International Organization for Standardization, ISO Central Secretariat, 1 rue de Varembé, Case postale 56, CH-1211 Geneva 20, Switzerland;
Telephone: 41.22.749.01.11, Fax: 41.22.733.34.30, http://www.iso.ch
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 5
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
Line item 1: New section 11 in E154 for EFEM Lower Robot Volume, Add the related
information in E154 & E166
<E154: Mechanical Interface Specification for 450 mm Load Port >
11 Requirements for EFEM Robot Lower Volume
11.1 The requirements of this section only need to be met if the EFEM has a capability for vertical stroke greater
than a typical atmospheric robot.
11.2 EFEM Robot Lower Volume — a volume extending beneath an EFEM and into the raised floor of the fab
whereby an atmospheric robot may operate. The purpose of this additional volume is to accommodate atmospheric
robots which require greater vertical stroke in the Z axis. The EFEM Robot Lower Volume shall not be used for any
other purpose. The area of the volume must not exceed the area of the EFEM as defined by x500, in width; and
y500, in depth. The lower surface of the volume must not exceed z500 beneath the surface of the raised floor.
11.3 The EFEM must be supported by the raised floor only.
11.4 The EFEM Robot Lower Volume must be provided with a physical barrier to prevent personnel from
occupying the operating environment of the robot.
NOTE 17: The SME supplier should coordinate with end user/device maker on details of implementation prior to installation.
Figure 15
EFEM Robot Lower Volume
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 6
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
Table 7 Dimensions of EFEM Lower Robot Volume
Symbol Used
Figure
Value Specified
x500
15
≤ 300 mm
Datum Measured From
Feature Measured To
BP of first LP
Left boundary of EFEM Robot Lower
Volume
x501
15
≤ 300 mm
BP of last LP
Right boundary of EFEM Robot Lower
Volume
y500
15
≥ 100 mm
BOLTS Plane
Front boundary of EFEM Robot Lower
Volume
y501
15
≥ 100 mm
EFEM Rear Surface
Rear boundary of EFEM Robot Lower
Volume
z500
15
≤ 300 mm
Floor
Bottom boundary of EFEM Robot
Lower Volume.
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 7
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
R1-5 EFEM Robot Lower Volume
R1-5.1 In some SME applications there is a requirement to increase the wafer transfer plane to the processing side
of the EFEM. This requirement in combination with the load port height specified in this document place design
constraints on available atmospheric robot options. For those SME applications where typical options do not meet
the design needs an EFEM Robot Lower Volume has been provided. This volume can be utilized to extend the
available volume for a traditional atmospheric robot to operate.
R1-5.2 The supplier should take into consideration the implementation of the EFEM Robot Lower Volume
especially in the area of facility installation. The raised floor of the facility is the only structure which will support
the EFEM, even though the robot lower volume will extend through and beneath the raised floor. Typically there is
a concrete slab beneath the raised floor. The EFEM, including the EFEM Robot Lower Volume, must not contact
the concrete slab beneath. The supplier must coordinate installation with the device maker to ensure the facility can
support the EFEM Robot Lower Volume for the intended location and the site is prepared properly.
<E166: Specification for 450 mm Cluster Module Interface>
R1-2.1 In order to keep connectivity and interoperability for combination of a process modules and a cluster tool
that are designed to different vertical positions of Wafer Transport Plane, dimensions along with z axis relative to
the height of wafer transport plane should be kept same as indicated in Table 1
R1-3 Options for Wafer Transport Plane Higher than Alternative Transport Plane
R1-3.1 In some semiconductor manufacturing equipment, applications require even higher wafer transport plane to
transfer wafer to/from elevated process modules. In such case, there is a requirement to increase the wafer transport
plane height within the EFEM to match the processing side of the EFEM. This requirement in combination with the
load port height specified in E154 places design constraints on available atmospheric robot options. For those
semiconductor manufacturing equipment applications where typical options do not meet the design needs an EFEM
Robot Lower Volume has been provided in E154. This volume can be utilized to extend the available volume for a
traditional atmospheric robot to operate.
Figure R1-3
System configuration
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 8
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134-2127
Phone: 408.943.6900, Fax: 408.943.7943
DRAFT
Line item 2: Correction the z105 of table1 in E154
Table 8 Dimensions of Interface Between Load Port and Carrier Delivery System
Symbol Used
Figure
Value Specified
Datum Measured From
Feature Measured To
z103
7
≥485 mm
HP
Protrusion of any part of the load port
above the carrier towards the HP
z105
5
863 mm
880 mm
HP
Upper edge of volume below load port
recommended to kept empty for fork-lift
truck access to SME
z110
5
25 mm
HP
Upper edge of placement volume for
RFID reader/writer
NOTICE: Semiconductor Equipment and Materials International (SEMI) makes no warranties or representations as
to the suitability of the Standards and Safety Guidelines set forth herein for any particular application. The
determination of the suitability of the Standard or Safety Guideline is solely the responsibility of the user. Users are
cautioned to refer to manufacturer’s instructions, product labels, product data sheets, and other relevant literature,
respecting any materials or equipment mentioned herein. Standards and Safety Guidelines are subject to change
without notice.
By publication of this Standard or Safety Guideline, SEMI takes no position respecting the validity of any patent
rights or copyrights asserted in connection with any items mentioned in this Standard or Safety Guideline. Users of
this Standard or Safety Guideline are expressly advised that determination of any such patent rights or copyrights,
and the risk of infringement of such rights are entirely their own responsibility.
This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline.
Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document
development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.
Page 9
Doc. 5626  SEMI
LETTER (YELLOW) BALLOT
Document Number: 5626
Date: 3/24/2016
Download