2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA... MIT OpenCourseWare rials or our Terms of Use, visit: .

advertisement
MIT OpenCourseWare
http://ocw.mit.edu
_____________
2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303)
Spring 2008
s.
For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/term
___________________
Manufacturing
2.830/6.780J Control of Manufacturing Processes
“An Industrial Example of Oxide Etch
Process Control and Optimization”
Spring 2007
Jing Yao
Kai Meng
Yi Qian
Manufacturing
Agenda
• Plasma Etch Process physics
• Industrial Practices
– SPC Practice
– A Process Improvement Experiment
• Proposed DOE and RSM methods
• Process control improvements and
recommendations
2/1/05
2
Manufacturing
Layered Wafer Manufacturing Process
• 3 basic operations:
– Film Deposition
– Photolithography
– Etch
• This cycle is repeated
to build up various
layers in the devices.
2/1/05
Image removed due to copyright restrictions. Please see
http://dot.che.gatech.edu/henderson/Introductions/Image55.gif
3
Manufacturing
Types of Etching
• Etch techniques
– Wet etch
(Isotropic)
– Dry etch / Plasma etch
(Anisotropic)
Αnisotropy is critical in submicron
feature fabrication!
2/1/05
1
Manufacturing
Plasma Etching Steps
• Plasma etching uses
RF power to drive
material removal by
chemical reaction
• Steps:
– Formation of active gas
species, e.g.
CF4+ e-ÆCF3++ F+ 2e– Transport of the active
species to the wafer
surface
– Reaction at the surface
SiO2 + 4F Æ SiF4 + O2
– Pump away volatile
products
2/1/05
Gas in
To pump
Absorption
Desorbtion
Reaction
RF Power
5
Manufacturing
Physical vs Chemical Etching
Mechanism
Etch Rate
Selectivity
Bombardmentinduced damage
Anisotropy
Physical Method Chemical Method
Ion Bombardment Chemical Reaction
Low
Low
High
High
High
Low
High
Low
• Industry often uses hybrid technique: physical
method to enhance chemical etching
• This gives anisotropic etch profile, reasonably good
selectivity, and moderate bombardment-induced
damage.
2/1/05
6
Manufacturing
Plasma Etch Parameters
• Gas chemistry
– Fluorocarbon gases (C4F6, CF4, C4F8,etc)
Atomic F is active etchant for SiO2
SiO2 + 4F Æ SiF4 + O2
Carbon reacts with oxygen to form
passivation layer on Si Æ provides
selectivity
– O2: Under certain level, O2 scavenge C in
Fluorocarbon, results in higher F
concentration Æ Higher etch rate
– Ar: Ar+ ion beam enhances chemical
reaction
2/1/05
7
Manufacturing
Plasma Etch Parameters
• Pressure
– Low pressure reduces ion-neutral
collision on sidewalls (lateral etch),
enhances anisotropic etching
• Bias Power
– Increase bias power enhances physical
bombardment of ions
• Etch Time
• Temperature
2/1/05
8
Critical Issues
Manufacturing
•
•
•
•
•
Anisotropy
Selectivity
Microscopic Uniformity
Etch Depth
Critical Dimension (CD)
Image removed due to copyright restrictions. Please see http://www.memsexchange.org/catalog/P3431/file/f38826bf4266f95d6e054553/thumbnail?600
2/1/05
9
Manufacturing
Background
• Industry Practices in a DRAM wafer
fabrication plant in Singapore
• Current Technology:
– 95nm 1GB DRAM on 200mm wafers
– 78nm 1GB DRAM on 300mm wafers
• Information source
– Interview with process engineer
– Scaled data based on experiments data
(actual data unknown)
2/1/05
10
Focused Output
Manufacturing
• Etch Depth
– Measuring Method
• Test wafer ONLY!
– Over-etch on test wafer
– Cost
• 5 sites measurement
100%
– Percentage over-etch on test wafer
• 20%-60% over-etch on test wafer
• Selectivity
SiO2
SiO2
150%
Nitride/Silicon
SiO2
Test Wafer
Production Wafer
• Critical Dimension
– Measuring Method
• Test or production wafer
• 5 sites measurement
Image removed due to copyright restrictions. Please see http://www.memsexchange.org/catalog/P3431/file/f38826bf4266f95d6e054553/thumbnail?600
2/1/05
11
SPC Practice
Manufacturing
• SPC analysis tools are installed in all
production machines
– X-bar chart and R chart
• Different test methods for different outputs
– Etch Depth
•
•
•
Insert test wafer into production lots
Infrequent: ~200 hours
Increase frequency when special attention needed
– Critical Dimension
• Test 1 wafer per lot (25 wafers)
• 5 sites average
2/1/05
12
SPC Practice
Manufacturing
• Rules: similar to Western Electrical
Handbook rules
• UCL/LCL are set by process engineer
–
–
–
–
Based on USL/LSL
UCL/LCL are little bit tighter than USL/LSL
Tighten UCL/LCL based on experience
UCL/LCL are not based on standard deviation!
• Process pass SPC most of the time
• Stop a machine when a measurement is
outside UCL/LCL, other rules mostly
ignored
• Slow response
2/1/05
13
Manufacturing
SPC Improvement
• Set UCL/LCL based on sample
standard deviation
• Use more effective control chart, like
CUSUM or EWMA chart, to improve
response time
• Use multivariate process control
2/1/05
14
A Process Improvement
Experiment
Manufacturing
•
Problem
–
–
–
•
Approach
1.
2.
3.
4.
5.
6.
2/1/05
Under-etch
Discovered by quality assurance from finished
products
Process improvement is necessary because no
issues found on the machine
Focus on two inputs (C4F6 Flow Rate, Bias Power)
Vary inputs one step away from current value
Test with all inputs combinations
Change third input (Time)
Repeat 1 to 3
Find the best result
15
A Process Improvement
Experiment
Manufacturing
•
•
1 wafer, no replicates
5 sites average
•
Goal:
–
–
CD: 100 ± 5 nm
Etch Depth: 1.4 um with 60%~70% over etch on test wafer [2.25um, 2.4 um]
C4F6 (sccm)
C4F6 (sccm)
Etch Depth (um)
Etch Depth (um)
Bias
Power
(W)
14.5
15
15.5
1300
1.72
1.68
1.56
1400
2.08
2.01
1.91
1500
2.56
2.45
2.41
Bias
Power
(W)
14.5
15
15.5
1300
1.63
1.60
1.50
1400
2.00
1.95
1.87
1500
2.50
2.37
2.28
190 sec
200 sec
C4F6 (sccm)
C4F6 (sccm)
CD (nm)
CD (nm)
Bias
Power
(W)
2/1/05
14.5
15
15.5
1300
100
95
88
1400
110
103
96
1500
118
110
104
Bias
Power
(W)
14.5
15
15.5
1300
98
93
85
1400
106
100
94
1500
114
106
100
sccm : Standard Cubic Centimeters per Minute
16
Manufacturing
A Process Improvement
Experiment
• A combination of DOE and OFAT
– Rely on theoretical study and experience
• Find an optimal based on tested input
combinations
• No Response Surface analysis
• No replicates or center points
– Hard to prove model accuracy
• No variance study
• Confidence Level unknown!
2/1/05
17
Experimental Design
Manufacturing
• Bias Power and C4F6
– Central composite design
– 3 levels
• Etching Time
– 2 levels
Factor
2/1/05
Actual test levels (coded test level)
(-1)
(0)
(1)
X1-Bias Power
1300
1400
1500
W
X2-C4F6
14.5
15.0
15.5
sccm
X3-Etching Time
190
200
sec
18
Run Data
Manufacturing
2/1/05
Trial
Bias Power
C4F6
Time
Etch Depth
(um)
Critical
Dimension (nm)
1
-1
-1
1
1.72
100
2
0
-1
1
2.08
110
3
1
-1
1
2.56
118
4
-1
0
1
1.68
95
5
0
0
1
2.01
103
6
1
0
1
2.45
110
7
-1
1
1
1.56
88
8
0
1
1
1.91
96
9
1
1
1
2.41
104
10
-1
-1
-1
1.63
98
11
0
-1
-1
2.00
106
12
1
-1
-1
2.50
114
13
-1
0
-1
1.60
93
14
0
0
-1
1.95
100
15
1
0
-1
2.37
106
16
-1
1
-1
1.50
85
17
0
1
-1
1.87
94
18
1
1
-1
2.28
100
1
Time
0
-1
1
-1
Bias Power
0
0
1
-1
C4F6
Note: each run data is the mean
of 5 sites average on 1 wafer
19
Manufacturing
Response Models
• Second order polynomial models
– models built using coded variables
– no transformations of output variables
attempted
3
Y = b0 + ∑ bi X i +
i =1
2/1/05
3
3
3
∑ ∑b X X + ∑b X
j = i +1 i =1
ij
i
j
i =1
ii
2
i
20
Manufacturing
Model Evaluation
• RSM fitting
– ANOVA performed
– Each output model claimed significant at
>99.8% confidence level or higher
• Regression coefficients shown for
significant terms
2/1/05
21
Etch Depth
Manufacturing
• Response Surface model
ED = 1.970 + 0.407x1 -0.080x2 + 0.038x3 + 0.052x12
• Residual
Resi dual Pl ot s f or Et ch Dept h
Resid u als Versu s t h e Fit t ed Valu es
0.030
90
0.015
Residual
Per cent
No rmal Pro b ab ilit y Plo t o f t h e Resid u als
99
50
10
1
-0.050
0.000
Residual
0.025
0.050
1.50
4
3
0.015
2
1
0
1.75
2.00
2.25
Fit t ed Value
2.50
Resid u als Versu s t h e Ord er o f t h e Dat a
0.030
Residual
Fr equency
-0.015
-0.030
-0.025
Hist o g ram o f t h e Resid u als
2/1/05
0.000
0.000
-0.015
-0.030
-0.03 -0.02 -0.01 0.00 0.01
Residual
0.02
0.03
2
4
6
8
10 12 14
Obser vat ion Or der
16
18
22
Etch Depth – Contour Plot
Manufacturing
Cont our Pl ot of Et ch Dept h vs Ti me, Bi as Pow er
Cont our Pl ot of Et ch Dept h vs C4 F6 , Bi as Pow er
1.0
Etch
Depth
< 1.6
1.6 - 1.8
1.8 - 2.0
2.0 - 2.2
2.2 - 2.4
> 2.4
Hold Values
Time 0
0.0
-0.5
Etch
Depth
< 1.6
1.6 - 1.8
1.8 - 2.0
2.0 - 2.2
2.2 - 2.4
> 2.4
0.5
Time
0.5
C4F6
1.0
Hold Values
C4F6 0
0.0
-0.5
-1.0
-1.0
-0.5
0.0
Bias Pow er
0.5
-1.0
-1.0
1.0
-0.5
0.0
Bias Pow er
0.5
1.0
Cont our Pl ot of Et ch Dept h vs Ti me, C4 F6
1.0
Etch Depth
< 1.90
1.90 - 1.95
1.95 - 2.00
2.00 - 2.05
> 2.05
0.5
Time
Hold Values
Bias Power 0
0.0
• Etch Depth most sensitive
to Bias Power
• Bias Power , or Time , or
C4F6
Etch Depth
-0.5
-1.0
-1.0
2/1/05
-0.5
0.0
C4F6
0.5
1.0
23
Critical Dimension
Manufacturing
• Response Surface model
CD = 101.111 + 7.750x1 -6.583x2 + 1.556x3
• Residual
Resi dual Pl ot s f or CD
No rmal Pro b ab ilit y Plo t o f t h e Resid u als
Resid u als Versu s t h e Fit t ed Valu es
99
1
Residual
Per cent
90
50
10
1
0
-1
-2
-1
0
Residual
1
2
90
Hist o g ram o f t h e Resid u als
120
1
4.5
Residual
Fr equency
2/1/05
110
Resid u als Versu s t h e Ord er o f t h e Dat a
6.0
3.0
1.5
0.0
100
Fit t ed Value
0
-1
-1.5
-1.0
-0.5
0.0
Residual
0.5
1.0
2
4
6
8
10
12
14
Obser v at ion Or der
16
18
24
Critical Dimension – Contour Plot
Manufacturing
Cont our Pl ot of CD vs Ti me, Bi as Pow er
Cont our Pl ot of CD vs C4 F6 , Bi as Pow er
CD
<
90 95 100 105 110 >
C4F6
0.5
1.0
90
95
100
105
110
115
115
Hold Values
Time 0
0.0
95
100
105
110
110
Hold Values
C4F6 0
0.0
-0.5
-0.5
-1.0
-1.0
CD
<
95 100 105 >
0.5
Time
1.0
-0.5
0.0
Bias Pow er
0.5
-1.0
-1.0
1.0
-0.5
0.0
Bias Pow er
0.5
1.0
Cont our Pl ot of CD v s Ti me, C4 F6
1.0
CD
<
95.0 97.5 100.0 102.5 105.0 >
Time
0.5
Hold Values
Bias Power 0
0.0
-0.5
-1.0
-1.0
2/1/05
95.0
97.5
100.0
102.5
105.0
107.5
107.5
-0.5
0.0
C4F6
0.5
• CD most sensitive to Bias
Power & C4F6
• Bias Power , or Time , or
C4F6
CD
1.0
25
Process Optimization
Manufacturing
• Optimization criteria for Oxide etch and the
best values attainable within the resulting
optimized factor space
Factor
Optimization Criteria
Best Values
2.25 μ m ≤ CD ≤ 2.40 μ m
Etch Depth
Critical Dimention
100 ± 5nm
2.25 μ m
100 nm
• Optimal Input
2/1/05
X1-Bias Power
X2-C4F6
X3-Etching Time
Model
1487 W
15.48 sccm
190 sec
Actual
1500 W
15.5 sccm
190 sec
26
23 Full Factorial Design
Manufacturing
• Only consider linear relationships
• Drop other 10 test points
(possible test points for lack-of-fit)
1
Time
0
1
-1
-1
2/1/05
0
0
Bias Power
1
Etch Depth
(um)
1.72
Critical
Dimension (nm)
100
-1
1
2.56
118
-1
1
1
1.56
88
4
1
1
1
2.41
104
5
-1
-1
-1
1.63
98
6
1
-1
-1
2.50
114
7
-1
1
-1
1.50
85
8
1
1
-1
2.28
100
Trial
Bias Power
C4F6
Time
1
-1
-1
2
1
3
1
C4F6
-1
27
Etch Depth
Manufacturing
• Predicted Value (p<0.01)
ED = 2.020 + 0.418x1 -0.083x2 + 0.043x3
• Residual
Residual Plots for Etch Depth
Normal Probability Plot of the Residuals
Residuals Versus the Fitted Values
99
0.02
Residual
Percent
90
50
10
1
-0.050
-0.025
0.000
Residual
0.025
Histogram of the Residuals
1.50
1.75
2.00
2.25
Fitted Value
2.50
Residuals Versus the Order of the Data
0.02
1.5
Residual
Frequency
2/1/05
-0.02
-0.04
0.050
2.0
1.0
0.5
0.0
0.00
-0.03
-0.02
-0.01 0.00
Residual
0.01
0.02
0.00
-0.02
-0.04
1
2
3
4
5
6
Observation Order
7
8
28
Critical Dimension
Manufacturing
• Predicted Value (p<0.01)
CD = 100.875 + 8.125 x1 -6.625x2 + 1.625x3
• Residual
Residual Plots for CD
Residuals Versus the Fitted Values
1.0
90
0.5
Residual
Percent
Normal Probability Plot of the Residuals
99
50
10
1
0
Residual
1
80
2.0
1.0
1.5
0.5
1.0
0.5
0.0
90
100
Fitted Value
110
120
Residuals Versus the Order of the Data
Residual
Frequency
-0.5
-1.0
-1
Histogram of the Residuals
0.0
-0.5
-1.0
-1.00 -0.75 -0.50 -0.25 0.00
Residual
2/1/05
0.0
0.25 0.50
0.75
1
2
3
4
5
6
Observation Order
7
8
29
DOE Improvement
Manufacturing
• Adding replicates at center points
– Use to assess pure error (‘noise’) as percentage
of the response
– Assess lack of fit
• Use Factorial Design
–
–
–
Current practice
18 trails
23 with 4 center points
12 trails
33-1III with 6 center points 15 trails
• Analyze Variation
– consider variation at the desired value
• Randomize run order
– Esp. in replicates to minimize the trend
2/1/05
30
Manufacturing
Process Control Recommendations
• SPC Analysis
– Use more effective control chart, like
CUSUM or EWMA chart
– Use multivariate process control
• DOE and RSM optimization
– Adding replicates at center points
– Use Factorial Design
– Analyze Variation
– Randomize run order
2/1/05
31
Manufacturing
Thank You!
2/1/05
32
Download