Uploaded by 964825814

AXI DMA Controller Installation Guide

advertisement
Synopsys IP
AXI DMA Controller (DMAC)
Installation Guide
Product Codes
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Copyright Notice and Proprietary Information
© 2024 Synopsys, Inc. All rights reserved. This Synopsys software and all associated documentation are proprietary to Synopsys,
Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use,
reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
Destination Control Statement
All technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to
nationals of other countries contrary to United States law is prohibited. It is the reader's responsibility to determine the applicable
regulations and to comply with them.
Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO
THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
A PARTICULAR PURPOSE.
Trademarks
Synopsys and certain Synopsys product names are trademarks of Synopsys, as set forth at
https://www.synopsys.com/company/legal/trademarks-brands.html
All other product or company names may be trademarks of their respective owners.
Free and Open-Source Software Licensing Notices
If applicable, Free and Open-Source Software (FOSS) licensing notices are available in the product installation.
Third-Party Links
Any links to third-party websites included in this document are for your convenience only. Synopsys does not endorse and is not
responsible for such websites and their practices, including privacy practices, availability, and content.
Synopsys, Inc.
www.synopsys.com
2
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Contents
Revision History............................................................................................................................................................. 5
Chapter 1 Installation and Setup..................................................................................................................................7
Appendix A Troubleshooting and Support............................................................................................................ 25
Appendix B Example Setup File.................................................................................................................................33
Appendix C Options for .run Files.............................................................................................................................35
Appendix D DESIGNWARE_HOME Directory Structure....................................................................................37
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
3
Contents
4
SolvNetPlus
Synopsys IP
AXI DMA Controller (DMAC) Installation Guide
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Revision History
The following table provides the history of changes to this Installation Guide.
Version
Date
Description
2.03a
March 2024
Updated
◼ Date and version
◼ Updated the instances of DesignWare Cores to Synopsys IP.
◼ “Product Codes“ on page 8
◼ “Checking License Requirements“ on page 12
◼ “Installing DW_axi_dmac Controller“ on page 15
◼ “Verifying Supported Tool Versions and Verification IP Components “ on
page 18
◼ Figure D-1 on page 37
2.02a
September 2023
Added
◼ Section “Receiving IP Updates“ on page 22
Updated
◼ Table in “Product Codes“ on page 8
◼ Section “Downloading DW_axi_dmac Controller“ on page 14
◼ Supported tool versions in Table 1-5 on page 18
◼ Supported versions in Table 1-7 on page 21
◼ Added new waveform file type in “Customer Support“ on page 31
2.01a
Version 2.03a
March 2024
December 2022
First version of the document
Synopsys, Inc.
SolvNetPlus
Synopsys IP
5
Revision History
6
SolvNetPlus
Synopsys IP
AXI DMA Controller (DMAC) Installation Guide
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
1
Installation and Setup
This guide provides information on how to install and set up the Synopsys AXI Direct Memory Access
(DMA) controller (DW_axi_dmac). After you complete the installation procedure, you will work primarily
with the Synopsys coreConsultant and coreAssembler tools to configure and synthesize the controller.
Check the component summary page to confirm that you have the latest and the correct
Attention product information:
For non-automotive product: https://www.synopsys.com/dw/ipdir.php?c=DW_axi_dmac
For automotive certified product:
https://www.synopsys.com/dw/ipdir.php?c=DW_ac_axi_dmac
This chapter contains the following sections:
◼ “Product Codes“ on page 8
◼ “Accessing Product Documentation“ on page 9
◼ “Process Overview“ on page 10
◼ “Checking System Requirements“ on page 11
◼ “Checking License Requirements“ on page 12
◼ “Installing DW_axi_dmac Controller“ on page 14
◼ “Deliverables“ on page 17
◼ “Installing Tools and Verification IP Components“ on page 18
◼ “Next Steps“ on page 22
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
7
Installation and Setup
1.1
AXI DMA Controller (DMAC) Installation Guide
Product Codes
The following table lists the product codes for the DW_axi_dmac controller.
Table 1-1
Product Codes for Non-automotive Product
Base License or Add-On
Product Code
Description
Synopsys AXI DMA Controller Source
(DWC-AXI-DMAC)
A415-0
The base license that provides access to the
standard features
Synopsys AXI DMA Controller Source
Generation 2
H616-0
The base license with the GEN2 license that
provides access to both the standard and AXI
DMA Source Generation 2 features
Synopsys AXI DMA Controller Mission Critical
(DWC-AXI-DMAC-SAFETY)
E137-0
An add-on license that provides access to
Mission Critical features
Synopsys AXI DMA Controller CRC
(DWC-AXI-DMAC-CRC)
I356-0
An add-on license that provides access to
Cyclic Redundancy Check (CRC) Feature
Base License
(DWC-AXI-DMAC-GEN2)
Add-Ons
Table 1-2
Product Codes for Automotive Certified Product
Base License
Product Code
Description
I257-0
The base licence that provides access to the
automotive features, standard DMA features,
GEN2, and Mission Critical features.
Base License
Synopsys AXI DMA Controller ASIL-D AC
(DWC-AXI-DMAC-ASILD)
8
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
1.2
Installation and Setup
Accessing Product Documentation
Before you install the controller, you can download the full document set, including the Databook, User
Guide, and Release Notes, at:
https://www.synopsys.com/dw/ipdir.php?c=DW_axi_dmac
https://www.synopsys.com/dw/ipdir.php?c=DW_ac_axi_dmac
(A SolvNetPlus ID and a valid license are required.)
To access product documentation from your installation directory, you must first create a workspace using
coreConsultant or coreAssembler. Instructions for creating a workspace are provided in “Next Steps“ on
page 22.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
9
Installation and Setup
1.3
AXI DMA Controller (DMAC) Installation Guide
Process Overview
To use the DW_axi_dmac controller, you must follow the steps in this installation guide.
Figure 1-1 Process Overview
1
2
Checking System
Requirements
4
10
SolvNetPlus
Synopsys IP
3
Checking License
Requirements
Installing
Tools and
Verification IP
Synopsys, Inc.
5
Installing the
Controller
Getting Started
with
coreConsultant
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
1.4
Installation and Setup
Checking System Requirements
Table 1-3 describes the system requirements for the DW_axi_dmac controller.
Table 1-3
System Requirements
Element
Requirement
Operating System
Linux: CentOS Linux 7.3+ (AMD64/EMT64) Red Hat Enterprise Linux 7.3+ and 8.0+
(AMD64/EMT64)
Current information about supported operating system configurations and required
patches is at the “Supported Platforms Guide T-Foundation” page at:
https://www.synopsys.com/support/licensing-installation-computeplatforms/compute-pla
tforms/release-specific-support/supported-t-foundation.html
Your tools may require a Foundation other than T-Foundation (for example, S or R).
See the appropriate Foundation page to check that your system meets the Synopsys
operating system and patch requirements:
https://www.synopsys.com/support/licensing-installation-computeplatforms/compute-pla
tforms/release-specific-support.html
Minimum Disk Space
Requirements
The following numbers are the minimum disk space requirements for typical usage:
◼ 150 MB available hard disk space for coreConsultant installation
◼ 433 MB available hard disk space for DW_axi_dmac installation
Memory
◼ 1,024 MB available swap space
◼ 1,024 MB RAM
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
11
Installation and Setup
1.5
AXI DMA Controller (DMAC) Installation Guide
Checking License Requirements
This section provides the required licenses information needed to use the DW_axi_dmac controller.
1.5.1
DW_axi_dmac Controller Licenses
Table 1-4 lists the license requirements for the DW_axi_dmac controller.
Table 1-4
License Requirements for DW_axi_dmac
Base License or Add-On
Product Code
Description
Synopsys AXI DMA Controller Source
(DWC-AXI-DMAC)
A415-0
The base license that provides access to the
standard features
Synopsys AXI DMA Controller Source
Generation 2
H616-0
The base license with the GEN2 license that
provides access to both the standard and AXI
DMA Source Generation 2 features
Synopsys AXI DMA Controller Mission Critical
(DWC-AXI-DMAC-SAFETY)
E137-0
An add-on license that provides access to
Mission Critical features
Synopsys AXI DMA Controller CRC
(DWC-AXI-DMAC-CRC)
I356-0
An add-on license that provides access to
Cyclic Redundancy Check (CRC) Feature
Base License
(DWC-AXI-DMAC-GEN2)
Add-Ons
Note
The Base license (DWC-AXI-DMAC) provides access to the following features in addition to
the standard features of the DW_axi_dmac controller:
◼ Dynamic Priority Arbitration with Round Robin
◼ AXI Manager interface data bus width support for 1024
◼ Updated support for Completed Block Transfer Size and Data Left in FIFO
◼ Support to include Programmable LLI Fetch/Write-back AXI Protection and Cache
Control signal
Synopsys IP uses the Synopsys Common Licensing (SCL) software to control its usage. You can find
general SCL information at:
https://www.synopsys.com/support/licensing-installation-computeplatforms/licensing.html#download
_scl
1.5.2
Setting License File Environment Variable
Make sure that your product’s license keys are installed on your license server. For more instructions
about installing license keys, refer to the following site:
https://www.synopsys.com/support/licensing-installation-computeplatforms/licensing.html#download
_scl
You must set either the SNPSLMD_LICENSE_FILE or LM_LICENSE_FILE environment variable to
include a pointer to a license server that contains your DW_axi_dmac license key.
12
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Installation and Setup
Attention You must set this environment variable before you install the .run file.
To set the license file environment variable:
1. Set the license variable to include your license file or point to your license server:
% setenv SNPSLMD_LICENSE_FILE
${SNPSLMD_LICENSE_FILE}:<my_license_file|port@host>
or
% setenv LM_LICENSE_FILE ${LM_LICENSE_FILE}:<my_license_file|port@host>
If you use both LM_LICENSE_FILE and SNPSLMD_LICENSE_FILE in your
Attention environment, ensure they are set to exactly the same string. For example:
% setenv
SNPSLMD_LICENSE_FILE${SNPSLMD_LICENSE_FILE}:<my_license_fi
le|port@host>% setenv LM_LICENSE_FILE
${SNPSLMD_LICENSE_FILE}
Otherwise, leave SNPSLMD_LICENSE_FILE unset.
% unsetenv SNPSLMD_LICENSE_FILE
2. Verify the license setup:
% echo $LM_LICENSE_FILE
% lmstat -a -c $LM_LICENSE_FILE -f <LICENSE_NAME>
or
% echo $SNPSLMD_LICENSE_FILE
% lmstat -a -c $SNPSLMD_LICENSE_FILE -f <LICENSE_NAME>
Note
Use these commands to check the required license setup for all your
components as described in “Checking License Requirements“ on page 12.
If you encounter any problem while setting the license file environment variable, refer to “Licensing“
section in “Troubleshooting and Support“ on page 25.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
13
Installation and Setup
1.6
AXI DMA Controller (DMAC) Installation Guide
Installing DW_axi_dmac Controller
This section provides required steps and information to download and install the DW_axi_dmac image.
Note
You must have access to https://www.synopsys.com/designware-ip.html to download the
release image. You can sign up to receive updates for any Synopsys IP component through
this website as well.
This section discusses the following topics:
◼ “Downloading DW_axi_dmac Controller“
◼ “Setting Up Your Environment“
◼ “Installing DW_axi_dmac Controller“ on page 15
◼ “Deliverables“ on page 17
1.6.1
Downloading DW_axi_dmac Controller
The latest version of the DW_axi_dmac controller is available through the Synopsys IP Download Web
site.
1. Select dw_iip_DW_axi_dmac_2.03a.run from the following Synopsys IP Download page:
https://www.synopsys.com/dw/dwdl.php?c=DW_axi_dmac
https://www.synopsys.com/dw/dwdl.php?c=DW_ac_axi_dmac
2. Choose one of the following download types:
❑ Static: Choose Static if you want the download image to include all of the product parts.
❑ Dynamic: Choose Dynamic if you want the dynamic installer to download and install only the
product parts of the image that are not already installed in the target DESIGNWARE_HOME.
The DESIGNWARE_HOME tree is a destination directory for installing Synopsys IP, Synopsys
Library Synthesizable IP, and Synopsys Verification IP products. Multiple versions of
components can reside here, and the latest link in each component’s directory always points to
the most recent version of the installed product.
Note
The latest directory is a symbolic link to the DW_axi_dmac directory.
During the installation process, you set the DESIGNWARE_HOME environment variable to the
path where these components are installed. You can also set this variable to where you have
copied the .run file. Regardless of the method you choose, this variable must be set before you
install the .run file.
An example DESIGNWARE_HOME tree is illustrated in Figure 4-1 on page 37.
3. Save the .run file to a directory outside of any existing DESIGNWARE_HOME tree.
14
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
1.6.2
Installation and Setup
Setting Up Your Environment
Before you install the dw_iip_DW_axi_dmac_2.03a.run file, you must set the following environment
variables.
1. Set the DESIGNWARE_HOME environment variable to your installation directory:
% setenv DESIGNWARE_HOME <path to DW_axi_dmac_Installation_Base_Directory>
Hint
If you do not set the DESIGNWARE_HOME path correctly, that is, if
DESIGNWARE_HOME points to a non-existing or read only directory, the
installation of the dw_iip_DW_axi_dmac_2.03a.run file does not occur and the fol
lowing error message may be displayed:
ERROR: Unable to write to
<previous_DESIGNWARE_HOME_directory> : Readonly file system
2. Set either the SNPSLMD_LICENSE_FILE or the LM_LICENSE_FILE variable, if you have not
already done so.
% setenv SNPSLMD_LICENSE_FILE
${SNPSLMD_LICENSE_FILE}:<my_license_file|port@host>
or
% setenv LM_LICENSE_FILE ${LM_LICENSE_FILE}:<my_license_file|port@host>
3. Include the following in your PATH environment variable:
$DESIGNWARE_HOME/bin
$DESIGNWARE_HOME/bin is required in your PATH environment variable as it contains
the dwh_update, dwh_install, and dw_vip_setup scripts, which provide important diagnostic
information in coreConsultant and coreAssembler about the version of your Synopsys IP
component(s). For more information, refer to Table 1-1 on page 27.
Table 1-6 on page 19 summarizes the environment variables you may need to set when using the
DW_axi_dmac controller. The example .setup file in Appendix B, “Example Setup File” shows how to
configure the commonly used environment variables for the DW_axi_dmac controller.
1.6.3
Installing DW_axi_dmac Controller
The .run file you downloaded is a self-extracting image that installs the IP product and performs some
set-up operations. For more information about command options, enter the following:
For non-automotive product:
% ./dw_iip_DW_axi_dmac_2.03a.run --help
For automotive certified product:
% ./dw_iip_ap_DW_ac_axi_dmac_2.03a.run --help
For a list of the .run file command options, see Appendix C, “Options for .run Files”.
To install the .run file, perform the following procedure:
1. Change permissions on the downloaded .run file:
% chmod u+x dw_iip_DW_axi_dmac_2.03a.run
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
15
Installation and Setup
AXI DMA Controller (DMAC) Installation Guide
If you want to view the README information before performing the installation:
% dw_iip_DW_axi_dmac_2.03a.run --readme
2. Execute the .run file:
% dw_iip_DW_axi_dmac_2.03a.run
3. When prompted, enter the Project ID that you specified at the time of purchase. Without a project
ID, encrypted files are installed and you will receive errors if you try to configure the DW_axi_dmac
controller using coreConsultant or coreAssembler.
4. Carefully review the installation transcript to make sure source RTL is being installed.
If you have incorrectly entered the project ID, the installation transcript displays
Attention errors and the installation may not be correct.
For tips on how you can debug problems with installation, refer to “Troubleshooting and Support“ on
page 25.
16
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
1.7
Installation and Setup
Deliverables
The controller is packaged as a .run file. The license file required to use this Synopsys Synopsys IP is
delivered separately. Use the Synopsys coreConsultant tool to configure, synthesize, and simulate the IP.
The controller image contains the following:
◼ Verilog RTL source code for the controller
RTL is generated only after you have installed the controller in your DESIGNWARE_HOME area,
and you have configured the IP in coreConsultant.
◼ UVM testbench and testcases to verify the configured RTL
The testbenches that are packaged as a reference can only be run through coreConsultant and this
requires the installation of the relevant VIPs as explained in Synopsys IP AXI DMA Controller (DMAC)
Installation Guide.. VIPs are not included in the .run.
For more information on the UVM testbench for the controller, see Synopsys IP AXI DMA Controller
(DMAC) User Guide.
◼ Synthesis scripts for Synopsys Design Compiler and Synopsys Fusion Compiler; Synplify Pro
Simulation regression scripts for Synopsys VCS tool
◼ Spyglass and VC SpyGlass Lint, CDC, and RDC checker rules used for linting, Clock Domain
Crossing checks and Reset Domain Crossing checks, respectively
Note
Version 2.03a
March 2024
The VC VIP library license shipped with the controller is intended only to verify the
interface-level testing of the specific IP configuration out-of-the-box in coreConsultant, to
confirm that the controller meets the requirements. The VC VIP library license shipped
with the controller is not intended to be used as a full featured verification model at the
subsystem or SoC level.
Synopsys, Inc.
SolvNetPlus
Synopsys IP
17
Installation and Setup
1.8
AXI DMA Controller (DMAC) Installation Guide
Installing Tools and Verification IP Components
This section describes how to download and install the supported tools, VIPs, and libraries used for the
DW_axi_dmac controller. The download image of a particular release does not contain related tools and
libraries, so you must download those separately.
The coreTools are required to configure Synopsys synthesizable IP components. The coreAssembler
tool is required in order to configure multiple Synopsys IIP in a single subsystem. The coreConsultant
tool configures only a single Synopsys IIP component, but you can also use coreAssembler for a single
subsystem. For more information, refer to the following page:
https://www.synopsys.com/designware-ip/ip-reuse-tool.html
This section discusses the following topics:
◼ “Verifying Supported Tool Versions and Verification IP Components“ on page 18
◼ “Setting Environment Variables for Tools and Verification IP“ on page 19
◼ “Downloading and Installing Verification IP Components“ on page 21
◼ “Downloading and Installing coreConsultant and coreAssembler“ on page 20
1.8.1
Verifying Supported Tool Versions and Verification IP Components
The tools listed in Table 1-7 have been tested for use with the DW_axi_dmac controller. The list of
qualified tools is complete at the time of this release.
Attention
◼ You must use the tool and VIP versions specified in Table 1-7 on page 21. Older or
newer tool versions might work, but are not supported or verified.
◼ You must use the operating system version specified in Table 1-3 on page 11.
Table 1-5
Supported Tool Versions
Tool
Supported Versions
Description
coreTools
V-2023.09-1
Configures the controller and runs simulation and
synthesis tools
coreConsultant
V-2023.09-1
Configures the controller and runs simulation and
synthesis tools
coreAssembler
V-2023.09-1
Configure, automatically interconnect, and
synthesize multiple Synopsys IP components.
U-2023.03-SP1-1
Simulation
IP Configuration Tools
Verilog RTL Simulation Tool
VCS
VCS can be run on 64-bit machines
IP Implementation Tools
Design Compiler (DC)
18
SolvNetPlus
Synopsys IP
U-2022.12-SP5
Synopsys, Inc.
Synthesis (includes DFT Compiler)
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Table 1-5
Installation and Setup
Supported Tool Versions (continued)
Tool
Supported Versions
Description
Fusion Compiler (FC)
U-2022.12-SP5
Synthesis (includes DFT Compiler)
Formality
U-2022.12-SP5
Formal verification
RTL Architect
U-2022.12-SP5
To run RTL architecture methodology for the
controller
PrimeTime
U-2022.12-SP5
Timing and signal integrity
Synplicity Synplify
U-2023.03-SP1
FPGA Synthesis, timing closure, and performance
SpyGlass
U-2023.03-SP1-1
Lint, CDC, RDC, and DFT
(SpyGlass Guideware version
2023.06-1 is supported)
Perl
5.8.3
Used for executing simulation scripts
Python
3.8.1
Used for executing simulation scripts
TetraMAX
U-2022.12-SP5
ATPG generation
VC-LP
U-2023.03-SP1-1
Multi-voltage, static low-power rule checker
VC SpyGlass
U-2023.03-SP1-1
Lint, CDC, RDC
Timing Constraints Manager
(TCM)
U-2022.12-SP5
A suite of utilities that provides timing constraint
generation, verification, and management
capabilities.
1.8.2
Setting Environment Variables for Tools and Verification IP
Table 1-6 describes the environment variables required for the supported synthesis and simulation tools.
Table 1-6
Tool
Environment Variables for DW_axi_dmac
Environment Variable
Description
LM_LICENSE_FILE
Path to license file for license manager
LD_LIBRARY_PATH
Path to OS and tool libraries
SNPSLMD_LICENSE_FILE
Path to license file for license manager
DESIGNWARE_HOME
Path to the DW_axi_dmac installation base directory;
Make sure this directory is writable because while installing the
DW_axi_dmac controller, the VIP wrappers are installed in this
directory.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
19
Installation and Setup
Table 1-6
AXI DMA Controller (DMAC) Installation Guide
Environment Variables for DW_axi_dmac (continued)
Tool
Environment Variable
Description
Synthesis Tools
Design
SYNOPSYS
Compiler,
PATH
Formality,
PrimeTime,
Synplicity,
Other
third-party tools
Path to Synopsys tools tree (Design Compiler and others)
◼ $SYNOPSYS/bin
◼ $SYNOPSYS/<platform>/syn/bin
◼ Path to <tool> bin directory, if used
◼ Path to Formality bin/ directory (if used)
Simulation Tools
VCS
1.8.3
VCS_HOME
Path to VCS installation directory. Refer to the VCS documentation
VCS_CC
Path to SunPro C or gcc compiler
VCS_BITMODE
Specifies 32-bit or 64-bit operation for VCS simulator
PATH
Include the absolute path to $VCS_HOME/bin
Downloading and Installing coreConsultant and coreAssembler
Synopsys’ coreConsultant is a tool that you use to configure, verify, and synthesize the DW_axi_dmac
controller. Synopsys’ coreAssembler is a tool to configure multiple Synopsys IIP in a single subsystem.
Supported versions of coreTools (coreConsultant and coreAssembler) are listed in Table 1-7. If you do
not have a supported version of coreTools installed on your system or network, you must download and
install it. If a supported version of coreTools is already installed, skip to “Setting Environment Variables
for Tools and Verification IP“ on page 19.
1. Download coreTools from the SolvNetPlus Download Center, at:
https://solvnet.synopsys.com/DownloadCenter/dc/product.jsp
2. Select coreTool version V-2023.09-1 and download two files: a common file and a platform‑specific
file.
3. Install coreTools:
% cd <coreTools_Install_Directory>
% gtar -xvzf V-2023.09-1.common.tar.gz
% gtar -xvzf V-2023.09-1.<platform>.tar.gz
Note
If you are using tar instead of gtar during installation, then you can use the tar
--version command to verify that tar is a GNU tar implementation.
4. Add the path to the coreTools binary path variable in your .cshrc file:
% vi ~/.cshrc
set path = ($path <Tools_Directory>/<version#>/bin)
% source ~/.cshrc
20
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Installation and Setup
% rehash
5. Verify the coreTools installation:
% which coreConsultant
% which coreAssembler
This command must return the path to your coreConsultant or coreAssembler installation location.
1.8.4
Downloading and Installing Verification IP Components
Synopsys Verification IP (VIP) is not packaged along with the image for the DW_axi_dmac controller.
To download AMBA SVT VIP, perform the following steps:
1. Log into SolvNetPlus: https://solvnetplus.synopsys.com
2. Click on “Downloads”.
3. Select “VC VIP Library”.
4. Select “V-2023.12” version and click “Download Here”.
5. Click “Yes, I agree to the above terms.”
6. Select and download vip_amba_svt_U-2023.06.run.
When you install this .run, both VIP models in Table 1-7 are installed.
Table 1-7 lists the supported versions of the verification IP models.
Table 1-7
Supported Version for Verification IP Models
Tool Name
Supported Version
AMBA SVT VIP
U-2023.06
SVT
U-2023.03
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
21
Installation and Setup
1.9
AXI DMA Controller (DMAC) Installation Guide
Next Steps
Now that you have completed installation and set up your environment, the next steps are to configure,
synthesize, simulate, and export your DW_axi_dmac controller into your design.
◼ For troubleshooting information, see Appendix A, “Troubleshooting and Support”.
◼ Your next steps are configuring, synthesizing, verifying, and integrating the DW_axi_dmac
controller, which are described in Synopsys IP AXI DMA Controller (DMAC) User Guide.
◼ For architectural, functional, and configuration parameter descriptions, refer to Synopsys IP AXI DMA
Controller (DMAC) Databook.
1.9.1
Receiving IP Updates
You can use the following methods to ensure that you receive notifications when there are updates
available for your IP components and tools:
◼ You can subscribe to Synopsys IP Component notifications on a component basis, where you receive
an email notification when a component updates or has new STAR information; see “Synopsys IP
Components Subscriptions“ on page 22.
◼ You can enable automatic update checking in coreConsultant and coreAssembler, which checks the
components in your design against both your Synopsys IP, and the currently supported Synopsys
components; see “coreTools Automatic Update Checking“ on page 22.
1.9.1.1
Synopsys IP Components Subscriptions
Synopsys IP Component Subcriptions enables you to receive product information that is of interest
to you, such as product updates, technical articles, in-depth application notes and more. You can add
or remove selected subscriptions at any time. Sign-up through your SolvNetPlus user account at:
https://www.synopsys.com/dw/mydesignware.php
◼ Synopsys IP Technical Bulletin Subscriptions
Subscribe to a quarterly publication for Synopsys IP customers, which contains technical information
regarding Synopsys IP products such as in-depth application notes.
❑ Click on the Subscribe Now button on Synopsys IP Technical Bulletin page for subscription.
◼ Synopsys IP Component Subscriptions
Subscribe to receive notification of new releases, STAR information availability, and more. Go to
Synopsys IP Component Subscriptions page and do one of the following to add a component to your
subscription list:
❑ Navigate and select the components from the list.
❑ Use the Search for IP field to search for your IP, and click on the Subscribe option.
1.9.1.2
coreTools Automatic Update Checking
The coreConsultant and coreAssembler tools provide a scheduled way for you to check for Synopsys
IP and verification component updates, as well as existing STARs for the Synopsys IP used in your
design workspace. When you complete the Specify Configuration activity in coreConsultant, or the Add
Subsystem Component activity in coreAssembler, these tools check your component versions against the
most recent versions available both for download from Synopsys, and in your local DESIGNWARE_HOME
directory. A report gives you newer version information, if available, and lists STARs created/fixed for the
components you are using.
22
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Installation and Setup
You can perform a manual check at any time using the menu item Help > Check for IP Updates, or set an
interval for coreTools to automatically generate the report.
This operation does not update the components automatically. It only generates a report.
Attention You must update the components manually.
For more information about Automatic or Manual IP update checks in coreConsultant and coreAssembler,
see:
◼ “Component Update Checking” in the coreConsultant User Guide
◼ “Component Update Checking” in the coreAssembler User Guide
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
23
Installation and Setup
24
SolvNetPlus
Synopsys IP
AXI DMA Controller (DMAC) Installation Guide
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
A
Troubleshooting and Support
This appendix provides troubleshooting information and details on how to contact customer support
should you need further assistance during installation and set up.
This appendix contains the following sections:
◼ “Troubleshooting“ on page 26
◼ “Synopsys Statement on Inclusivity and Diversity“ on page 30
◼ “Customer Support“ on page 31
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
25
Troubleshooting and Support
A.1
AXI DMA Controller (DMAC) Installation Guide
Troubleshooting
This section provides troubleshooting tips if you encounter problems with licensing, installation, setting
up your environment, and supported tools. If your troubleshooting uncovers a problem that you cannot
solve, open a Customer Support case as described in section “Customer Support“ on page 31.
A.1.1
Licensing
Q. I did a source installation, but when I invoked coreConsultant to configure the DW_axi_dmac, I got encrypted
RTL. Why?
A. You receive this error when your source installation was not done properly. Either the Project ID (PID)
was not entered correctly or not entered at all, or the SNPSLMD_LICENSE_FILE (or LM_LICENSE_FILE)
was not set properly—that is, not pointing to the server that has a source license.
You must set either the LM_LICENSE_FILE or SNPSLMD_LICENSE_FILE environment variable before
you install the dw_iip_DW_axi_dmac_2.03a.run file. For more information about setting this environment
variable, refer to “Setting License File Environment Variable“ on page 12.
A.1.2
Installation
Q. I bought a source product license. How can I make sure that the source files have been installed correctly?
A. You can make sure that the source installation was done properly in one of three ways:
◼ Go to the $DESIGNWARE_HOME/iip/component/latest/auxiliary directory and check to see that
the .component file is present in this directory. For example, if you have a source license for the
DW_axi_dmac, the .DW_axi_dmac file should be present in the “auxiliary” directory.
◼ Configure the IP in coreConsultant and check the <workspace>/src directory for source RTL.
◼ The .run installer script writes out a log file that you can use to debug any issues with installation.
The log file is located in the installation directory and is named as follows:
<image>_<yyyymmdd>_<hhmmss>_<processid>.log
By default, this log file is retained only if errors occur; it is removed if installation completes successfully.
To retain the log file irrespective of the installation status, you can use the
./<product_name>_<version>.run --keep-log
Note
A source license gives you access to all of the files when you configure your core. A source
license is required in order to configure the core.
Q: How do I verify that I installed the DW_axi_dmac deliverables correctly?
A: Once you have correctly downloaded and installed the DW_axi_dmac product, the product deliverables
are unpacked into the $DESIGNWARE_HOME directory (environment variable you set that points to the
installation root directory of DW_axi_dmac).
To view the contents of the DW_axi_dmac directory in the $DESIGNWARE_HOME path, enter the
following command at a Unix prompt:
% ls -l $DESIGNWARE_HOME/iip/DW_axi_dmac/latest
Note
26
SolvNetPlus
Synopsys IP
The latest directory is a symbolic link to the DW_axi_dmac/<current_version> directory.
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Troubleshooting and Support
Figure 4-2 on page 37 illustrates the directory structure after you have installed the DW_axi_dmac
product in the $DESIGNWARE_HOME directory.
Q: How can I verify the version of my installed Synopsys IP product to make sure I have the latest version?
A: To verify whether your Synopsys IP products are current, use one of the following methods:
◼ Subscribe to Synopsys IP components notifications on a component basis, where you receive an
e-mail when a component updates or has new/updated STAR information.
◼ Use the dwh_update command (in $DESIGNWARE_HOME/bin) to determine the versioned objects
(components, tools) in your $DESIGNWARE_HOME, and to manage updates to these entities.
◼ Enable automatic update checking in coreConsultant to check the components in your design against
both your Synopsys IP and the currently supported Synopsys components.
Table A-1 provides more information about these three features.
Table A-1
Synopsys IP Component Update Features
Synopsys IP Update Feature
Description
Synopsys IP Components
Notifications
Enables you to receive product updates, technical articles, in-depth application
notes and more for products of interest to you. You can add or remove selected
subscriptions at any time. Sign-up through your SolvNetPlus user account at:
https://www.synopsys.com/dw/mydesignware.php
Synopsys IP Component subscriptions include:
◼ Synopsys IP Technical Bulletin
◼ Synopsys IP Component Notifications
dwh_update Command
Located in $DESIGNWARE_HOME/bin. Performs the following functions:
◼ Downloads and installs updates to Synopsys IP images you may have installed
previously
◼ Provides a quick way to list the components and their versions in your
$DESIGNWARE_HOME tree
To display usage instructions for the current version of dwh_update, execute the
-help option:
% dwh_update -help
Synopsys’ customer support representatives may ask you to run a dwh_update
-info report on your $DESIGNWARE_HOME tree to debug an installation
problem. The following command string is a typical example invocation:
% dwh_update -info l -r /remote/project_1/reports
coreTools Update Checking
When you complete the Specify Configuration activity in coreConsultant, it
checks your component version(s) against the most recent version(s) available
both for download from Synopsys, and in your local $DESIGNWARE_HOME
library. A report gives you newer version information, if available, and lists STARs
created/fixed for the component(s) you are using.
You can manually check at any time using Help > Check for IP Updates.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
27
Troubleshooting and Support
Table A-1
AXI DMA Controller (DMAC) Installation Guide
Synopsys IP Component Update Features (continued)
Synopsys IP Update Feature
Description
For more information about Automatic/Manual IP update checks in
coreConsultant, see “Component Update Checking” in the coreConsultant User
Guide.
Note: Components are not automatically updated; this operation only generates a
report. You must make these component updates manually.
A.1.3
Tools
Q: How can I tell if installed?
A. Issue the following command, which should return the path to your coreConsultant installation
directory. If not, complete the steps in “Downloading and Installing coreConsultant and coreAssembler“
on page 20.
% which coreConsultant
Q: How can I verify if my tools are correctly set up to work with coreConsultant?
A: After completing the DW_axi_dmac installation and setting the required environment variables,
confirm system and coreConsultant access to the tools:
1. Check that you have access to the supported tools specified in “Verifying Supported Tool Versions
and Verification IP Components“ on page 18 as follows:
% echo $SYNOPSYS
% which coreConsultant
2. Set DESIGNWARE_HOME to the DW_axi_dmac installation base directory where you installed the
DW_axi_dmac files, then invoke coreConsultant:
% setenv DESIGNWARE_HOME <DW_axi_dmac_Installation_Base_Directory>
% coreConsultant &
3. Click the DW_axi_dmac link to create a new configuration.
4. In the coreConsultant menu bar, select Edit > Tool Installation Roots to verify valid versions of all
tools needed. These may include:
❑ Design Compiler (dc_shell)
❑ Fusion Compiler (fc_shell)
❑ PrimeTime (pt_shell)
❑ Formality (fm_shell)
❑ TetraMax (tmax)
❑ Synplify FPGA (synplify)
If you want to use the 64-bit execution then check if you selected the 64-bit option in Edit -> Tool
Installation Roots
5. Exit coreConsultant by selecting File > Exit from the menu bar.
28
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
Troubleshooting and Support
Q: What should I do when I get the following error in coreConsultant while loading a configuration batch script from
the older versions?
Error: Could not find the specified item: RCE_plugin (CMDS-11)
Error: Plugin cbs_plugin is not currently loaded.
Use error_info for more info. (CMD-013)
A: The error appears because some plugins are removed in the latest version. Comment the foreach
plugin loop and rerun the script to resolve this issue.
Configuration batch script before modification:
# Verify loaded plugins. These are found in the following locations:
# - The RT_PLUGINS environment variable
# - The RT_CONSULTANT_PLUGINS environment variable
# - Shipped with the core (for coreConsultant)"
foreach plugin {cC_plugin RCE_TPUBS_Plugin RCE_Plugin} {
if {[catch {find_item $plugin -type knowledgeBase -filter KbType==plugin}]}
{
return -code error "Plugin $plugin is not currently loaded."
}
}
Configuration batch script after modification:
# Verify loaded plugins. These are found in the following locations:
# - The RT_PLUGINS environment variable
# - The RT_CONSULTANT_PLUGINS environment variable
# - Shipped with the core (for coreConsultant)"
#foreach plugin {cC_plugin RCE_TPUBS_Plugin RCE_Plugin} {
#if {[catch {find_item $plugin -type knowledgeBase -filter KbType==plugin}]}
{
#return -code error "Plugin $plugin is not currently loaded."
#}
#}
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
29
Troubleshooting and Support
A.2
AXI DMA Controller (DMAC) Installation Guide
Synopsys Statement on Inclusivity and Diversity
Synopsys is committed to creating an inclusive environment where every employee, customer, and
partner feels welcomed. We are reviewing and removing exclusionary language from our products
and supporting customer-facing collateral. Our effort also includes internal initiatives to remove biased
language from our engineering and working environment, including terms that are embedded in
our software and IPs. At the same time, we are working to ensure that our web content and software
applications are usable to people of varying abilities. You may still find examples of non-inclusive
language in our software or documentation as our IPs implement industry-standard specifications that are
currently under review to remove exclusionary language.
30
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
A.3
Troubleshooting and Support
Customer Support
To obtain support for your product, prepare the required files and contact the support center using one of
the methods described:
◼ Prepare the following debug information, if applicable:
❑ For environment set-up problems or failures with configuration, simulation, or synthesis that
occur within coreConsultant or coreAssembler, select the following menu:
⚫ File > Build Debug Tar-file
Check all the boxes in the dialog box that apply to your issue. This option gathers all the
Synopsys product data needed to begin debugging an issue and writes it to the <core tool startup
directory>/debug.tar.gz file.
❑ For simulation issues outside of coreConsultant or coreAssembler:
⚫ Create a waveform file (such as VPD, VCD, or FSDB).
⚫ Identify the hierarchy path to the Design Under Test (DUT).
⚫ Identify the timestamp of any signals or locations in the waveforms that are not
understood.
◼ For the fastest response, enter a case through SolvNetPlus:
a. https://solvnetplus.synopsys.com
Note
SolvNetPlus does not support Internet Explorer.
b. Click the Cases menu and then click Create a New Case (below the list of cases).
c. Complete the mandatory fields that are marked with an asterisk and click Save.
Make sure to include the following:
⚫ Product L1: DesignWare Library IP
⚫ Product L2: AMBA
d. After creating the case, attach any debug files you created.
For more information about general usage information, refer to the following article in
SolvNetPlus:
https://solvnetplus.synopsys.com/s/article/SolvNetPlus-Usage-Help-Resources
◼ Or, send an e-mail message to support_center@synopsys.com (your email will be queued and then,
on a first-come, first-served basis, manually routed to the correct support engineer):
❑ Include the Product L1 and Product L2 names, and Version number in your e-mail so it can be
routed correctly.
❑ For simulation issues, include the timestamp of any signals or locations in waveforms that are
not understood.
❑ Attach any debug files you created.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
31
Troubleshooting and Support
AXI DMA Controller (DMAC) Installation Guide
◼ Or, telephone your local support center:
❑ North America:
Call 1-800-245-8005 from 7 AM to 5:30 PM Pacific time, Monday through Friday.
❑ All other countries:
https://www.synopsys.com/support/global-support-centers.html
32
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
B
Example Setup File
The following example setup file demonstrates how to configure the required environment.
Hint
When you create your setup file, you might want to name it .setup and then place it in the
root of your DW_axi_dmac product installation directory.
To use this setup file, save the following text in a file named <filename>.setup and use the source
<filename>.setup command to export the settings to the shell.
Example of Setup File
# Synopsys Setup
setenv SYNOPSYS <synopsys_install_dir>
set path = ($path $SYNOPSYS/bin)
set path = ($path $SYNOPSYS/<platform>/syn/bin)
# DESIGNWARE_HOME
setenv DESIGNWARE_HOME <DW_axi_dmac_Installation_Base_Directory>
# coreConsultant Setup
set path = ($path <cC_install_dir>/bin)
# VCS Setup
setenv VCS_HOME <vcs_install_dir>
setenv VCS_CC /opt/SUNWspro/bin/cc
set path = ($path ${VCS_HOME}/bin)
# Formality Setup
set path = ($path <fm_install_dir>/bin)
# License Setup
setenv SNPSLMD_LICENSE_FILE
${SNPSLMD_LICENSE_FILE}:<my_license_file|port@host>
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
33
Example Setup File
34
SolvNetPlus
Synopsys IP
AXI DMA Controller (DMAC) Installation Guide
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
C
Options for .run Files
The dw_iip_DW_axi_dmac_2.03a.run file is a self-extracting image that contains the DW_axi_dmac
product. Executing the dw_iip_DW_axi_dmac_2.03a.run file without optional switches extracts (installs)
the image to a $DESIGNWARE_HOME, if available, or to a specified directory.
You can choose only one of the following optional switches:
--help
Displays this message and exits.
--readme
Displays additional installation information, if available and exits.
--check
Checks image integrity and exits.
--dir <path>
Installs product into <path> instead of $DESIGNWARE_HOME
If you are doing an installation, you can use either of the following options:
--keep-log
Keeps the installer log file regardless of the error count. By default, the log is
deleted when there are no errors.
--quiet
Eliminates confirmation prompts.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
35
Options for .run Files
36
SolvNetPlus
Synopsys IP
AXI DMA Controller (DMAC) Installation Guide
Synopsys, Inc.
Version 2.03a
March 2024
AXI DMA Controller (DMAC) Installation Guide
D
DESIGNWARE_HOME Directory Structure
This appendix provides two examples of a DESIGNWARE_HOME directory—a top-level view
(Figure 4-1) and the directory structure after you have installed the DW_axi_dmac controller into the
DESIGNWARE_HOME directory (Figure 4-2 on page 37). It also describes the directory structure of
the DW_axi_dmac (Table 4-1 on page 38).
Figure D-1 Example of a DESIGNWARE_HOME Directory
DESIGNWARE_HOME
doc
iip
iip_ac
vip
DW_ac_<product>*
<version>
latest
README_POST_INSTALL DW_<product>*
<version>
<product>_<version>.readme
latest
.
.
(where Synopsys
Verification IP
components reside)
Note:
· The latest link points at the most recent version (the newest version, not the most recently installed version) of the iip.
· Multiple DesignWare cores, Synopsys Verification IP, and DesignWare Library synthesizable IP can reside in DESIGNWARE_HOME
Figure D-2 Directory Structure of $DESIGNWARE_HOME/iip/DW_axi_dmac/latest
$DESIGNWARE_HOME/iip/DWC_<product>/latest
auxliary
doc
kb
sim
src
scratch
syn
spyglass
Table 4-1 provides a description of the directories in $DESIGNWARE_HOME/iip/DW_axi_dmac/latest.
directory.
Version 2.03a
March 2024
Synopsys, Inc.
SolvNetPlus
Synopsys IP
37
DESIGNWARE_HOME Directory Structure
Table D-1
38
AXI DMA Controller (DMAC) Installation Guide
$DESIGNWARE_HOME/iip/DW_axi_dmac/latest
Directory
Description
auxiliary
Scripts and text files used by coreConsultant.
doc
Contains the DW_axi_dmac product documentation, such as the databook and release notes (.pdf
files)
kb
Contains knowledge base information used by coreConsultant. These are binary files containing
information regarding the state of the design.
sim
Contains simulation files for the DW_axi_dmac.
src
Contains RTL source files of DW_axi_dmac_module.
scratch
Temporary files generated and used by coreConsultant.
syn
Contains synthesis files for the DW_axi_dmac.
spyglass
Contains spyglass files for DW_axi_dmac.
SolvNetPlus
Synopsys IP
Synopsys, Inc.
Version 2.03a
March 2024
Download