2023 SCIENTIFIC REPORT 2023 SCIENTIFIC REPORT © Chr. Morel / CEA SCIENTIFIC REPORT 2023 T The year 2023 was marked by the arrival—amid much hype—of generative AI on the consumer and industrial markets. This new technology could affect not only our electronic component development roadmaps, but also our work itself. Climate change was also top of mind as temperatures hit record highs around the globe. Against this rapidly-changing backdrop, the industrial and technology sectors are now unequivocally faced with some sizeable challenges that raise essential questions about the role of digital—as both a cause and a potential cure. It is up to us, at least in part, to decide what we will make of it. he publication of our Scientific Report is a personal highlight for me each year. And, while it is difficult to compare our results from one year to the next, 2023 stands out in several ways. First, the number of CEA-Leti papers and presentations in international journals and at international scientific conferences grew significantly, generating an impressive number of awards for our scientists from PhD student to senior. The global scientific community’s recognition of the pertinence of our research reaffirms our position as a major research and technology stakeholder in a field where the already-brisk pace of innovation is accelerating: digital. At the CEA our ambition is to put our facilities and brain power to work on the challenges ahead. And CEA-Leti’s roadmap now addresses the environmental and societal issues around the development of technology, with further convergence planned for the coming years. Our long tradition of R&D on very-low-power technologies and on substrates that make more economical use of materials is 100% aligned with today’s context. Our R&D partners turn to us for help with their technology-related problems. That hasn’t changed. What has changed, however, is that they are now coming to us for broader business solutions—solutions that are also more responsible and ethical. The winds have shifted, and our people are more motivated and inspired than ever to make an impact. Sébastien Dauvé CEO, CEA-Leti 4 © UtopikPhoto / CEA The electronics industry is zeroing in on low-power solutions, and Chips Acts in Europe, the United States, and Asia opened up exciting new research and development opportunities in 2023. Throughout the year, CEA-Leti worked closely with partners from diverse horizons to bring new low-power technologies to the market. T his year’s Scientific Report reflects the broad scope of our research. In addition to our historic focus areas—energy-efficient computing, sensors (including biosensors) and displays, materials and integration—our crossdisciplinary research and development targeting specific industries and use cases also generated some noteworthy breakthroughs. We made exciting developments in technologies for Edge AI, quantum computing, telecommunications, and 3D integration. Our health program, with advances in organs-on-chips, echoed the more general trend toward digital-enabled medicine that is driving demand for increased miniaturization. Our organization-wide eco-innovation program also continued to grow, with projects addressing the impacts of our R&D activities and of the resulting technologies. Our R&D partners are showing increasing interest in technologies backed by lifecycle analyses and other eco-innovation approaches. Many of the results in the following pages are the fruit of joint research with our partners, be they academic research labs or companies. I would like to thank them for their continued trust in us. I would also like to extend my gratitude to our people at CEA-Leti for their extraordinary commitment and dedication. I am thrilled to share this year’s Scientific Report with you, and I hope you enjoy it. Thomas Ernst VP Science and Technology, CEA-Leti 5 SCIENTIFIC REPORT 2023 CEA-LETI AND THE CARNOT NETWORK 08 CONTENT SUSTAINAIBLE DEVELOPMENT10 MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING PUSHING COMPUTING AND MEMORY TO THE EDGE FOR INCREASED ENERGY EFFICIENCY IN THE ERA OF IOT Elisa Vianello, Gabriele Navarro, Tifenn Hirtzlin, with Souifi Abdelkader (INSA) 14 ADVANCES IN QUANTUM HARDWARE Biel Martinez, Jean-Michel Hartmann, Ségolène Olivier, with Gérard Ghibaudo (IMEP-LAHC)18 World first: nanoscale electrostatic potential mapping in active micro-LEDs David Cooper24 3D integration: pulsed-laser annealing for accurate control of silicon recrystallization Sébastien Kerdilès 25 Market news from our R&D partners26 SMART POWER DEVICES CEA-Leti presents advances in transferred SiC substrates for electronics and photonics at ICSCRM 2023 Julie Widiez30 Insulated recessed gate GaN power transistors enable promising normally-OFF architecture Pedro Fernandes Paes Pinto Rocha32 New model for the optimization of high-energy-density microbatteries for IoT devices Sami Oukassi34 Piezoelectric materials could enable more compact, efficient power converters Ghislain Despesse 36 Market news from our R&D partners38 IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION Semiconductor development to benefit from GPU-accelerated CZT detector simulation Guillaume Montémont44 ADVANCES IN INFRARED IMAGING Sebastien Becker, Jacques Baylet, Olivier Gravrand 46 New analysis capabilities could help make future infrared detectors more robust Nicolas Baier48 Meta-optics for inertial confinement fusion laser facilities Pierre Brianceau, Jérôme Neauport, Nicolas Bonod 50 Algorithm-architecture co-design for compact representation of ToF pixel data William Guicquero 52 Market news from our R&D partners54 6 TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY THE 5G TO 6G TIPPING POINT HAS ARRIVED Jean-Baptiste Doré, Erwan Morvan, Luc Maret, Mohamed Sana, with Alexis Fischer (Université Sorbonne Paris Nord) 58 Smart antennas: manipulating, shaping, and controlling electromagnetic waves for telecommunications, localization, and sensing Christophe Delaveaud62 Ultra-low-power filter for RF spectrum sensing could lead to more energyefficient “listening” on IoT networks Dominique Morche 64 Record mmW radar performance to support remote contactless vital sign detection Mykhailo Zarudniev65 AI-enabled power amplification could help make wireless communications more energy efficient Jean-Baptiste Doré66 Pulsed-laser deposition of lithium niobate thin films for applications ranging from 5G to quantum Florian Dupont67 Evaluating the robustness of embedded neural network models for more secure Edge AI in a physical world Pierre-Alain Moellic68 Market news from our R&D partners 70 GENERIC MATERIALS AND CHARACTERIZATION ADVANCED PACKAGING Jean Charbonnier, Emilie Bourjot, Jean-Charles Souriau, Thierry Mourier 74 CEA-Leti and Intel join forces on novel self-assembly technology that could enable high-throughput die-to-wafer bonding Frank Fournel78 Lab-scale hard X-ray photoelectron spectroscopy (lab-HAXPES) for MOSc-HEMT characterization Eugénie Martinez80 TMD-like semiconducting materials grown at BEOL-compatible temperatures Stéphane Cadot82 Making cleanrooms cleaner with ecofriendly chitosan water-based lithography resists for sustainable nanofabrication Isabelle Servin 83 EMERGING DEVICES TO IMPROVE HUMAN HEALTH ADVANCED SENSING AND STIMULATION TECHNOLOGIES DRIVE INNOVATIONS IN PATIENT MONITORING, TREATMENT, AND MOBILITY Abdelmadjid Hihi, Christelle Godin, Salam Hamieh, Emma Villeneuve, Guillaume Charvet, with Dr Hussein Al Osman (u Ottawa) and Henri Lorach (EPFL)86 ORGANS-ON-CHIPS SUPPORT SAFER, MORE PERSONALIZED MEDICINE Fabrice Navarro, Frédéric Bottausci, Pascal Mailley 90 Toward biocompatible printed electronics for transient, bioresorbable medical devices Isabelle Texier92 3D time-lapse imaging could bring advanced pre-implantation embryo observation to IVF clinics Lionel Hervé93 New rapid bloodstream pathogen identification technique for faster diagnosis of infections Pierre Marcoux94 Unprecedented phage surface density could help advance phage therapy and enable new antibacterial surfaces Pierre Marcoux, Larry O’Connell95 New technique detects lethargic but still-infectious pathogens for safer seafood Pierre Marcoux96 Cancer screening and environmental microplastics detection could benefit from a label-free MIR interferometric imaging technique Marine Beurrier-Bousquet 97 Market news from our R&D partners 98 AWARDS 104 7 SCIENTIFIC REPORT 2023 Committed to innovation, CEA-Leti creates differentiating solutions with its partners CEA-Leti, a technology research institute at CEA, is a global leader in miniaturization technologies enabling smart, energy-efficient and secure solutions for industry. Founded in 1967, CEA-Leti pioneers micro-& nanotechnologies, tailoring differentiating applicative solutions for global companies, SMEs and startups. CEA-Leti tackles critical challenges in healthcare, energy and digital migration. From sensors to data processing and computing solutions, CEA-Leti’s multidisciplinary teams deliver solid expertise, leveraging world-class pre-industrialization facilities. With a staff of more than 2,000 talents, a portfolio of 3,200 patents, 11,000 sq. meters of cleanroom space and a clear IP policy, the institute is based in Grenoble, France, and has offices in Silicon Valley, Brussels 600 Founded in IS0 9001 Based in publications per year certified since 2000 114 European projects and Tokyo. CEA-Leti has launched 76 startups and is a member of the Carnot Institutes network. Technological expertise CEA has a key role in transferring scientific knowledge and innovation from research to industry. This high-level technological research is carried out in particular in electronic and integrated systems, from microscale to nanoscale. It has a wide range of industrial applications in the fields of transport, health, safety and telecommunications, contributing to the creation of high-quality and competitive products. Follow us on cea-leti.com @CEA-Leti 1967 France (Grenoble) with offices in the US (San Francisco) and Japan (Tokyo) Belgium (Brussells) 300 industrial partners 2,000 researchers 3,200 patents in portfolio 11,000 sq. meters of cleanrooms 100-200-300 mm wafers 76 © CEA startups created 8 Susana Bonnetier VP of the Carnot Network and Carnot Program Manager Scientific Directorate of CEA-Leti CEA-Leti is a member of the French Carnot network: 39 institutes dedicated to the co-development of differentiating technologies with and for businesses worldwide to support industrial development and competitiveness. The Carnot institutes represent 20% of the French public research force (35,000 professionals dedicated to generating innovation for industry) and sign a hefty 11,000 direct contracts yearly with companies in all economic sectors, be it start-ups, SMEs or large groups, helping to bring new products to the market for the benefit of society. The Carnot institutes have the additional capacity of working together to respond with comprehensive and interdisciplinary solutions to the major R&D challenges faced by industry today. It comes as no surprise, then, that over 50% of all the R&D contracts signed between French industry and French public research organisms involve a Carnot institute. Some remarquable results produced by Carnot projects in 2023 include: • • • • • In 2023, Carnot projects opened new venues of research in areas such as, for example, eco-innovation, high performance computing, advanced CMOS architectures, 5 and 6G enabling technologies, classic and quantum photonics, cyber-physical systems and cybersecurity, power electronics and energy management systems, medical devices, connected health and personalized medicine. Many of these projects benefitted from collaborations with national and international academic partners that help strengthen our scientific excellence and professionalism, while reinforcing our open mindset and sharpening our vision of the future. • • Improved photoluminescence of annealed samples was observed for patterned GeSn epitaxial microstructures, for annealing temperatures above epitaxial growth temperature, this will be particularly useful for optically pumped lasers; The wavefront of a defocused laser beam was successfully shaped to focus light on a target, using a scalable and compact integrated phase modulator that combines a Liquid Crystal Cell with a CMOS image sensor; New mmWave and THz RF components were developed using 3D integrated photonics on CMOS ; Cathodoluminescence and Kelvin Force microscopy were successfully combined to analyze LEDs sidewall defects ; A brain computer interface developed at CEA-Leti, combined with a spine stimulator developed at EPFL, enabled thought-controlled walking after a spinal cord injury; The first injection-locked oscillator-based time-domain feature extraction for KWS (keyword spotting) operating at 0.4V supply voltage was achieved, with a 91% accuracy on 10 words; Spintronic memories for emerging computational concepts were developed using various hybrid CMOS+MTJ building blocks. MQW : multiple quantum wells ; RT : room temperature © CEA The French Ministry of Higher Education and Research created the Carnot label in 2006 to recognize public R&D institutes that successfully transfer the results of their research to industry. To obtain the Carnot label, institutes must actively cultivate both their scientific excellence and their professionalism when engaging in R&D partnerships with businesses. The label comes with government funding aimed at preparing the next round of disruptive technologies for industry. CEA-Leti uses these funds to finance a bi-yearly call for proposals that stimulates the upsurge of new ideas from researchers and to carry out multidisciplinary top-down projects that generate demonstrators aimed at maturing technological concepts into tangible and transferable results. © P. Jayet / CEA The French “Carnot” institutes are the preferred R&D partners of France’s innovative companies “Cathodoluminescence (CL) and KPFM images showing analysis at the edge of a 1 mm2 GaN mesa. CL results reveal reduced intensities related to InGaN quantum well emission, KPFM measurements indicating charge trapping of holes in the surface of p-GaN, as evidenced by the positive surface photovoltage (SPV).“ Find out more about the Carnot institutes by clicking www.instituts-carnot.eu 9 SCIENTIFIC REPORT 2023 CEA-Leti voices on eco-innovation Léa, you created CEA-Leti’s eco-innovation program in 2020, and you passed the torch to your successor, Laurent Pain, this year. What motivated you to take on this ambitious project back in 2019? © Rangizzz - Fotolia.com LD: Once you start thinking about climate change and understanding Léa Di Cioccio its implications, you can’t help but Eco-innovation Program Director, want to get others on board. As a CEA-Leti leading center for semiconductor research and development, I feel it is our responsibility to initiate this important transformation by integrating ecodesign and lifecycle assessment methods into our technologies to reduce the impacts of the semiconductor industry on climate change and on our planet’s finite resources. We must also take steps to use less water and limit the impacts of the pollutants generated by our activities and technologies on the air and soil. That’s what I’ve been working on for the past three years at CEA-Leti—a mission that I am sure Laurent will carry on with brio moving forward. I will continue to serve in an advisory role as a CEA Fellow and I plan to keep eco-innovation at the center of my activities. 10 © B. Lavit / CEA © Christian Morel / CEA It is now widely accepted that the “take, make, use, dispose” model of production and consumption is no longer viable. This is especially true for the semiconductor industry. As the number of electronic devices in operation worldwide continues to grow exponentially, all aspects of the semiconductor product lifecycle must be addressed urgently to reduce environmental impacts. This includes the products themselves, of course, but also processes at all stages from R&D to manufacturing and recycling. CEA-Leti Eco-Innovation Program Managers Léa DiCioccio and Laurent Pain talked to us about the organization’s efforts to make not only its own cleanroom processes, but also the technologies it transfers to partners, more sustainable. Laurent, what is your main driver as you take on this new role? LP: Integrated circuit technology offers the potential to help address some of our climate-related challenges. Yet, at the same time, as the number of devices in use Laurent Pain increases, so do the impacts. CEA-Leti research Within this paradox is, of course, director the challenge of reducing those impacts, but also opportunities to continue to bring sustainable innovations to society. That’s what I’m interested in. When Léa started the project, she took a holistic approach, addressing both environmental and societal impacts, and successfully raising CEA-Leti management’s awareness of what is at stake. Some of the foundational activities Léa got up and running are collecting data from our clean rooms so we can systematically conduct lifecycle analyses and running in-house eco-innovation workshops with our staff. I plan to continue to build on that solid foundation. “Making semiconductors more sustainable is both a sprint and a marathon. We have to act now and maintain our efforts over the long term. The Eco-innovation Program is our instrument for doing just that, both within CEA-Leti and with our external R&D partners.” Laurent Pain So, eco-innovation has clearly started to take hold across CEA-Leti and with its R&D partners since the program was launched. But the wider environment has also changed. How will this impact the industry in terms of sustainability? LD: The European Green deal and pressure from the general public are pushing companies in all industries to use less energy and be more sustainable. As Laurent said, semiconductors can be part of the solution in many industries, but they also have their own impacts. The Chips Acts will accelerate the uptake of more sustainable manufacturing practices in our industry, but there is still work to be done on the product use end. LP: The main purpose of the Chips Acts, whether it’s in Europe, the United States, or Japan, is to support R&D, but the Acts all have sustainability components. This will create space for new conversations that, I hope, will move us, together as an industry, in the right direction. Where would you like to see the semiconductor industry in five to ten years in terms of sustainability? LP: Manufacturing has the potential to drive significant progress on our environmental performance. We are talking about precision manufacturing down to the nanometer. A whopping 90% to 99% of the material used is discarded. Even if the part we do use is used in extraordinary ways, I would like to see more circular manufacturing that would allow us to recover material and reuse it in our fabrication processes. Then there is the issue of repairability. I hope that one day we will have more repairable components, where the package can be reused and only the faulty subcomponent repaired or replaced. This could happen through more applicationspecific components. LD: This is exactly the kind of solution our R&D partners are looking for, and no one is better placed to deliver it than CEA-Leti. Further reading • Vauche, L., Guillemaud, G., Lopes Barbosa, J.-C., & Di Cioccio, L. (2024). Cradle-to-Gate Life Cycle Assessment (LCA) of GaN Power Semiconductor Device. Sustainability, 16(2), 901. • Guillemaud, G., Vauche, L., Lopes Barbosa, J. C., Sousa, V., & Di Cioccio, L. (2023, July). Empreinte environnementale d’un composant de puissance à base de GaN. Paper presented at the Symposium de Génie Électrique (SGE), Lille, France. © Shuo / AdobeStock LD: Management is 100% on board now, and in 2023 we saw two new firsts: the launch of our eco-innovation services to outside R&D partners and the completion of a carbon audit of our activities. Our Sustainable Electronics program is now well-established, and the methods developed are being integrated into more and more of our R&D projects. Our R&D partner companies are thrilled to see us responding to this need, so that they in turn can bring relevant solutions to their markets. In power electronics, we also made a notable advance in eco-innovation in 2023, assessing the environmental impacts of a GaN power component (see “Further reading” below). 11 © xiaoliangge - Adobe Stock SCIENTIFIC REPORT 2023 12 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING Jean-Paul Barnes © Utopikphoto / CEA Scientific Advisor to the Technology Platform Division CEA-Leti is innovating in materials, processes, and integration to drive progress in advanced computing and photonics applications. In 2023 we made strides in chalcogenide materials and leveraged synergies between filamentary and ferroelectric memories for Edge AI. Our quantum computing research hit milestones in isotopically enriched silicon heterostructures, low-temperature transistor modeling, and photonic quantum circuits. Finally, our expertise in nanocharacterization techniques allowed us to improve electrostatic mapping for the exploration of advanced LED structures. 13 SCIENTIFIC REPORT 2023 PUSHING COMPUTING AND MEMORY TO THE EDGE FOR INCREASED ENERGY EFFICIENCY IN THE ERA OF IOT As the digital fabric of our society becomes increasingly dense, the capacity of Edge computing solutions to efficiently process and analyze data near or at the sensor will have a profound impact on power consumption, of course, but also on system performance. CEA-Leti and its partners continued to drive advances in this exciting field in 2023, leveraging expertise from materials to characterization to integration. CEA-Leti labs are addressing the growing non-volatile memory market with innovations in materials crucial to keeping up with future demand. This included a deep dive into the switching and failure phenomena at work in memory and selector devices made from chalcogenides. This research will help increase memory density, which in turn will enable new Edge AI solutions in the future. © UtopikPhoto / CEA While progress continues on this novel selector device, we pursued our investigations of a more mature approach exploiting a CMOS transistor as a selector to create a groundbreaking Bayesian machine, marking a significant step forward in energy-efficient Edge artificial intelligence. By addressing AI’s energy consumption issues and offering an explainable alternative to conventional “black box” neural networks, the novel device could enable transformative Edge AI use cases. “A decade-long collaboration with Dr. Damien Querlioz, CNRS Researcher at C2N, the Centre for Nanoscience and Nanotechnology, Elisa Vianello 14 Nanoelectronics Department, Université Paris-Saclay, has been instrumental in allowing us to test new computing paradigms. This partnership has now expanded through the PEPR Electronique and Neuronic projects, both financed in part by France’s national research agency, and through two jointly-supervised PhD students, one of whom, Tifenn Hirtzlin, is now on staff at CEA-Leti,” said CEA-Leti’s Elisa Vianello. In other Edge AI research, with Dr. Querlioz’s team and CEA-List, we looked at the integration of resistive and ferroelectric memories, opening up new avenues for neuromorphic computing. This novel co-integration, presented at IEDM 2023, is a breakthrough for on-chip learning and inference, crucial for the continuous, real-time processing required by next-generation IoT applications. Pivotal research with laboratories at INSA Lyon establishes link between chalcogenide structure and OTS device behavior CEA-Leti worked with labs at INSA Lyon on groundbreaking research leveraging physico-chemical and © INSA © itchaznong - Adobe Stock 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING According to Navarro, “Co-supervising PhD students definitely got us talking more often than we would have otherwise. I can’t help but feel this has had a positive impact on our research.” © CEA electrical characterization analysis. For example, Raman spectroscopy was used to study the structure of an OTS material integrated into selector devices with a transparent, conductive indium tin oxide layer for the top electrode, analyzing the devices after multiple switching operations. Raman spectra were acquired after up to 1,000 switching cycles to highlight the structural changes in the region involved in the switching. The spectra were compared to spectra obtained on blanket samples annealed at increasing temperatures up to 550 °C. A link between the annealing process, changes in the material’s structure, and device behavior during cycling was established. “This is a major advance that brings new light to the mechanisms that underpin switching and failure in chalcogenide OTS memory devices,” said CEA-Leti’s Gabriele Navarro. “This is a prime example of why working with academic research labs is so important. In addition to it being a real pleasure to work with Abdelkader Souifi’s team, they bring Gabriele hands-on experience with earlier-stage Navarro experiments like this one.” Souifi Abdelkader INSA Lyon’s Abdelkader Souifi said, “My main task is to teach engineering students in our Materials Science and Engineering department. The partnership with CEA-Leti is solid, and our PhDs benefit directly in the form of job opportunities with CEA-Leti’s industrial R&D partners. At INSA Lyon, only around 10% of our students are majoring in advanced nanotechnologies. Working with CEA-Leti also helps us attract top candidates to our programs. When we send our students to CEA-Leti, we know they are going to be satisfied. On a more personal level, after 20 years, I feel more like a colleague than a partner.” New, detailed understanding of switching and failure—and PhD student success stories The partners’ latest findings show that the increase in Sb-Sb and Sb-Se bonds are mainly responsible for the switching mechanism in the alloy. The gradual Sb segregation and potential Sb2Se3 crystallization observed, supported by TEM/EDX analyses and confirmed by XRD patterns and Raman spectra obtained on blanket samples, are the main culprits behind device failure. The joint research that led to this advance was born some 20 years ago out of a student internship and, later, a first co-supervised PhD dissertation that led to others. Raman spectra acquired on the OTS device at different numbers of cycles (virgin, after forming, and up to 1,000 cycles). The main features are highlighted: Sb-Se, Ge-Se, Sb-Sb, and Se-Se. Source: Journal of Applied Physics Laguna, C., et al. Inside the ovonic threshold switching (OTS) device based on GeSbSeN: Structural analysis under electrical and thermal stress. (2023). doi.org/10.1063/5.0134947 15 SCIENTIFIC REPORT 2023 © CEA Reimagining computing to enable new Edge AI scenarios A team comprising CEA-Leti, three CNRS laboratories, and startup HawAI.tech leveraged in-memory and near-memory computing techniques to do two things: First, minimize data movement—one of AI’s particularly energy-hungry aspects—to drastically reduce energy consumption and second, implement a Bayesian framework that, unlike conventional neural networks, is explainable. “AI researchers around the world are on a quest for energy-efficient, secure computing solutions capable of overcoming traditional hardware’s struggles with AI algorithms. These solutions are a prerequisite to moving AI computing out of the cloud—where Tifenn data is exposed to privacy and security Hirtzlin threats—and onto devices,” said CEA-Leti’s Tifenn Hirtzlin, formerly of C2N. This hybrid CMOS-memristor demonstrator circuit excels in safetycritical situations, where high uncertainty is present, little data is available, and explainable decisions are required. It could open the door to new Edge AI solutions for medical sensors, industrial safety monitoring, and other critical use cases. A cover-worthy breakthrough Our breakthrough solution, which made the cover of Nature Electronics, is a fully-fabricated hybrid CMOSmemristor circuit combining 2,048 memristors and 30,080 transistors. The architecture is based on fully distributed memory using only local memristor arrays as memory elements. By employing stochastic computing principles and optimizing data movement, we achieved energy improvements of several orders of magnitude compared to traditional implementations. Additionally, our system capitalizes on non-volatile memory, for instant on/ off capabilities that allow inference almost immediately upon powering on and conserve energy by completely shutting down when idle—a revolution in energy efficiency. The objective of CEA-Leti’s groundbreaking research was to demonstrate the feasibility of near-memory implementation of Bayesian models on a test circuit, opening the door to new embedded AI solutions for critical use cases. Bayesian reasoning is a probabilistic framework, that excels in “small data” situations by leveraging prior expert knowledge and providing explainable results. It can also estimate prediction certainty—an asset that neural networks don’t possess. Until now, however, implementing Bayesian reasoning in nearmemory computing scenarios has been challenging due to high memory access requirements. 16 © CEA Solving the challenges of efficient near-memory Bayesian computing Optical microscopy photograph of the Bayesian system die. Source: Nat Commun 14, 7530 Bonnet, D., et al. Bringing uncertainty quantification to the extreme-edge with memristor-based Bayesian neural networks. (2023). doi.org/10.1038/s41467-023-43317-9 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING On-chip inference and learning at the Edge The rapid growth of artificial intelligence has spawned smart algorithms that enable machines to learn autonomously and interact with the environment, adjusting their synaptic weights. This brain-like process of learning and inference can be replicated, using special memory devices to store the synaptic weights. The problem is that today’s memory technologies cannot simultaneously excel at both learning and inference. Some, suitable for inference, deteriorate quickly with learning activities, while others are optimized for learning but perform poorly during inference. This limitation has prevented neuromorphic systems with emerging memories from possessing active learning abilities. These systems must be pre-programmed. RRAM and FeRAM a winning combination In joint research with smart digital systems experts at CEA-List, we co-integrated resistive random-access memory (RRAM) and one transistor-one capacitor (1T-1C) ferroelectric random-access memory (FeRAM) devices. RRAM, with its non-destructive readouts, is excellent for inference but falls short in the learning phase due to limited write endurance and high programming energy. In contrast, FeRAM offers the advantage of ultra-low programming energy and high endurance, but a data-destructive reading process makes the technology unsuitable for inference tasks. Combining the two could overcome the limitations of current memory devices, but this type of co-integration brings a new set of challenges. Scalability and CMOS Back End Of Line (BEOL) compatibility In this kind of integration scenario, the creation of multiple new masks and additional process steps adds complexity and costs. We developed a novel memory stack using ferroelectric Si-doped hafnium oxide so that the RRAM and FeRAM could be co-integrated in the same BEOL without extra masks. This hybrid memory stack enables the transformation of devices fabricated as FeRAM to RRAM through a unique electrical forming operation. Cardiac arrythmia test case produces compelling results A new synaptic circuit that facilitates on-chip learning in binarized neural networks (BNNs) was designed and tested. It uses FeRAM to store the nuanced weights during learning and RRAM to hold the binary weights for inference. The system takes advantage of the specific properties of each memory type, ensuring energy-efficient operations and stability where needed. We tested this innovative circuit on cardiac arrhythmia detection, where it met the demands of constrained hardware. This advance is a significant step toward implementing and designing energy-efficient neural networks capable of learning and inference at the edge, surmounting the limitations of current memory technologies and potentially enabling continuous learning and adaptation in Edge AI systems. Further reading • Laguna, C., et al. Inside the ovonic threshold switching (OTS) device based on GeSbSeN: Structural analysis under electrical and thermal stress. Journal of Applied Physics, 133(7), 074501. 2023. doi.org/10.1063/5.0134947. • Harabi, K.-E., et al. A memristor-based Bayesian machine. Nature Electronics, 6(1), 52-63. 2023. • Martemucci, M., et al. Hybrid FeRAM/RRAM Synaptic Circuit Enabling On-Chip Inference and Learning at the Edge. Paper presented at the International Electron Devices Meeting (IEDM). 2023. 17 SCIENTIFIC REPORT 2023 ADVANCES IN QUANTUM HARDWARE CEA-Leti quantum partners CEA-Irig, CEA-List, CNRS, Institut Néel, Inria, IMEP-LAHC, FhG-IPMS, University of Pavia, Italy, Soitec, STMicroelectronics, Quobly The path toward millions of qubits superconducting vs. Si spin qubits • Superconducting qubits are the conventional favorites (Google, IBM) and the current state of the art is in the 1,000s of qubits. • Silicon spin qubits (CEA-Leti’s bet) got a late start, and the current state of the art is around 10 qubits, and the technology is scalable. • Photonic qubits, an alternative approach, are currently in the 100s of qubits with discrete components. Scalability will come through integrated photonics. 18 Quantum computing—a quintessential deep tech field—continued to make headlines in 2023, with hundreds of quantum startups around the world raising billions in capital. CEA-Leti continued to drive advances in semiconductor-based qubits and photonic quantum integrated circuits in 2023, working with academic research labs, startups, major corporations, and EU project consortia to bring the reality of an operable quantum computer closer. The anxiously-awaited quantum computer will revolutionize computing in fields like medicine and energy, solving complex problems that conventional computers cannot. The promise of quantum is that exponentially-more-powerful computing will help overcome some of the technological hurdles to solving the major challenges facing our society. Our objective at CEA-Leti is to develop a fully integrated technology on silicon offering a viable path to industrial manufacturing of quantum communication and quantum computing technologies. In 2023 we made strides in FD-SOI-based spin qubits—the chosen technology of CEA-Leti spinoff Quobly, which raised €19 million in capital in 2023, placing Grenoble at the center of the silicon qubit movement. We also made strides in the cryogenic electronics necessary for quantum processors and in photonic quantum integrated circuits. © diuno - Adobe Stock 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING CEA-Leti is developing key materials, structures, and process flows for silicon qubits, making advances in 28Si layers and c-Ge/SiGe heterostructures for spin qubits. These advances could ensure that Si CMOS VLSI technology, which is at a high manufacturing readiness level (MRL), can be used for the monolithic fabrication of huge numbers of group-IV semiconductor qubits. Along the same lines, CEA-Leti is also investigating device design to ensure the desired performance. This research is being conducted at CEA-Leti with CNRS-Institut Néel, CEA-Irig and Quobly, a quantum startup spun off from CEA-Leti and CNRS. A new generation of CEA-Leti Si MOS spin qubit devices Based on the feedback from the experiments performed on the Si MOS spin qubit devices fabricated in CEA-Leti cleanrooms, we identified additional improvements to increase device performance and fabrication yield. Top-view and cross-section TEM images of the new-generation device. © CEA Silicon spin qubits 19 SCIENTIFIC REPORT 2023 © CEA Based on the feedback from the experiments performed on the Si MOS spin qubit devices fabricated in CEA-Leti cleanrooms, we identified additional improvements to increase device performance and fabrication yield. We fabricated a new generation of the devices with finely tuned processes and with different etched patterns in the Si nanowire to do away with the spurious couplings between the qubits and nearby charge sensors. The devices were characterized at room and cryogenic temperatures, and the results confirmed that the devices are functional and can still perform charge sensing with the new etched areas. Additionally, no trace of the spurious couplings was found. Finally, given these promising results, numerical simulations were carried out to test the impact of several possible improvements. “Remarkably, the devices were demonstrated to be functional on the first attempt, so different variations were simulated to predict an optimal geometry that should improve their functioning even more. These results indicate a significant upgrade to the Si MOS spin qubit devices fabricated at Biel Martinez CEA-Leti, and should bring Quobly one step closer to the demonstration of many-qubit experiments,” said CEA-Leti’s Biel Martinez. Advances in semiconductor quantum-dot-based spin qubits One approach to scalable quantum being investigated at CEA-Leti is spin in semiconductor quantum dots. Our research with CEA-Irig produced two key advances in 2023, both of which were published in Nature Nanotechnology. The first was longer coherence times achieved by varying the magnetic field orientation to reveal “sweet spots” 20 where the impact of charge noise is lower, and electricdipole spin control is still efficient. The second was a spincoupling rate well above the spin-photon decoherence rate. Together, these results open up a realistic new pathway to the development of circuit quantum electrodynamics with spins in semiconductor quantum dots. Optimizing 28Si layer production and c-Ge/SiGe heterostructures The ability to obtain high-purity 28Si layers and c-Ge/ SiGe heterostructures is vital to improving the coherence times of electron spins in quantum dots and, as a result, quantum processor performance. “The 28SiH4 gas used to grow the layers is scarce and expensive. Our research also addresses strategies to reduce the consumption of this gas. In parallel, we are developing c-Ge/SiGe heterostructures for hole-spin qubits. The objective here is to generate a highmobility, two-dimensional hole Jean-Michel gas in a compressively-strained Hartmann Ge quantum well embedded in SiGe. The ability to fine-tune the layers and electric fields would enable precise manipulation of individual wells, vital to qubit functionality,” said CEA-Leti’s Jean-Michel Hartmann. UtopikPhoto / CEA A new generation of CEA-Leti Si MOS spin qubit devices 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING The development of efficient, scalable quantum computers will depend in part on a deeper understanding of how CMOS technologies like FD-SOI behave at cryogenic temperatures. CEA-Leti has been investigating the role of carrier statistics and mobility variations in the design and understanding of cryogenic electronics. CEA-Leti and IMEP-LaHC, a laboratory affiliated with the French National Center for Scientific Research (CNRS), Grenoble INP - UGA, and Université Savoie Mont Blanc gained new insights into the zero-temperature coefficient (ZTC) observed in FD-SOI MOSFETs at cryogenic temperatures. © IMEP-LEHC Cryogenic electronics Gérard Ghibaudo “Our strong partnership, supported by joint European projects, the French Labex Minos initiative, and the co-supervision of PhD students, has allowed us not only to benefit from pooled financial resources and manpower, but also to reinforce our personal relationships,” Ghibaudo said. Tomorrow’s quantum computers will require new low-temperature integration paradigms Quantum bits—or qubits—operate at very low temperatures, on cryogenically-cooled chips. And the closer the cryogenic components and conventional electronics are to each other, the less room-temperature wiring is needed in these systems. Integrated circuits that can operate at much lower temperatures could help close this gap, ultimately enabling superconducting and silicon spin qubits to coexist on a single chip. This kind of hardware, where cryogenic electronics and qubits work hand in hand, will facilitate extensive qubit matrix indexing and support the deployment of large-scale quantum processors. CEA-Leti and Gérard Ghibaudo’s team at IMEP-LaHC are helping bridge the gap in our physical understanding and move cryogenic CMOS circuit design capabilities forward. Ghibaudo, who has been working with CEA-Leti on characterization since he was a PhD student in 1979, is pleased with the latest advances this decades-long partnership has produced. FD-SOI a promising technology Fully depleted silicon-on-insulator (FD-SOI), with its ability to adjust threshold voltage through back biasing, has enabled generations of high-performance, energy-efficient electronics—especially at low temperatures. But there are hurdles to cryogenic CMOS chips, not least of which is a lack of specialized process design kits (PDKs) tailored to cryogenic operation across different technology nodes. “Extensive electrical characterization is a prerequisite to the development of future cryogenic compact models,” IMEP-LaHC is a valuable partner in this respect,” said CEA-Leti’s Mikael Cassé. “Another approach currently being explored is to provide designers with analytical and physics-based models to optimize circuits for cryogenic operation.” 21 SCIENTIFIC REPORT 2023 Understanding the zero-temperature coefficient (ZTC) In this research, our goal was to unravel the zerotemperature coefficient (ZTC) observed in FD-SOI MOSFETs at cryogenic temperatures. The ZTC represents a point where gate-to-channel capacitance and drain current remains constant across a broad temperature range. Here’s what we learned: The ZTC point in the capacitance curves is inferred to the sub-band occupation probability (controlled by the Fermi-Dirac function), which is temperature-independent for a given gate voltage. When the Fermi level increases with temperature, compensating for the temperature decrease, the ZTC emerges. “We also looked at the effects of carrier mobility on the ZTC in drain current transfer characteristics, factoring in the temperature-dependence of carrier mobility and different scattering mechanisms. Under specific conditions, such as phonon scattering-limited mobility, the ZTC point can be observed in the drain current characteristics, even if its origin resides in the carrier statistics. However, in the presence of other scattering mechanisms, such as Coulomb and neutral defect scattering, the ZTC point no longer exists,” said Cassé. Photonic quantum integrated circuits Silicon photonics has the potential to support quantum communications, either for the exchange of secret keys for ultrasecure quantum communication networks or to link distributed quantum processors. Miniaturized systems with on-chip quantum generation, encoding, and detection will be vital to the massive deployment of quantum communications. In quantum computing, silicon photonics could provide a strong foundation for photonic qubits that could offer a path to low decoherence and room-temperature operation. Challenges to building quantum integrated circuits © UtopikPhoto / CEA At CEA-Leti, we are focusing on quantum integrated circuits for the generation, encoding, processing, and detection of quantum states of light. “Quantum light can be generated on silicon using nonlinear processes, but achieving high generation rates remains challenging. In addition, high-performance filtering and demultiplexing solutions are needed to provide quantum photon states that can be further manipulated on-chip for encoding and computation,” said CEA-Leti’s Ségolène Olivier. “The integrated photodetectors currently used in telecoms and datacoms will have to be replaced by much more sensitive Ségolène Olivier single-photon detectors,” Olivier said. 22 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING On-chip quantum-grade components In collaboration with the University of Pavia, Italy, we developed integrated sources of entangled photon pairs on silicon based on the nonlinear spontaneous fourwave mixing process. They emit quantum light at around 1,550 nm with a MHz generation rate for one couple of paired wavelengths, and up to 4 GHz for multiple couples of paired wavelengths. We also developed a low-loss integrated demultiplexer to separate the signal from the idler frequencies of the multiple photon pairs and developed an integrated pump filter, obtaining a rejection ratio above 75 dB. Finally, for quantum light detection, we developed a first generation of superconducting nanowire single-photon detectors integrated on silicon waveguides in collaboration with CEA-Irig. We obtained on-chip detection efficiency exceeding 80% for a dark count rate under 100 Hz at a wavelength of 1,550 nm. These results were obtained on industrial-grade 200 mm and 300 mm cleanroom equipment using a CMOS-compatible process flow, marking a step toward cost-effective photonic integrated quantum technologies. Further reading • Bertrand, B., et. al. Paper presented at the International Electron Devices Meeting (IEDM) conference, San Francisco, US. 2023. •Brisson, V., et al. NbN Waveguide-Integrated Superconducting Nanowire Single-Photon Detectors on 200 mm SOI Wafers. Paper presented at the European Conference on Optical Communications, Glasgow, UK. 2023. • Cassé, M., et al. FDSOI for cryoCMOS electronics: device characterization towards compact model. In International Electron Devices Meeting (IEDM) (pp. 34.6.1-34.6.4). San Francisco, CA, USA. 2022. doi: 10.1109/IEDM45625.2022.10019322. • Catapano, E., et al. On the Zero Temperature Coefficient in Cryogenic FD-SOI MOSFETs. IEEE Transactions On Electron Devices, 70(3), 845-849. 2023. doi: 10.1109/TED.2022.3215097. • Catapano, E., et al. TCAD simulations of FDSOI devices down to deep cryogenic temperature. Solid-State Electronics, 194, 108319. 2022. doi: 10.1016/j.sse.2022.108319. • Gianini, L., et al. Silicon-Integrated Multiplexed Source of Time-Energy Entangled Photon Pairs with Emission Rate over 1 GHz. Paper presented at the European Quantum Technology Conference, Hannover, Germany. 2023. (Paper to be published in 2024). • Hartmann, J.-M., et al. Epitaxy of Group-IV Semiconductors for Quantum Electronics. ECS Transactions, 111(1), 53. 2023. doi: 10.1149/11101.0053ecst. • Olivier, S., et al. Building a quantum-grade integrated photonics platform to address the technological challenges of quantum communications and computing. Invited talk at the Photonics West Conference, San Francisco, US. 2023. • Piot, N., et al. A single hole spin with enhanced coherence in natural silicon. Nature Nanotechnology, 17(10), 1072-1077. 2022. • Virot, L., et al. High rejection filters based on cascaded ring resonators in a 300 mm silicon photonics platform. Paper presented at the Photonics West Conference, San Francisco, US. 2023. • Yu C., et al. Strong coupling between a photon and a hole spin in silicon. Nature Nanotechnology, 18(7), 741-746. 2023. 23 SCIENTIFIC REPORT 2023 World first: nanoscale electrostatic potential mapping in active micro-LEDs UtopikPhoto / CEA CEA-Leti research director Micro-LEDs play pivotal roles in lighting, displays, and detectors. Device performance is intricately tied to internal structures and electrostatic potentials, which— amid increasing miniaturization— require more and more precise measurement techniques. CEA-Leti made a significant stride in this direction, utilizing a combination of transmission electron microscopy (TEM) and off-axis electron holography to obtain nanometerresolution in situ measurements of the electrostatic potentials in a red-emitting AlInGaP micro-LED. Advanced characterization techniques instrumental in this world-first This research focused on micro-LEDs. A fully processed red-emitting AlInGaP micro-LED with well-established properties verified through standard luminescence techniques was examined. The device was prepared for in situ TEM analysis using a focused ion beam, ensuring a thin, operable region for observation. Advanced electron holography acquisition parameters were employed to achieve a balance between high spatial resolution and signal-to-noise ratio, facilitating the observation of electrostatic potentials during operation. From device to TEM experiment to theory. © CEA David Cooper Measurement plus simulation in combination deliver new information Impact Electric potentials were observed at the nanoscale for the first time ever in working devices, providing clear insights into how potential changes during micro-LED operation. This world-first advances our understanding of microLED operation and opens new avenues for the optimization and development of future opto-electronic devices. Research partners N/A Further reading Our in situ measurements and simulations revealed the evolution of electrostatic potentials within the micro-LED as it transitioned from a non-emissive to a light-emitting state. This data was correlated with the applied bias, providing a direct visual representation of the device’s operational mechanisms. The potentials measured were used to confirm the simulations of band structure and carrier injection in the devices. The ability to “see” how a micro-LED works from the inside has wider implications When you think of TEM, atoms spring to mind first. However, we used an electron biprism to create interference between the electrons passing through the sample and a reference electron wave to generate an electron hologram to “see” the changes in potential in the device during operation. While this project focused specifically on micro-LEDs for displays, the methods developed could be used to optimize and develop other semiconductor devices. • Denaix, L., et al. Inversion of the Internal Electric Field Due to Inhomogeneous Incorporation of Ge Dopants in GaN/AlN Heterostructures Studied by Off-Axis Electron Holography. ACS Applied Materials & Interfaces, 15(8), 11208-11215. 2023. • Cooper, D., et al. Mapping of the Electrostatic Potentials in a Fully Processed Led Device with nm-Scale Resolution by In Situ off-Axis Electron Holography. Small Methods, 2300537. 2023. 24 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING 3D integration: pulsed-laser annealing for accurate control of silicon recrystallization Sébastien Kerdilès CEA-Leti is continuing to drive advances in 3D integration with the development of new processes. Recently, nanosecond pulsed-laser annealing was applied in the melt and submelt regimes to accurately control silicon recrystallization, potentially boosting chip density and performance while limiting heat diffusion during fabrication. 3D integration presents severe thermal budget limitations Three-dimensional or vertically-stacked integrated circuits are currently one of the main paths toward more-thanMoore devices. However, 3D integration introduces significant thermal challenges, particularly for the upper layers of transistors. Traditional annealing processes, involving temperatures around 1,000 °C, can damage the bottom-layer transistors. CEA-Leti is pioneering the use of UV laser annealing, utilizing extremely short pulse durations to concentrate heat at the top surface, preserving the integrity of the underlying structures. This innovative approach is effective at lowering the thermal budget required for top-layer fabrication, thereby safeguarding the thermal stability of the entire system. Two approaches to pulsed-laser annealing to obtain silicon junctions To obtain high-performance silicon junctions compatible with 3D integration, we are investigating two main approaches using pulsed-laser annealing: in the melt regime and the sub-melt regime. • In the melt regime, the silicon region to be doped is brought into the liquid phase with a single highenergy laser pulse, followed by recrystallization. • In the sub-melt regime, multiple pulses are applied to trigger dopant activation and crystal healing. Both approaches have their advantages and disadvantages. We successfully demonstrated heavy phosphorus doping. Plus, with SOI structures, whose silicon layer is very thin, the optimal process conditions also happened to correspond to the near full-melt of the SOI layer, which means that perfect crystallinity can be obtained. Controlling melt depth accurately is crucial to limiting thermal dissipation in the device. Uniform laser beams with excellent repeatability are a must, as are well- © CEA UtopikPhoto / CEA CEA-Leti research engineer calibrated numerical simulations. Recent improvements in our models have supported even better results. Ion implantation for doping and amorphization We also investigated a solid-state approach, amorphizing and doping SOI structures via ion implantation. Here, we used nanosecond and microsecond lasers to induce solidphase epitaxial regrowth, applying several pulses at the same location to gradually recrystallize the silicon, again obtaining very high dopant concentrations, and, thanks to a new strategy of continuously adjusting laser energy density, an optimized silicon recrystallization rate. Impact Our recent advances in nanosecond laser annealing will contribute to generic modules for 3D integrations or any device fabricated on top of a traditional CMOS. The use of such an out-of-equilibrium annealing technique enables ultimately high active dopant concentrations relevant for advanced CMOS technologies. Research partners LASSE, a SCREEN company; CNRS-LASS (France) and CNR-IMM (Italy) under the EU MUNDFAB project. Further reading • Ricciarelli, D., et al. Impact of surface reflectivity on the ultrafast laser melting of silicon-germanium alloys. Materials Science in Semiconductor Processing, 165, 107635. 2023. • Chery, N., et al. Study of recrystallization and activation processes in thin and highly doped silicon-on-insulator layers by nanosecond laser thermal annealing. Journal of Applied Physics, 131(6), 065301. 2022. • Calogero, G., et al. Multiscale modeling of ultrafast melting phenomena. npj Computational Materials, 8(1), 36. • Alvarez-Alonso, A., et al. (2022). Optimization of solid-phase epitaxial regrowth performed by UV nanosecond laser annealing. MRS Advances, 7(36), 1310. 2022. • Tabata, T., et al. Microsecond non-melt UV laser annealing for future 3D-stacked CMOS. Applied Physics Express, 15(6), 065301. 2022. • Tabata, T., et al. Solid-phase recrystallization in Arsenic ion implanted SOI by microsecond laser annealing. IEEE Journal on Electron Devices Society, 10, 712. 2022. 25 SCIENTIFIC REPORT 2023 MARKET NEWS FROM OUR R&D PARTNERS EXTENDING MOORE’S LAW: CEA-LETI & INTEL TO DEVELOP ATOMICALLY THIN 2D TMDS ON 300 MM WAFERS USING LAYER TRANSFER TECHNOLOGY FOR FUTURE TRANSISTOR SCALING © B. Lavit / CEA Grenoble, France – June 19, 2023. CEA-Leti and Intel today announced a joint research project to develop layer transfer technology of two-dimensional transition-metal dichalcogenides (2D TMDs) on 300 mm wafers with the goal to extend Moore’s Law beyond 2030. Robert Chau, Intel Senior Fellow in Technology Development and Director of Intel Europe Research, said: “As we are relentlessly pushing Moore’s Law, 2D TMD material is a promising option for extending the limits of transistor scaling in the future. This research program focuses on developing a viable 2D TMDbased technology in 300 mm for future Moore’s Law transistor scaling.“ https://urlz.fr/nKFK 26 © ImageFlow / IRStone - Adobe Stock 01 I MATERIALS AND DEVICES FOR ENERGY EFFICIENT COMPUTING QUOBLY RAISES €19 MILLION Quobly (formerly Siquance) has successfully raised €19 million. The highest amount ever raised by an CEA-Leti start-up for a first funding round. The funding will accelerate the development of a fault-tolerant quantum processor for universal quantum computing. https://urlz.fr/nKH9 www.quobly.io WEEBIT NANO AND CEA-LETI QUALIFY RERAM MEMORIES FOR AUTOMOTIVE APPLICATIONS Source: ViPress.net Published by Pascal Coutance. August 22, 2023. 7/7, Automotive, Component, MT, Semiconductor, Techno. The Israeli company, which has a subsidiary in Grenoble, has qualified its ultra-low-power ReRam memories, made by its R&D partner, up to a temperature of +125°C specified for automotive-grade non-volatile memories. https://urlz.fr/nMYa 27 © Tatiana - Adobe Stock SCIENTIFIC REPORT 2023 28 02 I SMART POWER DEVICES SMART POWER DEVICES Gaël Pillonnet © UtopikPhoto / CEA Scientific Advisor to the Silicon Components Division With the electrification of the economy well underway, CEA-Leti’s historic expertise in silicon supported advances in everything from substrates and power components to converter topologies and energy storage in 2023. We continued to lead the way in silicon carbide substrate research, with notable advances in transferred silicon carbide substrates. We also made breakthroughs in insulated recessed-gate GaN transistors for more reliable power components, investigated highfrequency piezoelectric materials for increased power converter density, and introduced cutting-edge siliconbased microbattery performance optimization tools. 29 SCIENTIFIC REPORT 2023 CEA-Leti presents advances in transferred SiC substrates for electronics and photonics at ICSCRM 2023 © CEA CEA-Leti engineer CEA-Leti’s cornerstone research on silicon carbide substrates initially gained traction in 2019 with Soitec on booming demand from the electric vehicle market and a scarcity of monocrystalline silicon. Today, with four presentations at ICSCRM 2023 showcasing pioneering advances in transferred silicon carbide substrates for electronics and photonics, CEA-Leti continues to lead the way in silicon carbide and thin film transfer technologies. Impact The development of thin SiC film transfer techniques could provide a new supply of SiC wafers and an innovative toolbox for enhancing the performance of state-of-the-art technologies for power electronics, photonics, quantum, and nanoelectronics. A history advancing innovative substrates from lab to fab CEA-Leti’s successful partnership with Soitec led to the company’s launch of a SiC plant in Bernin, France, in less than five years. Although these developments were kept confidential at the time, more recent advances were shared at ICSCRM 2023, the International Conference on Silicon Carbide and Related Materials, where more than 700 physicists, engineers, scientists, and students came to discuss SiC and other innovative materials. Of the four abstracts CEA-Leti submitted to ICSCRM 2023, two earned spots for oral presentations, and two for poster presentations, providing a panorama of the latest advances coming out of CEA-Leti labs. Schematic view of the transferred SiC substrates developed at CEA-Leti. (a) SiCOI substrates for photonics and quantum; (b) vertically conductive SmartSiC™ substrates for power electronics. © CEA Julie Widiez Progress in SiC integration a key highlight at ICSCRM The four CEA-Leti presentations at ICSCRM illustrate significant strides in silicon carbide (SiC) integration for electronic and photonic applications, exploring the development of SiC-on-Insulator (SiCOI) structures using ion slicing technologies. These structures constitute a valuable tool to investigate the electrical properties of transferred SiC layers. A deeper understanding of the impacts of ion implantation and annealing processes will be vital to optimizing SiC-based device performance, and, further down the line, driving advances in applications where SiC plays a pivotal role, from power electronics to photonics and even quantum. Building the future of SiC together The advances presented at ICSCRM were not pioneered by CEA-Leti alone; an entire ecosystem of partners has contributed to this groundbreaking research. In addition to Soitec (for power applications), CEA labs LIFT and LAPS worked closely with the CEA’s LITP lab (on test vehicles on the PFP platform), CEA-Irig (on high-temperature annealing), plus CEA experts in bonding, implantation, and thermal annealing. CEA-Leti also has a number of SiC partnerships with academic research labs and/or companies (such as the MobiSiC and Transform projects). Finally, CEA-Leti is working on photonic applications with C2N, a CNRS laboratory located in Paris-Saclay. 30 02 I SMART POWER DEVICES Further reading • Gelineau, G., “Processing and electrical characterization of SiC-on-Insulator structures.” This study focuses on SiC-on-Insulator (SiCOI) structures fabricated using ion slicing technology. Thin film transfer techniques have gained popularity in SiC processing for power electronics and optical devices. The electrically insulating oxide layer between the receiving substrate and the transferred layer allows for the measurement of electrical properties affected by ion implantation before material recovery. Electrical characterization has demonstrated improved properties at higher annealing temperatures, indicating progressive restoration of the transferred material’s electrical characteristics. SiCOI substrates prove to be valuable for investigating thin 4H-SiC layers, providing insights into electrical behavior and potential applications in power electronics and optical devices. • Masante, C., “Electrical characterization of 200 mm 4H-SiC-on-polycristalline SiC wafers bonding interface.” This study focuses on the electrical characterization of 200 mm 4H-SiC-onpolycrystalline wafers. These substrates possess lower resistivity, which reduces device access resistance and allows for flexible backside processing for ohmic contact fabrication. A lateral design test vehicle with circular electrodes was employed to extract the bonding interface resistivity. FE-modeling techniques were used to calculate resistance and determine the bonding interface resistivity. The results indicate a low bonding interface resistivity, offering significant resistance reduction for power devices. Furthermore, backside ohmic contact was achieved without the need for a silicide layer and high-temperature annealing, providing further confirmation of the potential of the transferred SiC layer on poly-SiC substrates in power electronics applications. • Prudkovskiy, V., “Confirmation of the growth mechanism of the buffer layer in epitaxial graphene on SiC.” Epitaxial graphene on SiC, referred to as epigraphene, holds substantial promise for nanoelectronic applications. To ensure successful application, comprehending the growth mechanism of epigraphene on SiC is paramount. This study confirms the thermal decomposition epitaxy process for epigraphene growth on SiC, in which the SiC substrate serves as a carbon source. This growth procedure yields a multilayer graphene stack. Furthermore, the study reveals that the transition from graphene to a ‘buffer’ layer takes place during the cooling down phase. Subsequent annealing of the sample preserves the integrity of the graphene-SiC interface. This research provides invaluable insights into the growth process of epigraphene on SiC and its potential for nanoelectronic applications. • Huet, S., “Demonstration of SiC-on-insulator substrate with Smart Cut™ technology for photonic applications.” SiC-on-insulator (SiCOI) substrates utilizing Smart Cut™ technology facilitate costeffective and high-quality photonic circuits. The produced 150 mm SiCOI wafers exhibit exceptional quality, boasting low roughness and minimal defects. Waveguides and microring resonators are intricately designed on SiCOI substrates, with simulations indicating promising modal behavior and a high power density conducive to nonlinear optical effects. This work validates the successful transfer of a 500 nm monocrystalline SiC layer onto an insulating SiO2 layer, thereby opening avenues for efficient and commercially viable SiC-based photonic applications. 31 SCIENTIFIC REPORT 2023 Insulated recessed gate GaN power transistors enable promising normally-OFF architecture Pedro Fernandes Paes Pinto Rocha © CEA CEA-Leti PhD candidate The size, energy efficiency, reliability, and integration requirements for power components are tougher than ever, and the search for breakthroughs that will lead to more advanced normally-OFF architectures for a wide variety of markets is underway. CEA-Leti and STMicroelectronics made advances on an insulated recessed-gate GaN (gallium nitride) power transistor that will improve device reliability. Fully-recessed MIS gates could solve GaN power transistor overvoltage reliability problems Impact Insulated recessed-gate GaN power transistors are poised to revolutionize power conversion for solar energy, onboard chargers, USB-C chargers, data centers, and more. CEA-Leti, CNRS, and STMicroelectronics brought advanced etching and dielectric deposition techniques to a technology that holds immense promise for the future of power electronics. Research partners STMicroelectronics, CNRS. 32 According to the Yole Group’s Power GaN 2022 report, the GaN power component market is expected to see double-digit growth over the next several years. As costs come down and performance improves, GaN devices will make increasing inroads into the consumer power supply, automotive, and telecoms/datacoms markets, the report posits. Although GaN-on-Si power transistors offer smaller devices and higher power densities than silicon MOSFETs, the conventional p-GaN gate architecture is plagued by reliability issues, not least of which is a tendency to fail under even slight overvoltages. Fully-recessed MIS gate GaN power transistors also offer a wider gate voltage swing and lower gate leakage current than silicon MOSFETs, making them a promising solution. CEA-Leti contributed to a number of advances with STMicroelectronics that will help position the semiconductor giant to better address the booming power GaN market. Review paper highlights a series of recent advances in fullyrecessed MIS gate structures There are a number of challenges to harnessing all the advantages of insulated recessed-gate transistors. A primary concern is the optimization of interfaces between the insulator and AlGaN/GaN to minimize interface trapping states and enhance current flow. Controlling the insulator charge is also crucial. The most recent developments in manufacturing processes have focused on: • Wet cleaning, thermal treatment, and plasma treatment to obtain a higher-quality surface. • Low-impact etching and atomic layer etching (ALE) for the gate recesses. • Interfacial layers (AlN, in this case) to further reduce power losses. • Alternative materials for thin film dielectric layers to improve reliability © V. Guilly / CEA 02 I SMART POWER DEVICES 200mm wafer with insulated recessed-gate GaN power transistors from CEA-Leti. All of these process steps—from surface preparation to etching and the deposition of the dielectric layer—must be carefully controlled to obtain the desired device specifications. Proper characterization of the damage induced by plasma-assisted etching and industriallyviable process integration present additional challenges that must still be addressed. The outlook for power electronics Insulated recessed-gate GaN power transistors have the potential to redefine power conversion systems for solar panels, on-board chargers, USB-C chargers, and data centers. The innovative transistors developed by CEA-Leti, CNRS, and STMicroelectronics harness cutting-edge etching and dielectric deposition techniques and will position STMicroelectronics to drive adoption of its power GaN products by these markets. Further reading • P. Fernandes Paes Pinto Rocha et al., Recent Developments and Prospects of Fully Recessed MIS Gate Structures for GaN on Si Power Transistors. Energies 2023, 16, 2978, 2023, doi: 10.3390/en16072978. • B. Mohamad et al., Deep Insights into Recessed Gate MOS-HEMT Technology for Power Applications, 2023 7th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Seoul, Korea, Republic of, 2023, pp. 1-3, 2023, doi: 10.1109/EDTM55494.2023.10102971. • C. Piotrowicz et al., Impact of Gate Morphology on Electrical Performances of Recessed GaN-on Si MOS channel-HEMT for Different Channel Orientations, 2023 IEEE 35th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Hong Kong, 2023, doi: 10.1109/ISPSD57135.2023.10147642. 33 SCIENTIFIC REPORT 2023 New model for the optimization of high-energy-density microbatteries for IoT devices Sami Oukassi © S. Barbier / CEA CEA-Leti engineer On-chip batteries will play a key role in our increasingly connected, electrified, and sustainable world. As wireless sensor technologies improve and the Internet of Things expands, the need for tiny, high-energy-density solid-state integrated batteries for a rapidly-growing number of use cases is urgent. CEA-Leti is pushing back the frontiers of on-device energy storage with innovative battery performance optimization tools. Thin-film fabrication and advanced characterization vital to improving performance In this research, CEA-Leti fabricated innovative thin-film batteries on the institute’s TINY platform. Standard microfabrication techniques and a 200 mm wafer integration process flow were used to produce sub-square-millimeter microbatteries with a specific areal capacity five times that of today’s commercially-available products. The batteries also exhibited exceptional power density and virtually no capacity fading over hundreds of cycles. These remarkable attributes are ideal for the longer battery life and small form factor needed for IoT devices. Thin film batteries fabricated on 200 mm Tiny technology platform. Impact Improved thin-film battery performance is the most promising avenue to meet growing demand for on-chip energy storage for IoT. While electrode design and material offer limited optimization potential with the currently-available technologies, charge-discharge protocol optimizations and associated new circuit topologies represent viable options. Research partners N/A • Celè, J., et al. Minimal Architecture Lithium Batteries: Toward High Energy Density Storage Solutions, 2023, doi: 10.1002/smll.202207657. 34 © CEA Further reading 02 I SMART POWER DEVICES Towards viable and more sustainable alternatives to lithium metal Lithium metal, used in battery anodes, represents one of the major environmental hurdles to the adoption of the technology. Here, we focused on an anode-free model system utilizing a transition-metal dioxide (LiCoO2), an inorganic lithium phosphorus oxynitride (LiPON) glass electrolyte, and a titanium current collector. We were able to demonstrate full-stack cyclability, making this a viable alternative to lithium metal. In addition, the maximal discharge capacity was measured at 1.5 mAh.cm-2, unprecedented for thin film batteries. Plus, when this anode-free model was coupled with a 100% active cathode, the battery demonstrated a volumetric capacity of up to 1,400 Wh/l. We also investigated different cathode thicknesses: There was a linear correlation between thickness and delivered capacity for high current densities of up to 2 mA/cm². Diffusion limitations only emerged when the state of charge (SOC) fell below 50%, with thicker electrode designs proving advantageous as long as the battery charge remains above this threshold. Overall, this model appears to be very promising for a wide variety of on-chip energy storage needs. Modeling the future of microbatteries A comprehensive physical model, which aligns closely with our experimental results, was developed based on this research. It also factors in performance variations depending on design and architecture, making it a useful and relevant tool for the optimization of microbatteries for a variety of use cases, including medical devices. 35 SCIENTIFIC REPORT 2023 Piezoelectric materials could enable more compact, efficient power converters Ghislain Despesse © CEA CEA-Leti Research Director When operated at high frequencies, certain piezoelectric materials display exceptional quality factors and power densities. CEA-Leti and an academic research partner have been investigating how to bring these remarkable properties to power conversion in the form of a new inductor-free power converter concept based on mechanical, rather than magnetic, energy storage. Demand for smaller passive components strong on mobile device growth One of the most common approaches to achieving more compact, efficient power electronics has been to target passive components. Shrinking power converters often means increasing the switching frequency to allow smaller energy storage elements. While it is true that inductors, a kind of passive component commonly used in power electronics, do get smaller as switching frequencies increase, you eventually hit a wall due to the components’ magnetic properties and thermal management issues. Not to mention the fact that integrating efficient magnetic materials and coils in the Ampere range on chips is difficult—if not impossible—using today’s fabrication processes. CEA-Leti has been driving advances in piezoelectric materials that could help solve this problem. Moving from magnetic to mechanical energy storage not a straightforward swap In addition to improved quality and coupling factors, piezoelectric materials eliminate the need for winding and core assembly, which means a more streamlined manufacturing process. Plus, their planar profile makes them ideal for low-profile applications in mobile devices. This research firmly establishes piezoelectric resonators for step-down voltage DC/DC conversion and veryhigh-frequency DC/AC conversion. These developments, coupled with novel highfrequency control loops, have resulted in power output of 100 W (170 W transient) at 1 MHz. Research partners Dr. François Costa, Director, Systèmes et Applications des Technologies de l’Information et de l’Energie (SATIE), UMR 8029 & professor at Université Paris Est Créteil. 36 © Satie Impact Dr. François Costa CEA-Leti and SATIE, a Université Paris Est Créteil-CNRS lab headed by Dr. François Costa, have been working together for the past several years on piezoelectric resonators (PRs) for energy storage, looking at ways to solve the new challenges created by using these tiny mechanical systems instead of conventional magnetic inductors in power converters. CEA-Leti’s research with SATIE has led to the development of new topologies that fully exploit the potential of PRs for power conversion use cases. “Our lab has been working on piezo transformers for a long time, but this original research on piezo resonators is new for us. It is particularly gratifying for me to have moved from simple observer, sitting on PhD dissertation juries at CEA-Leti, to a full-fledged partner in this exciting research,” said Costa. 02 I SMART POWER DEVICES Most recently, our research produced three new converter types, one for step-down voltage DC/DC conversion, one for isolated DC/DC conversion, and one for very-highfrequency DC/AC conversion. Notably, the step-down voltage converter, which uses PZT (lead zirconate titanate, a common piezoelectric material), exhibited a power density increase as the operating frequency rose, achieving up to 100 W at 1 MHz. The very-high-frequency converter, which uses a φ2 VHF topology, was combined with a specific LNO (lithium niobate) resonator and ZVS (zero voltage switching) regulation loop. The device allowed us to demonstrate the piezoelectric material’s operation at 20.6 MHz. Driving is another challenge that must still be addressed. We also modelled and generalized the piezoelectric conversion principle to step-up conversion, step-down conversion, and high/low conversion ratios, something that is vital to the development of generic control loops for high-frequency operation. Comparing the piezoelectric material to an inductor operating at the same power level revealed a substantial volume gain of more than sevenfold, underlining the transformative potential of an innovation born from a particularly fruitful partnership with an academic research lab. Costa said, “CEA-Leti has manpower and R&D equipment that goes beyond what most university labs have access to, with resources you know will be around for a long time. I am proud of the world-first we achieved together.” © CEA Innovative topologies for two novel piezo-enabled power converters Step-down voltage converter (100 kHz to 1 MHz). Further reading • Breton, V., et al. A New Isolated Topology of DC–DC Converter Based on Piezoelectric Resonators. IEEE Transactions on Power Electronics, 38(8), 10012-10025, 2023, doi: 10.1109/TPEL.2023.3276478. • Bigot, E., et al. Mathematical resolution of a PR-based power converter. In conf. JNRSE 2023, Paris, France, 2023. • Touhami, M., et al. A New Topology of DC–DC Converter Based on Piezoelectric Resonator. IEEE Transactions on Power Electronics, 37(6), 6986-7000, 2022, doi: 10.1109/TPEL.2022.3142997. © CEA • Massavie, V., et al. Class φ2 ZVS regulation applied to L-Piezo inverter. In 2022 20 th IEEE Interregional NEWCAS Conference (NEWCAS) (pp. 490-494). Quebec City, QC, Canada, 2022, doi: 10.1109/NEWCAS52662.2022.9841975. Very-high-frequency converter L-piezo 10.3 kHz). • Pereira, L. d. A., et al. Operating Frequency Prediction of Piezoelectric DC–DC Converters. IEEE Transactions on Power Electronics, 37(3), 2508-2512, 2022, doi: 10.1109/TPEL.2021.3115182. • Massavie, V., et al. Comparison between Piezoelectric Filter and Passive LC Filter in a Class L−PiezoInverter. Electronics, 11(23), 3983, 2022, doi: 10.3390/electronics11233983. 37 SCIENTIFIC REPORT 2023 MARKET NEWS FROM OUR R&D PARTNERS CONCENTRATED COMPETENCE IN BATTERY MANAGEMENT: VITESCO TECHNOLOGIES FRANCE COOPERATES WITH CEA Toulouse, June 13, 2023. • First results were presented at the EVS36 symposium from June 11, to June 14, in the United States. • SWIBA project for better performance and durability of electric vehicles. • New solution allows to optimize the costs as well as the second life of the battery in electric vehicles. After three years of research and development, Vitesco Technologies, a leading international provider of modern powertrain technologies and electric mobility solutions, presents the first outcome of its partnership with the CEA (Commissariat à l’énergie atomique et aux énergies alternatives) in France: Together they have developed a “switched battery" (SWIBA) management technology that further improves the overall performance of the powertrain of electric vehicles by increasing their range and reducing their fast charge time, while optimizing the cost of charging, and increasing the life of the battery. The second life of the battery is also facilitated. Nicolas Léto, innovation project manager at Vitesco Technologies France said: “The battery is the key and most expensive element of electric vehicles. Great progress is being made every day on cell technology. However, in the current approach, battery packs remain limited because they are static, and are ultimately only basic energy reservoirs. Our new SWIBA technology brings dynamic electronic control into the battery pack to optimize energy management, provide new functionalities and ultimately reduce its cost and environmental impact.“ https://urlz.fr/nLOL 38 © Blue Planet Studio / AdobeStock © ImageFlow / IRStone - Adobe Stock 02 I SMART POWER DEVICES 39 SCIENTIFIC REPORT 2023 MARKET NEWS FROM OUR R&D PARTNERS ELECTRIC VEHICLES: CEA AND RENAULT GROUP DEVELOP A VERY HIGH EFFICIENCY BIDIRECTIONAL ON-BOARD CHARGER © Renault Published on 19 January 2023. • This more compact, high-efficiency charger will reduce energy losses by 30% and recharge the vehicle’s battery faster. • The bidirectional charger will also allow the connected vehicle to inject energy from the battery into the electrical network. • The subject of 11 patents, this unique French innovation will be deployed on Renault vehicles by the end of the decade. Jean-François Salessy, Vice-President Advanced Engineering, Renault Group said: “This project with the CEA has exceeded our expectations by confirming the ability to achieve the expected performance in terms of efficiency and compactness. It opens up strong prospects for power electronics, which is a real challenge in the electric vehicle, in order to make the best use of the batteries’ capacities. With bidirectional charging, the vehicle serves the electrical network and enables the end consumer to reduce energy costs.“ https://urlz.fr/nLQs 40 02 I SMART POWER DEVICES SKIING MORE EFFICIENTLY THANKS TO A FRENCH ALPS-BASED COLLABORATIVE INNOVATION Published on 13 February 2023. Established by a consortium of stakeholders from the Auvergne-Rhône-Alpes region, the Smart Ski Experience project explores a new connected ski technology that will offer snow sports enthusiasts an unprecedented ski experience. Early experiments rely on an energy self-sufficient sensor placed on skis, which will communicate with smartphones via Bluetooth in order to view a skier’s overall technical control and level. It combines key parameters such as the skis’ impact in curves, frequency of execution in turns, and speed. The project’s R&D budget is €1M, with 50% funding from the Auvergne-RhôneAlpes region, as part of its support to Public-Private partnerships in Innovation. It is supported by Cluster Montagne. © Louis Garnier Philippe Watteau, CEA Director of Technological Research Innovations, said: https://urlz.fr/o4w4 “The Smart Ski Experience is a wonderful illustration of the innovative capacity of YSPOT combined with CEA reserach institutes. Our teams have been supporting Rossignol to define new user needs, develop technical evolutions arising from market requirements, launch key local stakeholder collaborations such as Lumiplan, and demonstrate value propositions via field experiments. Together, we are proud to be unveiling and offering the unique Smart Ski Experience to all mountain lovers gathered today in Courchevel!“ 41 © id512 - Adobe Stock SCIENTIFIC REPORT 2023 42 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION Alexei Tchelnokov © UtopikPhoto / CEA Scientific Advisor to the Optics and Photonics Division CEA-Leti is active across the imaging value chain, from sensor to display. In 2023 we developed simulation techniques for CZT detector R&D. In infrared imaging, we continued to drive advances in SWIR and MWIR to bring our R&D partners better size, weight, power, and cost tradeoffs. Imagers for space will benefit from new insights into the origins of Random Telegraph Signals in HgCdTe FPAs exposed to proton irradiation. We also studied nanostructured meta-optics for highenergy laser chains for sustainable nuclear fusion and brought our hardware and software expertise to new signal processing approaches for conventional Time-of-Flight (ToF) histogram acquisition schemes. 43 SCIENTIFIC REPORT 2023 Semiconductor development to benefit from GPU-accelerated CZT detector simulation Guillaume Montémont © E. Tolwinska - CEA CEA-Leti research engineer Simulation is an important tool in the development of semiconductor devices like cadmium zinc telluride (CZT) detectors, which, due to their excellent properties, are ideally suited to medical imaging and security applications—two areas where reliability is crucial. And yet, the simulations used in the development of these devices incorrectly assume that the semiconductor material is flawless. CEA-Leti has been working on more advanced simulation techniques that would allow imperfections to be introduced, making the simulations more realistic. More realistic simulation for more reliable devices Unlike simulated CZT detectors, real-world devices are far from perfect. Structural defects that occur during the growth of the substrate impact performance. What’s more, dynamic phenomena like polarization degrade the estimation of incident photon energy and position. Detector performance would be improved dramatically if these defects could be accurately characterized and corrected for. When it comes to simulation, this means developing a model that can capture the complexities of non-uniform electric fields in the detector and implementing a solution to the computational burden this complexity creates. A GPU-accelerated model that can handle non-uniformity Impact CEA-Leti made new advances in GPUaccelerated simulation that could result in higher-performance CZT detectors. Research partners N/A 44 At CEA-Leti, we have been using graphics processing units (GPUs) to parallelize the simulation of millions of photons and speed up the calculations—vital to obtaining realistic simulations—with the goal of developing a tool to analyze the impacts of real defects and calibrate the detectors to correct for them. New insights into defects for better-calibrated detectors Our model accepts changes to the electric field, so that we can rapidly observe changes in the detector’s behavior. In this research, we introduced different types of spatial defects likely to be found in real CZT crystals (point-like, planar, etc.), using the GPU-accelerated simulation to quickly and easily visualize the impact of these defects on the detector’s spatial and spectral response. By understanding the specific nature and positioning of defects, they can be corrected for. This will ultimately make the detectors more reliable. 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION © CEA Top view of the area surrounding one pixel. Color indicates the simulated intensity of the signal measured by pixel as a function of incident photon position. The impact of a higher conductivity region is mainly seen as a reduction of signal intensity. Further reading • Delcourt, A., et al. GPU-accelerated CZT detector simulation with charge build-up effects. Journal of Instrumentation, 18, P02005, 2023. 45 SCIENTIFIC REPORT 2023 ADVANCES IN INFRARED IMAGING When it comes to imaging technologies, the push for smaller pixel pitches is on, bringing with it new obstacles to finding the best tradeoffs between size, weight, power, and cost—all without sacrificing detection performance. CEA-Leti is driving advances in short- and medium-wave infrared (SWIR and MWIR) imaging. InGaAs is the legacy material for SWIR imaging. Developed primarily for the security and defense market, the technology is used in high-performance vision systems designed for deployment in degraded environments. However, as autonomous driving, industrial automation, and smart agricultural systems take hold, higher-volume consumer and commercial markets are driving new demand for SWIR among CEA-Leti partners. These markets are hungry for more affordable, compact, and powerful detectors. In terms of R&D, this creates the challenge of how to shrink pixels without negatively impacting performance. In 2019, the CMOS imaging market leader disrupted SWIR imaging with heterogeneous integration. By fabricating InGaAs pixels on large silicon substrates, it became possible to volume-manufacture the devices in CMOS foundries at a low cost. The current state of the art in InGaAs pixels on silicon read-out integrated circuits (ROIC) is a 5 μm pitch (with greater than 75% quantum efficiency 46 CEA-Leti is investigating a new shallow-mesa architecture’s potential for further reducing pixel pitch while achieving state-of-the-art quantum efficiency and dark current noise. The chosen fabrication process involved doping the substrate in situ during epitaxy. The p/n junction was created by etching the top p-type layer between the pixels. However, unlike other mesa-type device fabrication processes, CEA-Leti’s etching step only removes the p-doped region from the top stack, resulting in a “shallow” mesa. “This is a low-thermal-budget process that bodes well for full integration in a Si-CMOS-compatible fab. We also fine-tuned the doping and thickness of the barrier n-InP layer and the doping concentration of the contact layer, arriving at a set of doping concentrations and thicknesses that suppresses the barrier for hole collection. Passivation with a Jacques Baylet dielectric was carried out using two different processes for comparison to see which one had the most impact on dark current noise,” said CEA-Leti’s Jacques Baylet. Schematic cross section of a shallow-mesa-type photodiode. © CEA The race to smaller pixels and an optimal SWaP-C tradeoff for SWIR detectors at 1.2 μm and dark current noise reported as low as 2 nA/ cm2 at 0.1 V and 23 °C) in research conducted at Sony. © UtopikPhoto / CEA © UtopikPhoto / CEA Infrared imaging “sees” what is invisible by giving us access to electromagnetic information that the human eye cannot detect. The potential applications are vast. “Currently, silicon is the material of choice for imaging in the visible spectrum. However, for wavelengths above 1 µm, the material is transparent. Other semiconductors are needed to absorb infrared light and convert it into electrons to produce an image,” Sebastien said CEA-Leti’s Sebastien Becker. Becker © Mariedofra - Adobe Stock 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION As MWIR detector pixels get smaller, CEA-Leti strives to make them better Further across the electromagnetic spectrum, HgCdTe crystals (II-VI semiconductors) have historically been the materials of choice for MWIR solutions for the defense market. The need for longer-range vision systems is the main driver of innovations in MWIR detectors, and international competition to achieve smaller pixels and greater performance is fierce. Today’s market is centered around 15 µm pitch products, with 7.5 µm just over the horizon. CEA-Leti and partner LYNRED have already demonstrated the ability to industrially manufacture 7.5 µm detectors. CEA-Leti’s ambition is to shrink the pixel pitch to the theoretical diffraction limit (5 µm) while pushing detection performance beyond the state of the art in terms of sensitivity, noise, and operating temperature. In 2023, we continued to work with LYNRED with three objectives in mind. The first was to demonstrate a viable technology to manufacture IR detectors operating at 130 K or higher with low pixel defectivity and high performance. The second objective was to provide a shorter process flow than for the previous technology. The final objective was to transfer the technology to LYNRED for production. The research will have a significant impact on LYNRED’s future production of high-performance MWIR imaging systems offering both high-resolution images and high operating temperatures—a strong advantage over competitors. “While the details of the increase in image quality obtained are confidential, they are enough to be considered a game changer in high-performance IR imaging systems. The faster processing times could also be a source of savings, but this remains to be confirmed once production has begun,” said Olivier CEA-Leti’s Olivier Gravrand. Gravrand © UtopikPhoto / CEA The photodiodes were then tested and compared. The measurement for 3 µm pixel pitch shallow mesa photodiodes fabricated using this process is the first published for such a small pitch. The dark current density obtained was as low as 30 nA/cm2 for a 3 µm pixel pitch, and as low as 5 nA/cm2 for a 5 µm pixel pitch at 0.1 V and at room temperature. The reason for the increasing dark current density at smaller pitches merits further study and could provide further insights into how to improve the performance of small-pitch photodiodes. Further reading • Baier, N., et al. Small pixel pitch MCT P on N MWIR photodiodes at DEFIR: towards 7.5μm and beyond with very high image quality. In Proceedings of Infrared Technology and Applications XLIX, SPIE, Vol. 12534, 1253413. 2023. doi: 10.1117/12.2663760. • Bustillos Vasco, S., et al. Modulation Transfer Function Measurements by Electron-Beam-Induced Current of HgCdTe Planar Diode with Small Pitch and High Operating Temperature. Journal of Electronic Materials, 52, 7081–7088. 2023. doi: 10.1007/s11664-023-10655-9. • Rubaldo, L., et al. Sub-10μm pitch HOT technologies development at Lynred. In Infrared Technology and Applications XLIX, SPIE Proceedings Vol. 12534. 2023. • Tillement, J., et al. Design and Characterization of 5 μm Pitch InGaAs Photodiodes Using In Situ Doping and Shallow Mesa Architecture for SWIR Sensing. Sensors, 23, 9219. 2023. doi: 10.3390/s23229219. 47 SCIENTIFIC REPORT 2023 New analysis capabilities could help make future infrared detectors more robust Nicolas Baier © UtopikPhoto / CEA CEA-Leti research engineer Over their lifetimes, satellites in orbit are subjected to harsh radiation from the sun. On Earth, it is difficult to test how much scientific payloads like infrared detectors will degrade over time in orbit due to exposure to this radiation, except in advanced research facilities. CEA-Leti was able to gain new insights into the impacts of radiation on detector performance that will help extend the lifetimes of infrared images on board future satellites. Assessing the durability of low-temperature HgCdTe infrared detectors in space Impact The ability to more effectively verify the robustness of new designs will be vital to research partner Airbus Defence and Space in developing solutions to extend the lifetime of infrared imagers in space. Research partners ISAE-SUPAERO, Airbus Defence and Space. 48 The purpose of this research was to gain a deeper understanding of the performance and degradation of low-temperature HgCdTe infrared detectors, instruments that are often included in scientific payloads, when exposed to the kind of radiation experienced in orbit—and that is virtually non-existent on Earth. Special instruments like proton accelerators and gamma sources are required to replicate these particularly harsh conditions in a controlled setting. Random telegraph signals in the crosshairs The strategy employed in CEA-Leti’s research was to expose the components to radiation over several irradiation sequences to simulate the detector’s state at various stages in its lifecycle. The idea was to zoom in on a particular kind of radiation-induced noise known as random telegraph signals. The affected pixels may intermittently display normal behavior, which makes the problem hard to detect. By tracking the increase in affected pixels and studying their properties and dynamics over time, we were able to get a clear picture of the impact on detector performance. 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION “Rest” could help damaged pixels recover During irradiation After irradiation © CE A © CEA Exposure to radiation during operation creates defects in infrared detectors. Some of these are immediately obvious, but others are only revealed once the detector heats back up to ambient temperature. Not knowing whether a detector has latent defects that will manifest themselves during the detector’s lifetime is a real problem. We observed that heating the detector up to a temperature slightly higher than ambient temperature (less than 100°C) can “heal” some of the defects, and those remaining tend to shift towards less impactful configurations. Unfortunately, this annealed is not possible in space. Before irradiation Ségolène Dinand CEA-Leti PhD student Ségolène Dinand, who authored an article in IEEE Transactions on Nuclear Science about the research, also won a William E. Spicer - Thomas N. Casselman Best Student Paper Award at the U.S. Workshop on the Physics and Chemistry of II-VI Materials (II-VI Workshop) for this research. Birth of an RTS behavior in one pixel after a strong event during irradiation Further reading • Dinand, S., et al. Proton Radiation-Induced Random Telegraph Signal in HgCdTe Photodiode Array. IEEE Transactions on Nuclear Science, 70(8). 2023. 49 SCIENTIFIC REPORT 2023 Pierre Brianceau Meta-optics for inertial confinement fusion laser facilities Jérôme Neauport Research institutes around the world are investigating high-energy laser chains as a path toward nuclear fusion. While the ability to obtain nuclear fusion reactions with a net energy gain was demonstrated at a US Department of Energy Lab in 2022, several challenges must still be overcome. One of them is the occurrence of optical-component-damaging nonlinear Kerr effects at high laser intensities. A recent advance by CEA-Leti and partners could help change that. © UtopikPhoto / CEA CEA-Leti research engineer © CEA-Cesta CEA-Cesta research engineer Nicolas Bonod CNRS-Institut Fresnel research engineer © CNRS Silica optics subject to damage under high-power lasers Impact Nanostructured metasurfaces were implemented in novel silica waveplates capable of withstanding high laser fluence. The meta-optic successfully delayed the Kerr effect and could potentially also be used to manipulate polarization for optical smoothing to limit instability during laser-target interaction. Research partners CEA-CESTA, Institut Fresnel (CNRS, AMU, Centrale Marseille) 50 While the advance at the National Ignition Facility (NIF) in the US made headlines in 2022, France also has its own powerful laser-based inertial confinement fusion facility, the Megajoule Laser (LMJ) near Bordeaux. This facility will have 176 beams delivering linearly-polarized nanosecond laser pulses, which, after amplification in the near-infrared and frequency tripling to reach a wavelength of 351 nm, pass through transparent silica optics and converge on a target located at the center of a large spherical chamber. This is where the Kerr effect comes in, potentially causing the beams to self-focus in the silica. For high-power lasers like the ones at NIF and LMJ, this self-focusing phenomenon can create multiple filaments, damaging the silica optics. This presents a potential obstacle to increasing the power of these lasers. A new approach to converting linear polarization to circular The Kerr effect depends on the polarization of the laser beam and can be mitigated by circular polarization. Linear-to-circular polarization conversion can be obtained using a quarter-wave plate. The problem is that the anisotropic materials used to make quarter-wave plates would be damaged by such powerful lasers. So, an alternative approach to effectively manipulating the beams was needed. Amorphous silica is compatible with high-power laser beams but does not affect polarization in its usual form. This is not the case, however, when the material is nanostructured. © CEA 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION Nanostructured silica for quarter-wave plate application. Metamaterial tames laser filamentation To make the new quarter-wave plate, the amorphous silica was structured with deep and closely spaced linear grooves to obtain the required specifications: a period smaller than the laser wavelength, a substantial aspect ratio, minimal phase delay with high transmittance, and the ability to withstand laser fluence. The nanostructured meta-optics successfully controlled filamentation in glass under circular polarization—a breakthrough. Further reading • Bonod, N., et al. Linear-to-Circular Polarization Conversion with Full-Silica Meta-Optics to Reduce Nonlinear Effects in High-Energy Lasers. Nature Communications, 14, 5383, 2023, doi : 10.1038/s41467-023-40709-9. • Bonod, N., et al. Full-Silica Metamaterial Wave Plate for High-Intensity UV Lasers. Optica, 8, 1372-1379, 2021, doi: 10.1364/OPTICA.434662. Collaboration between partners instrumental These results would not have been possible without exemplary collaboration between CEA-Leti and its partners. CEA-CESTA and CNRS-Institut Fresnel came up with the idea and designed the experiment; CEA-CESTA analyzed and supervised the optical metrology and performed laser damage experiments; Institut Fresnel performed the numerical modeling; and CEA-Leti developed and executed the half-scale sample fabrication process. Scaling this technology to full-sized optical components presents an exciting challenge with enormous potential benefits for high-energy laser facilities and, ultimately, sustainable nuclear fusion. 51 SCIENTIFIC REPORT 2023 Algorithm-architecture co-design for compact representation of ToF pixel data William Guicquero © UtopikPhoto / CEA CEA-Leti research engineer CEA-Leti combined its hardware and software expertise to investigate the benefits of new signal processing approaches to conventional Time-of-Flight (ToF) histogram acquisition schemes. Among the techniques developed are compressive sensing and expectation-maximization algorithms. Both turned out to be promising avenues for reducing hardware design constraints in active direct ToF 3D imaging based on SPAD pixels. Direct time-of-flight for active 3D imaging Direct time-of-flight (D-ToF) imagers, which detect the time-of-arrival of reflected light pulses from a synchronized laser, are one of the key enablers for active 3D imaging, which has a wide range of applications from manufacturing to defense. A device called a single photon avalanche diode (SPAD), which is affordable, low-noise, and effective, is generally used to detect the photons’ arrival. Finally, a histogram of ToF data is generated using a technique called time correlated single photon counting (TCSPC). Several challenges—background noise, bulky in-pixel circuits, and large volumes of data—must be overcome to make the combined use of TCSPC and SPAD a viable solution. From photons in the wild to meaningful data, a study of TCSPC physical models and processing variants. These innovations represent significant strides in the field of depth sensing, offering promising avenues for future research and development. By addressing the inherent challenges in SPAD sensors and TCSPC histograms, we have laid the groundwork for more efficient, accurate, and versatile depth-sensing technologies based on D-ToF active 3D imaging. Research partners N/A 52 © CEA Impact Overcoming the challenges of TCSPC and SPAD To address the issues preventing the widespread adoption of TCSPC and SPAD for 3D imaging, our first objective was to thoroughly review all working modes for TCSPC, utilizing a physically-plausible SPAD sensor model. Secondly, we explored alternatives to the conventional ToF histogram acquisition scheme, focusing on compressive sensing (CS), which is data-agnostic by design, and expectation-maximization (EM), which is intrinsically data-driven. The idea was to arrive at a balanced view of the advantages and potential drawbacks of both techniques and their capacity to enable concrete and practical sensors design with improved characteristics. Finally, we also investigated the application of today’s deep learning models for depth map rendering. © 3d_kot - Adobe Stock 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION Findings promising for tomorrow’s depth sensing technologies We established that ToF histograms from high photon counts in synchronous modes could be accurately modeled using a mixture of truncated-shifted Erlang distributions. A customized EM algorithm was proposed for precise pixel parameter estimation. A novel 2-stage online EM algorithm was developed for efficient peak detection, coupled with a pixellevel hardware implementation. This approach demonstrated a substantial compression ratio of 0.4% and a 40% reduction in pixel pitch, all without sacrificing performance. A pixel-wise CS approach was also designed, with its hardware implementation. Beyond showcasing the effectiveness of information retrieval via a LASSO type of algorithm and enabling a practical pixel pitch reduction, the CS data can also feed deep generative models (DGM) for reconstruction. Further reading • Poisson, V., et al. Deep learning depth-intensity reconstruction from compressive TCSPC SPADbased imaging, 2023. • Poisson, V., et al. TCSPC histogram data modeling: A custom EM algorithm dedicated to a mixture of truncated-shifted Erlangs, 2023. • Poisson, V., et al. A 2-Stage EM Algorithm for Online Peak Detection, an Application to TCSPC Data. IEEE Transactions on Circuits and Systems II: Express Briefs, 69(9), 3625-3629, 2022, doi: 10.1109/TCSII.2022.3181687. • Poisson, V., et al. Histogram Compressive Sensing using Shuffled Cellular Automata: the TCSPC sensor use case. In Proceedings of the 20th IEEE Interregional NEWCAS Conference (NEWCAS) (pp. 124-128), Quebec City, QC, Canada, 2022, doi: 10.1109/NEWCAS52662.2022.9842077. • Poisson, V., et al. Luminance-Depth Reconstruction From Compressed Time-of-Flight Histograms. IEEE Transactions on Computational Imaging, 8, 148-161, 2022, doi: 10.1109/TCI.2022.3149088. 53 SCIENTIFIC REPORT 2023 MARKET NEWS FROM OUR R&D PARTNERS INFRARED VISION: EXCEPTIONALLY SHARP IMAGES Published on 25 April 2023. The CEA-Leti transfer of technology to LYNRED provides a set of technological building blocks for cooled infrared detectors with record-breaking performance. These new detectors can operate at 130 kelvin or more using a novel 7.5 µm pixel architecture with high resolution and exceptionally sharp images. These detectors are aimed at the defense sector, but may also be of interest for astrophysics and weather forecasting. Olivier Gravrand, research director at CEA-Leti said: “We devised a new method for measuring MTF sharpness. Instead of injecting photons locally to excite the pixels, we use a scanning electron microscope to inject electrons. This ‘electron brush’ is much finer than the conventional optical beam and allows for a more accurate measurement of MTF.“ https://urlz.fr/nKDb Source : ELECTRONIQUES N° 142. Jan.- Feb. 2023. This young company, based near Grenoble, is developing self-powered sensors to monitor room parameters and optimize energy consumption. https://urlz.fr/o2uq www.orioma.com 54 © sutichak - Fotolia ORIOMA USES INFRARED TO REDUCE ENERGY BILLS IN BUILDINGS © ImageFlow / IRStone - Adobe Stock 03 I IMAGING, DISPLAYS AND SENSORS FOR SMART HUMAN ENVIRONMENT & MACHINE INTERACTION Source: ViPress.net Published by Pascal Coutance. Mar 2, 2023. By reducing the spacing between each carrier frequency to just 100 GHz, the multifrequency laser source integrated on a silicon chip, which Scintil Photonics will be presenting at OFC 2023, makes it possible to increase the number of optical fiber transmission channels in high-performance computing and artificial intelligence applications. © Scintil Photonics GRENOBLE-BASED SCINTIL PHOTONICS UNVEILS A WORLDFIRST SILICON-INTEGRATED MULTIFREQUENCY LASER https://urlz.fr/nMVM www.scintil-photonics.com © Microoled MICROOLED RAISES €21 MILLION The start-up from CEA-Leti is specialized in the design, production and marketing of OLED microdisplays for near-eye applications. In just a few years, Microoled has become a key partner of the world’s top technology integrators with a unique technology that combines high resolution, high levels of brightness and low energy consumption. https://urlz.fr/nJM2 www.microoled.net 55 © jamesteohart - Adobe Stock SCIENTIFIC REPORT 2023 56 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY Viviane Cattin © DR CEA / M. Jary Scientific Advisor to the Smart Devices, Telecommunications and Security Division Technology and society are throughlines of CEA-Leti’s telecommunications research. 2023 saw innovation in advanced RF and optical communication circuits, and in intelligent and collaborative network infrastructures. We also made decisive progress on propagation, with better-controlled compact antennas and radiationsensitive surfaces. We investigated a low-power mmW on-chip radar transceiver and a low-power RF receiver architecture for industrial, scientific, and medical monitoring. And, with trust in digital technology a more pressing concern than ever, we studied component vulnerabilities and secure hardware, including AI-based embedded systems for IoT. 57 SCIENTIFIC REPORT 2023 THE 5G TO 6G TIPPING POINT HAS ARRIVED With mobile traffic doubling over the past two years and R&D ecosystems poised to support the next steps in network deployment thanks in part to an influx of government funding, 2023 stands as a year of transition from 5G to the transformative era of 6G. CEA-Leti is at the forefront of network R&D against a particularly dynamic market and policy backdrop. • • • • 58 Co-coordinator of French PEPR (Priority Research Facilities and Programs) in electronics and future networks Associated participant in IPCEI ME/CT (Important Project of Common European Interest in microelectronics and communication technologies) Involved in 5+ ANR (French National Research Agency) projects Involved in 10+ EU-funded projects © Chr. Morel / CEA CEA-Leti a stakeholder in national and European research initiatives: CEA-Leti is anticipating a surge in demand for innovation in all wireless communication technologies and is banking on strong partnerships with maturing ecosystems to deliver them. “We believe that our research must support a sustainable, sovereign, and just transition from 5G to 6G. Our academic and other partners and our involvement in Jean-Baptiste Doré French and EU initiatives to galvanize the research community around tomorrow’s networks put us in a position of collective strength to tackle the challenges ahead,” said CEA-Leti’s Jean-Baptiste Doré. The first technological benchmarks for 6G are emerging. And, as the 2030 milestone approaches, CEA-Leti’s research will continue to catalyze high-performance devices that will make today’s promising concepts realities for tomorrow’s networks. In 2023, we made strides in GaN-on-silicon semiconductor devices, RF architectures and antenna systems, optical communications, and AI-enabled signal processing and network orchestration. © Cris.lo Studio - Adobe Stock 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY The transition from 5G to 6G telecommunications is placing new demands— frequency, power, efficiency, compactness— on radio frequency (RF) amplifiers. The key to meet these demands will be high-performance transistors made from advanced semiconductor materials. Overcoming cost and scalability challenges to 6G Record RF power levels and efficiencies at high frequencies have already been demonstrated on gallium nitride (GaN) high electron mobility transistors (HEMTs). The current issue with GaN HEMTs is that they must be fabricated on silicon carbide (SiC) in special cleanrooms. In addition, the high-performance SiC used to grow the GaN layers is expensive and only available in smaller wafer sizes. Pivoting to GaN/Si devices fabricated on larger wafers using high-performance CMOS processes in today’s standard cleanrooms would go a long way toward reducing costs. capabilities. We used the most advanced ultra-wide bandgap material available (AlN), an advanced surface passivation technique, and a metal insulator semiconductor (MIS) gate architecture. Because the heterojunction layer is highly sensitive to virtually every fabrication process step, we used soft processing during etching and deposition and kept the thermal budget low to preserve processability and performance. An additional challenge was achieving the desired performance at around 30 GHz. Here, we developed a short gate technology (with a 150 nm gate length) with uniform on-wafer characteristics. Several CEA-Leti labs and partner STMicroelectronics helped develop and fine-tune this original transistor process flow, contributing expertise in deposition, etching, and CMP. “We developed an original low-cost, efficient GaN MIS-HEMT technology that will be used by designers to build lightweight, compact, high-performance power amplifiers for 5G-6G, satellite communications, civil and defense radars and jammers, and earth observation equipment,” said CEA-Leti engineer Erwan Morvan. © CEA Advances in GaN on silicon for cost-effective highperformance RF amplifiers Erwan Morvan Rebuilding GaN/Si technology to compete with expensive GaN/SiC We took the entire fabrication process apart and put it back together to take full advantage of CMOS cleanroom 59 Organic micro-OLEDs enable ultra-high-data-rate optical wireless transmission Visible light communication (VLC), which operates in the unlicensed optical spectrum, could effectively round out radio frequency (RF) communication and alleviate RF network congestion for effective end-to-end communication. The research community has been hard at work trying to exploit VLC’s vast potential for improvement at both the material and device levels. CEA-Leti has thrown its micro-OLEDs into the ring, hoping to bring the devices’ low fabrication cost, minimal carbon footprint, and ease of hybridization on any substrate to the world of optical wireless communications. Partnerships play a key role in setting new optical transmission record Alexis Fischer “Our co-supervised PhD has produced state-of-the-art results, and we are expecting additional publications and a patent,” said Professor Alexis Fischer of Université Sorbonne Paris Nord (USPN). “But beyond that, our partnership with CEA-Leti is exemplary in several respects. First, it is balanced. Each party freely shares the knowledge that can be shared. And, while we do have our own cleanrooms, it is wonderful to have access to CEA-Leti’s advanced equipment and the know-how of not one, but two of its labs. We are highly complementary, covering OLED research from material science, to optics, to information technology. I don’t know many research organizations capable of getting several labs, internal and external, working together in synergy to achieve scientific advances as quickly as CEA-Leti can,” Fischer said. Toward more sustainable optical wireless devices Recent developments in optoelectronics have focused on crystalline inorganic semiconductors. While their performance is excellent, their environmental impacts are substantial. Organic LEDs offer a more environmentallyattractive alternative, and, if this breakthrough is any measure, a viable one in terms of performance. 60 © CEA © UtopikPhoto / CEA This research produced the fastest micro-OLED ever fabricated, with an active area of 40x40µm² and an observed cutoff frequency of up to 459 MHz. We also reported a world-first multi-gigabit transmission (2.85 Gb/s). “These results would not have been possible without French (the ANR DEµS project) and EU (Important Project of Common European Interest in microelectronics and communication technologies) research initiatives. Together with our partners we were able to develop a high-end transmission setup for optical microsources, integrate and characterize them, and, finally, optimize the digital waveform transmitted. These new benchmarks will enable and encourage the development of complete organic systems, Luc Maret with organic optical emitters and receivers,” said CEA-Leti engineer Luc Maret. A CEA-Leti PhD student, Mohamed Nihal Munshi, was first author on two papers about the research published in the journals Organic Electronics and IEEE Photonics Technology Letters. © Université Sorbonne Paris Nord SCIENTIFIC REPORT 2023 Data rate function of separation distance, compared to previous works (inset: bit error rate function of data rate). 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY AI-driven efficiency will transform next-gen communication networks Drastic improvements in artificial intelligence are blazing trails into an array of use cases— including wireless communications. CEA-Leti is helping create AI-enabled networks with pioneering cooperative orchestration and distributed learning techniques that could revolutionize radio resource management in mission-critical networks. Self-configuring drone swarms for flexible, ondemand network coverage, anywhere Forest fires—a threat to people, property, and biodiversity— are on the rise. In remote areas with limited cellular coverage, AI could enable innovative solutions. Imagine an AI-enabled fleet of drones capable of communicating with each other and with a ground control center to autonomously configure a temporary 3D network to provide real-time data processing and communication support for firefighting efforts. Although a drone-swarm-network might seem futuristic at first glance, it is a realistic solution if it can be made cost effective and energy efficient. Joint optimization of available radio, computing, storage, and energy resources © UtopikPhoto / CEA CEA-Leti is developing AI-based communication protocols and orchestration mechanisms to enable the kind of autonomous and self-configuring network described in the forest fire scenario. “The challenge is to make the best use of all resources in a dynamic network characterized by relatively high mobility, fluctuating traffic demand, and an evolving network topology, for networks with low computational complexity and energy consumption. We proposed using multi-agent reinforcement learning (MARL) for autonomous decision-making by mobile access points (MAPs),” said CEA-Leti engineer Mohamed Sana. The AI-endowed MAPs (the drones) autonomously cooperated to maximize network coverage and capacity in real time, aided by a dual Mohamed Sana neural attention architecture for on-the-fly communication protocol adjustments. Backhaul limitations were addressed by a two-level hierarchical resource allocation mechanism to enable on-demand backhaul and access capacity, significantly improving network performance. The best tradeoff between flexibility, cost, and complexity over the network lifespan To further make learned protocols more robust and reduce their computational complexity, we wanted to ensure that knowledge acquired in specific scenarios could be applied to distinct unseen scenarios without requiring additional © CEA An heterogeneous 3D network deployment with radio and computing resources. learning. Our federated MARL framework consolidated multiple learned protocols into a “meta protocol” for the 3D operation of a 5G network with a fluctuating number of MAPs, for an encouraging tradeoff between management flexibility, operating cost, and complexity over the network lifespan. However, in practical use cases, hardware and/or energy constraints may limit the deployment and execution of learned protocols on each MAP. To overcome this limitation, we adopted a newly-introduced concept called deep neural network (DNN) splitting and proposed an online adaptive resource allocation algorithm to jointly optimize radio and computing resources for the best tradeoff between energy consumption, latency, and accuracy. What’s next in the wireless communication revolution CEA-Leti’s AI-driven solutions are helping enable new levels of network efficiency, optimizing energy consumption and computational and operational complexity. With groundbreaking network paradigms just over the horizon, our research is setting the stage for smarter, more sustainable connectivity. Further reading • Morvan, E., et al. 6.6W/mm 200mm CMOS compatible AlN/ GaN/Si MIS-HEMT with in-situ SiN gate dielectric and low temperature ohmic contacts. Paper presented at the IEDM Conference, San Francisco. 2023. • Munshi, M. N., et al. High-speed OLED bandwidth optimization method based on Relative Intensity Noise measurements. Organic Electronics, 123, 106935. ISSN 1566 1199. 2023. doi: 10.1016/j.orgel.2023.106935. • Munshi, M. N., et al. 2.85-Gb/s Organic Light Communication With a 459-MHz Micro-OLED. IEEE Photonics Technology Letters, 35(24), 1399-1402. 2023. doi: 10.1109/LPT.2023.3327612. • Sana, M., “Distributed Learning for 5G and Beyond Network Management and Orchestration,” phdthesis, Université Grenoble Alpes [2020-....], 2021. Accessed: Aug. 25, 2023. [Online]. Available: https://theses.hal.science/tel-04086284. • Catté, E., et al., “Dual-Attention Deep Reinforcement Learning for Multi-MAP 3D Trajectory Optimization in Dynamic 5G Networks.” arXiv, Mar. 14, 2023. doi: 10.48550/ arXiv.2303.05233. (accepted for publication in IEEE ICC 2023). • Laurent, J., et al., “Hardware Architecture of a Beam Alignment Module for D-band Fronthaul and Backhaul,” in ICC 2023 - IEEE International Conference on Communications, (accepted for publication in IEEE ICC 2023). • Sana, M., et al., Learning Hierarchical Resource Allocation and Multi-agent Coordination of 5G mobile IAB Nodes. 2023. doi: 10.48550/arXiv.2302.07573. (accepted for publication in IEEE ICC 2023). • Catté, E., et al., “Federated Multi-Agent Deep Reinforcement Learning for Dynamic and Flexible 3D Operation of 5G MultiMAP Networks.” arXiv, Jun. 30, 2023. Accessed: Aug. 25, 2023. [Online]. Available: http://arxiv.org/abs/2307.06842(accepted for publication in IEEE PIMRC 2023). • I. Labriji, M. et al. “Energy-efficient cooperative inference via adaptive deep neural network splitting at the edge,” Feb. 24, 2023. doi: 10.1234/ICC. (accepted for publication in IEEE ICC 2023). 61 SCIENTIFIC REPORT 2023 Smart antennas: manipulating, shaping, and controlling electromagnetic waves for telecommunications, localization, and sensing Christophe Delaveaud © CEA CEA-Leti Research Director Impact These advances resulted in new design and optimization tools for compact supergain antennas and near- and farfield transmitarray antennas, as well as new metrics and models for the study of wave propagation. Last year was a fruitful one for antenna and propagation research at CEA-Leti, with advances in the characterization of wave propagation, the development of innovative beam shaping solutions for millimeter wave antennas, and a deeper understanding of directivity and efficiency in miniature antennas. New understanding of stationary distance could improve system performance Our characterization and modeling work led to a new understanding of the propagation of millimeter waves. A channel sounder was developed and experiments carried out to study channel correlation properties and quasi-stationary time. Using different metrics, we looked at how to meaningfully determine stationary distance, a factor that has a huge influence on channel properties and overall system performance. Research partners CNES, DGA, IEMN, IETR, Oviedo University, Radiall, Université Paris-Sorbonne, UCL. Joseph Hoellinger • Hoellinger, J., et al. Channel Correlation and Stationarity in mm-Wave V2V Channels. In 2023 17th European Conference on Antennas and Propagation (EuCAP) (pp. 1-5). Florence, Italy. 2023. doi: 10.23919/EuCAP57121.2023.10133740. • Koutsos, O., et al. Wideband Transmitarrays based on Anisotropic Unit-Cells for Next Generation sub-THz Applications. In 2023 17th European Conference on Antennas and Propagation (EuCAP) (pp. 1-5). Florence, Italy. 2023. doi: 10.23919/ EuCAP57121.2023.10133333. • Munoz, F., et al. Space-Time Dense Multipath Components Modeling at mmWaves in Indoor Industrial Environments. In 2023 17th European Conference on Antennas and Propagation (EuCAP) (pp. 1-5). Florence, Italy. 2023. doi: 10.23919/ EuCAP57121.2023.10133474. • Tornese, A., et al. Compact End-Fire Arrays: from Theory to Directivity and Gain Maximization. In 2023 17th European Conference on Antennas and Propagation (EuCAP) (pp. 1-5). Florence, Italy. 2023. doi: 10.23919/EuCAP57121.2023.10133075. 62 © CE A Further reading CEA-Leti PhD student Joseph Hoellinger won a Best Paper Award for this research. Controlling beam shaping in millimeter-wave antennas We also investigated reconfigurable transmitarrays in the Ka-band for satellite communications and, especially, “satcom-on-the-move” systems. These systems need high-gain, wide beam-scanning, and circular polarization switching capabilities. We studied two unit-cell architectures, integrating them into two transmitarray prototypes. In the first architecture, polarization switching is implemented by V- and H-polarized cells. In the second, a polarization converter is used. The second outperformed the first in terms of aperture efficiency, at 24.8% compared to 18%. © CEA 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY CEA-Leti’s anechoid room. Pushing back the fundamental limits of miniature antennas Finally, we studied directivity and gain properties in different compact antenna architectures. We used a loss model integrated into the optimization tool to optimize Huygens source end-fire arrays according to two strategies maximizing either directivity or gain. Losses due to the miniaturization of the radiating source and coupling had a major impact on radiation performance, especially for the Huygens source array. However, we did demonstrate an efficiency gain of up to 8 dBi for gain optimization (vs. directivity optimization) for small dipole end-fire arrays. 63 SCIENTIFIC REPORT 2023 Ultra-low-power filter for RF spectrum sensing could lead to more energyefficient “listening” on IoT networks Dominique Morche The wireless radio chips used in IoT systems spend much of their time idly waiting for a communication request to come in. This passive “listening” wastes enormous amounts of energy. CEA-Leti helped develop an ultra-low-power “listening” chip that could solve this problem. Impact Our new receiver architecture obtained the best-ever figure of merit for such a low-pass filter. This advance will help reduce the power consumption of IoT devices. Research partners École Polytechnique Fédérale de Lausanne (EPFL). Further reading • Pekcokguler, N., et al. An Ultra-Low-Power Widely-Tunable Complex Band-Pass Filter for RF Spectrum Sensing. IEEE Transactions on Circuits and Systems I: Regular Papers, 70(10), 3879-3887, 2023, doi: 10.1109/TCSI.2023.3300965. 64 © Syda Productions - Adobe Stock © CEA CEA-Leti engineer A new chip and a novel spectrum-scanning strategy Our objective was to develop a new radio chip tasked exclusively with listening for communication requests from the network. We worked with EPFL as part of a co-supervised PhD research project to completely overhaul the receiver architecture, introducing tunable complex filtering to scan the entire the 2.4GHz Industrial, Scientific, and Medical (ISM) band without modifying the oscillating frequency. The result is much faster scanning that uses much less energy. Power-to-performance ratio better than the state of the art for this type of device The 0.0049 mm2, widely-tunable first-order ultra-low-power transconductor-capacitor CBPF (complex band-pass filter) was fabricated using the GlobalFoundries® 22FDX® 22 nm FD-SOI process platform. We were able to obtain a frequency shift of ±60 MHz in the 5 MHz to 40 MHz bandwidth range. Power consumption ranged from 6.7 µW to 99.2 µW with the best and worst figure of merit (the power-to-performance ratio) being 0.034 fJ/pole and 0.082 fJ/pole. The implications of our work extend beyond power savings, and could enable innovative spectrum sensing algorithms, ultralow-power location, and more efficient network security solutions, for example. Reducing power consumption is a major bottleneck to the development of IoT services, and our research will help remove this barrier to more energy-efficient connectivity. 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY Record mmW radar performance to support remote contactless vital sign detection Mykhailo Zarudniev © CEA CEA-Leti engineer CEA-Leti developed a new radar technology that could enable low-power, high-performance on-chip radar transceivers for the contactless measurement of vital signs for automotive in-cabin systems and medical devices. The technology is sensitive enough to generate a human heartbeat profile from surface micro-vibrations of the thorax. Meeting the requirements of demanding markets The markets for non-invasive vital sign monitoring are expanding into new territory. Medical device manufacturers are no longer the only companies interested in integrated radar-on-chip transceivers. The automotive industry is also on the lookout for in-cabin solutions to detect forgotten babies and pets and monitor driver and passenger health. Whatever the market, the devices and their antennas must be very compact and lightweight while delivering extreme ranging precision, high spatio-temporal resolution, and low mean power consumption. demonstration of ranging sensitivity confirmed 10 µm sensitivity in the range direction at sensor rates up to 100 kHz—on par with an echocardiograph—even in the presence of significant mechanical disturbances, like those present around a person riding in a car or running on a treadmill. Parasitic movements can be digitally filtered out, leaving clear, accurate vital sign readings. New architecture and original frequency multiplication technique yield excellent results Unparalleled chirp modulation for extremely sensitive radar sensing We obtained chirp modulation rates as high as 30 GHz/ µs on a 60 GHz carrier, with exceptional phase noise performance. This is the steepest chirp slope known for mmW radar systems to date. The low-frequency input enables the use of a direct digital synthesizer to perform fast chirping on mmW frequencies. Our application-level © CEA The main objective of this research was to validate the operation of a new radar transceiver architecture capable of delivering low phase noise, fast chirp signal generation, and low operational duty cycles. In terms of precision, our benchmark was based on conventional echocardiography, which meant being able to measure object displacements of 10 µm at a repetition rate of around 1 kHz. The main integrated circuit design obstacle was to achieve operation in the 60 GHz band with low power and low phase noise starting from a 2 GHz carrier signal. Traditionally, 10 GHz signals are converted to mmW frequencies to do this. Here, we introduced an innovative mmW FMCW radar signal generation technique utilizing a periodically repeated oscillation train (PROT) and injection-locked oscillators (ILO), enabling frequency multiplication rates exceeding 30X from low-frequency synthesizers. PCB based demonstator with 60 GHz 45 nm RF-SOI radar transceiver. Impact This low-power mmW radar transceiver technology could pave the way towards new solutions in structural health monitoring, instrumented sports equipment, automotive in-cabin monitoring, and human health monitoring. Research partners N/A Further reading • Siligaris, A., et al. Fast Chirping 58-64 GHz FMCW Radar Transceiver using D-PROT Multiplier in CMOS 45nm RFSOI for Vital Signs Detection. In ESSCIRC 2023 Conference Proceedings (pp. 505-508). 2023.. 65 SCIENTIFIC REPORT 2023 AI-enabled power amplification could help make wireless communications more energy efficient © Chr. Morel / CEA CEA-Leti 6G Program Manager Artificial intelligence is ushering in a new era of digital wireless communication systems R&D. CEA-Leti is pioneering advances in this exciting field to lay the groundwork for 5G-and-beyond solutions with unprecedented energy efficiency. A recent investigation of neural networks and meta-learning for digital predistortion of power amplification yielded encouraging results. Impact This transformative innovation significantly reduces complexity and data consumption, bringing new levels of efficiency and adaptability to DPD for PAs. The power of AI will make tomorrow’s wireless communications networks smarter and more energyefficient. Research partners N/A Further reading • Falempin, A., et al. Low-Complexity Adaptive Digital Pre-Distortion with MetaLearning based Neural Networks. In 2022 IEEE 19th Annual Consumer Communications & Networking Conference (CCNC) (pp. 453-453). Las Vegas, NV, USA, 2022. doi: 10.1109/ CCNC49033.2022.9700529. • Falempin, A., et al. Low-Complexity Adaptive DPD: From Online Optimization to Meta-Learning. IEEE Transactions on Broadcasting, 68(4), 904-915, 2022, doi: 10.1109/TBC.2022.3204229. 66 Targeting energy-hungry power amplifiers for sustainable RF communications Power amplifiers (PAs) play a major role in ensuring that communication signals reach their destinations. But they are also voracious power consumers, accounting for a staggering 80% of the total power consumption in RF transmitters. This raises the obvious challenge of how to boost PA power efficiency without sacrificing radio coverage or quality. We investigated the potential of an AI-enabled solution to address the nonlinear distortions RF PAs exhibit when working close to their saturation level, where power efficiency is high, to achieve a better tradeoff between energy efficiency and radio coverage. © CEA Jean-Baptiste Doré Spectrum before and after DPD with proposed conventional learning (CL).Using a 5G waveform (OFDM) and power amplifier with an 8 dB backoff (BO) (blue curves) introduces leakage at the edge of the spectrum. To meet a spectrum mask requirement, the BO must increase and, consequently, the efficiency of the power amplifier decreases. By using the DPD and the proposed learning process, leakage can be significantly reduced while keeping the BO level low, making the use of the power amplifier more energy efficient. New dual-neural-network architecture makes digital pre-distortion simpler and more resource-efficient Currently considered the most promising PA linearization technique, digital pre-distortion (DPD)—which entails adding a DPD module before the PA to create a linear system—has been well documented in the literature. There is one major hurdle, however, and that’s estimating the inverse characteristics of the PA for the DPD module, especially for dynamic PAs. We engineered a custom, two-neural-network architecture to perform DPD in the polar (phase and amplitude) domain. And, at just ten neurons for both neural networks, the complexity is impressively low. Meta-learning a game-changer for adaptive DPD We deployed a meta-learning model on our architecture to make it truly adaptive. Specifically, we chose MAML (model-agnostic meta learning), an algorithm that can rapidly generalize from small gradient updates to optimize NN parameters. In this research, we consistently achieved performance levels close to the theoretical DPD optimum. And, using online retraining on minimal samples and with few training steps, we produced results on par with conventional learning methods. Our numerical results were compelling, showing how our solution adeptly adapts to different PA operating conditions. 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY Pulsed-laser deposition of lithium niobate thin films for applications ranging from 5G to quantum Florian Dupont Lithium niobate (LiNbO3 or LN) is a staple in today’s smartphones, forming the active layer in the RF filters used for waveband selection. The material is also garnering attention as a candidate for optical phase modulators (OPM), essential components for tomorrow’s quantum computers. CEA-Leti made an advance in the deposition of LN that could open the door to broader use of the material in high-performance devices. The switch from bulk substrates to monocrystalline thin films Recent research has shown that monocrystalline LN thin films support better RF filter performance than the conventional bulk substrates. So, why aren’t LN thin films more widely used? The current technique, crystal ion slicing, which is used in Soitec’s Smart Cut™ process, can only produce certain thicknesses. It is also expensive, which means the RF filters produced using it are as well. Finally, uniformity issues on larger (200 mm and 300 mm) silicon wafers are a deal breaker for use in tomorrow’s quantum applications. Research on growing the films goes back decades, but major technical hurdles have prevented growth from becoming a viable alternative until now, with the addition of pulsed laser deposition (PLD) to the mix. test the layers’ piezoelectric properties and make further improvements to the deposition process. Another avenue to pursue is 300 mm OPM prototyping using layers grown using PLD. © CEA © CEA CEA-Leti engineer Left: Ellipsometry thickness uniformity mapping of PLD-deposited LN thin film on 200 mm silicon wafer; Center: XRD reciprocal space mapping showing homoepitaxially-grown LN thin film crystalline quality; Right: TEM Xsection image showing epitaxy of PLD-LN on a seed layer grown on a 200 mm silicon substrate. World-firsts achieved with PLD on large substrates In PLD, laser ablation removes material from the target to create a thin film on the substrate. This mostlystoichiometric phenomenon ensures that the layer obtained has the correct LN phase. In this research, the crystalline orientation of the layer on Al2O3 substrates with specific orientations was meticulously controlled under specific growth conditions. We made several notable advances: • Crystalline orientations like (113) and (223), which are very close to common CIS orientations but difficult to obtain through growth, were seen for the first time. • Films with uniform thickness (under 2% non-uniformity) were successfully grown on 200 mm silicon using a Solmates PLD reactor at 10 nm/min— fast enough for industrial deployment. • We also grew epitaxial LN on 200 mm silicon wafers—a world first. A specific seed layer was used to allow for monocrystalline growth, which could open the door to OPM applications for quantum. Several patents were filed to protect this technology. Up next: integration into devices for testing CEA-Leti’s R&D partners are keeping a close eye on this advance in semiconductor materials. The next steps will be to integrate the process into a functional RF filter to Impact We made several advances in the deposition of LN thin films on large substrates using PLD that could lead to more efficient RF filters for 5G and beyond telecommunications and OPMs for tomorrow’s quantum computers. Research partners N/A Further reading • Sauze, L. C., et al. Homo-epitaxial growth of LiNbO3 thin films by Pulsed Laser deposition. Journal of Crystal Growth, 601, 126950. ISSN 0022-0248, 2023, doi: 10.1016/j.jcrysgro.2022.126950. • Pershukov, I., et al. Heteroepitaxial growth of Lithium Niobate Thin Films on sapphire substrates with different orientations by Pulsed-Laser Deposition. In 2022 IEEE International Symposium on Applications of Ferroelectrics (ISAF) (pp. 1-4). Tours, France. 2022. doi: 10.1109/ISAF51494.2022.9870044. 67 SCIENTIFIC REPORT 2023 Evaluating the robustness of embedded neural network models for more secure Edge AI in a physical world Pierre-Alain Moellic © CEA CEA-Leti engineer Impact The future certification of embedded, or Edge, AI systems will require the reliable evaluation of these systems’ robustness against a set of state-of-the-art threats, including physical attacks. AI integrity, confidentiality, and availability, especially in critical use cases, will depend on new evaluation methods. Research partners Mines Saint-Etienne (IMT, MSE) under the ANR (French national research agency) PICTURE program and the European INSECTT project (ECSEL). IRT Nanoelec (ANR-10-AIRT-05). Further reading • Dumont, M., et al. Evaluation of Parameter-based Attacks against Embedded Neural Networks with Laser Injection. In International Conference on Computer Safety, Reliability, and Security. 2023. • Hector, K., et al. Fault Injection and Safe-Error Attack for Extraction of Embedded Neural Network Models. In International Workshop on Security and Artificial Intelligence (with ESORICS). Best paper. 2023. • Hector, K., et al. A closer look at evaluating the BitFlip Attack against deep neural networks. In 2022 IEEE 28th International Symposium on On-Line Testing and Robust System Design (IOLTS) (pp. 1-5). IEEE. 2022. • Yao, F., et al. DeepHammer: Depleting the intelligence of deep neural networks through targeted chain of bit flips. In 29th USENIX Security Symposium (USENIX Security 20) (pp. 1463-1480). 2020. • Rakin, A. S., et al. Bit-flip attack: Crushing neural network with progressive bit search. In Proceedings of the IEEE/CVF International Conference on Computer Vision (pp. 1211-1220). 2019. 68 The need for reliable methods to ensure the safety and security of Edge artificial intelligence systems has never been more pressing. With regulations like the EU’s AI Act sharpening the collective focus on AI trustworthiness, risk, and acceptability, CEA-Leti made a breakthrough on the oftenoverlooked physical threats to AI systems, demonstrating a robust evaluation method on a common IoT use case. Tighter AI requirements call for new safety and security assessment tools The pioneering European AI Act is casting a long shadow over AI-based systems and their use cases, drawing increasing attention to safety and security. The legislation also lays the groundwork for tomorrow’s AI certification programs. But you can’t certify without first evaluating. Increasingly pervasive AI systems handle sensitive data and perform sometimes-missioncritical tasks in a wide range of environments. The adversarial landscape is just as broad, and comprehensively assessing it is a difficult but crucial task. For machine learning models and, especially, deep neural networks (DNNs), the attack surface is particularly complex. These systems are, in effect, mathematical abstractions (with their many theoretical flaws) physically implemented in an environment that includes software and hardware. An IoT use case: deep neural networks at the Edge CEA-Leti investigated the often-overlooked physical vulnerabilities inherent to common internet of things (IoT) devices: deep neural network models on 32-bit microcontrollers. Since internal model parameters are stored locally in device memory, they make excellent targets for attacks that seek to manipulate these parameters to reverse-engineer the models or alter their behavior. We demonstrated the impact of Bit-Flip Attacks (BFAs) on AI models: just a handful of bit-flips could significantly degrade the performance of convolutional neural network models, raising serious security and evaluation concerns. © CEA 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY A laser injection platform used for evaluating the robustness of embedded neural networks. © CE A Until now, most research has focused on DRAM. We have demonstrated the relevance and effectiveness of using bit-sets (instead of bit-flips) for a BFA-like attack, to extract confidential information from a protected black-box model. By analyzing the model’s output decisions with and without faults to discover parameter values, attackers can then use them in reverse-engineering attacks. Our research charts a course toward robust evaluation protocols for embedded AI models on Cortex-M platforms with Flash memory. We used theoretical analysis and laser fault injection to better understand the relationships between model characteristics and attack efficiency. Our work has also demonstrated the value of simulation in facilitating the evaluation of AI models. These—and future—strides in the evaluation of AI security will be crucial to certify and design protection mechanisms for embedded AI systems. © CEA Laying the groundwork for robust AI certifications Kevin Hector CEA-Leti PhD student Kevin Hector won a Best Paper Award for this research at the International Workshop on Security and Artificial Intelligence (SECAI) 2023 in conjunction with ESORICS 2023. 69 SCIENTIFIC REPORT 2023 MARKET NEWS © ABCDstock / AdobeStock FROM OUR R&D PARTNERS PEL® 4.0 AN INNOVATIVE, CONNECTED CYLINDRICAL BUSHING FOR PREDICTIVE MAINTENANCE IN CONSTRUCTION VEHICLES PEL® 4.0 is an innovative sliding bushing solution that includes multilevel wear sensors, RFID tag and antenna. The RFID tag enables users to track wear and facilitates predictive maintenance. This innovation is designed to withstand the harsh conditions of construction sites. https://urlz.fr/nXkn 70 © ImageFlow / IRStone - Adobe Stock 04 I TELECOMUNICATION AND SECURITY FOR CONNECTED SOCIETY CEA & SCHNEIDER ELECTRIC EXTEND R&D COLLABORATION Grenoble, France – 11 October, 2022. CEA & Schneider Electric Extend R&D Collaboration To Bring Secure and Resilient Digital Solutions To Energy Management and Industrial Automation. CEA and Schneider Electric, the global leader in the digital transformation of energy management and automation, have agreed to extend their joint laboratory collaboration for three more years. The shared objective is to continue to test and fortify the security of world-leading process-andenergy technologies for greater resilience across industries. © P. Jayet Michael Pyle, Director of Product Cybersecurity at Schneider Electric and Sébastien Dauvé, CEA-Leti CEO. Michael Pyle, Director of Product Cybersecurity at Schneider Electric, said: “Our collaboration with CEA has allowed us to leverage our in-depth knowledge of commercial and industrial applications and CEA’s deep technical skill set to build a strong, two-way partnership that will benefit both Schneider Electric and our customers and this will be pursued for the next three years.“ https://urlz.fr/nXjr 71 © xiaoliangge - Adobe Stock SCIENTIFIC REPORT 2023 72 05 I GENERIC MATERIALS AND CHARACTERIZATION GENERIC MATERIALS AND CHARACTERIZATION CEA-Leti is one of the few research institutes in the world to conduct advanced research in materials, processes, and characterization. This allows us to bring a “materialto-system” approach to prototype development and fabrication. In 2023 we made exciting advances ranging from new materials to their characterization and integration. 3D integration and packaging played pivotal roles in our developments. Our exploration of bio-based resists could contribute to huge reductions in solvent use. Finally, an advanced self-assembly technique could be a game changer in terms of manufacturing throughputs. Scientific Advisor to the Silicon Components Division © UtopikPhoto / CEA Jean-Paul Barnes © UtopikPhoto / CEA Gaël Pillonnet Scientific Advisor to the Technology Platform Division 73 SCIENTIFIC REPORT 2023 ADVANCED PACKAGING CEA-Leti’s advanced heterogeneous packaging research leverages expertise in chiplets, 3D and hybrid integration, and flexible electronics. We have the full range of technologies needed to break up monolithic circuits into modules, or chiplets, and package them into vertically-stacked circuits using 3D integration techniques. We can also integrate ultrathin silicon components into labels and transfer them onto flexible printed devices. From automotive to health, a wide range of industries will be counting on advanced packaging to deliver simpler, faster, and cheaper chip designs that integrate more functions and offer greater performance and versatility. Advanced packaging collaborations and partnerships • • • • On-chip LiDAR startup SteerLight EU H2020 advanced LiDAR project Tinker The CEA Starac project (with CEA-List) Intel More complex chip packaging architectures, whether stacked or ultra-thin, will require new technologies to ensure tighter integration and new fabrication processes compatible with the cost and throughput requirements of volume manufacturing. We made several notable advances in optical networks-on-chip for highperformance computing, self-assembly for die-to-wafer bonding, and on-chip LiDAR (for CEA-Leti startup SteerLight). We also developed a new solution in the field of flexible hybrid electronics, which could, by combining silicon and printed flexible electronics, bring new levels of performance to use cases like functionalized car dashboards and wearable patches for health applications. From monolithic many-core architectures to chiplets: a new paradigm and new challenges CEA-Leti has developed significant expertise in chiplets. In general, high-performance computing—used in artificial intelligence, climate modelling, and military applications, for example—has depended on monolithic many-core processors at advanced technology nodes for devices that measure several square centimeters. Today, there is a move to partition smaller processors called chiplets on silicon interposers; this is a mature technology. These tiny circuits can be produced at a lower cost and offer higher manufacturing yields. They are also lower-power and more versatile. CEA-Leti is coming up with novel integration architectures to respond to the new integration challenges created by chiplets. 74 Hydrophilic and hydrophobic surfaces integrated together for water droplet containment for self-assembly process. Another area where CEA-Leti made advances in 2023 was die-to-wafer bonding and, specifically, self-assembly. Traditional DTW faces challenges in combining accuracy with high throughput, especially for small dies. Several advances brought a novel self-assembly technique using water droplets closer to industrial deployment. The process requires precision in surface preparation, balancing hydrophilicity, surface energies, and nano-topography. It aims for integration on hybrid surfaces like Cu and SiO2, ensuring Cu integrity and optimal bonding quality. According to CEA-Leti’s Emilie Bourjot, “Improved bonding, controlled step height, and compatibility with copper pad fabrication are among the benefits of this approach, which promises smoother integration into future technology process flows. This kind of process could make the massproduction of devices with more Emilie complex 3D architectures possible.” Bourjot In related research, CEA-Leti and Intel also worked together on self-assembly to achieve highthroughput die-to-wafer bonding (see page 78). © CEA CEA-Leti is helping develop what is considered a disruptive approach to high performance computing (HPC): optical network-on-chip (ONoC) technology. Photons (light) have the potential to deliver fast on-chip communication, increasing the bandwidth and reducing the power consumption of high-performance computers. We continued to tackle the main technological challenges inherent to our architectural vision of an optical network-onchip approach to chiplets: a scalable, low-profile interface between the chiplets and network, decentralized routing to reduce data movement within the system, thermal management compatible with optical communications, and individual chiplet performance in multiple-chiplet integrations. “We were able to successfully co-integrate 3D interconnections and photonic devices using a newly-demonstrated approach to 10 µm diameter by 100 µm high through-silicon via formation and copper metallization inside a photonic chip, 40 µm diameter etched backside cavities under optical micro-ring resonators for improved thermal isolation, and effective thermomechanical stress management of the 100 µm thinned photonic interposer for assembly processes. We have now moved on to assembly and packaging, and a full demonstrator is expected in 2024,” Jean Charbonnier said CEA-Leti’s Jean Charbonnier. More complex 3D chip architectures will require new fabrication processes © CEA Taking NoCs further: optical networks-on-chip for high-performance computing 75 © Quardia Inc. - Adobe Stock © P. Jayet / CEA 05 I GENERIC MATERIALS AND CHARACTERIZATION SCIENTIFIC REPORT 2023 ChipInFlex, a new process for a higher level of silicon integration on flexible substrates This wafer-level process developed at CEA-Leti enables the integration of bare dies of different types. The electrical interconnections are made via the deposition of stud bumps on bare dies followed by thermocompression. This is the first time bare silicon dies have been integrated into a flexible film and collectively thinned onto a wafer carrier. Two demonstrators were fabricated, one with an ultra-thin silicon strain gauge, and the other with an ultra-thin RFID tag, and tested successfully. © CEA Objects that let users interact with them naturally and intuitively are the way of the future. The automotive and smartphone industries, at the forefront of this trend, are driving demand for electronics that can be integrated into curved surfaces like car dashboards and steering wheels or smartphone cases, for example. The interfaces for these kinds of products typically depend on electronics printed on flexible substrates rather than fabricated on silicon wafers. “As impressive as they are, today’s printed electronics still cannot rival silicon’s computational and data storage performance. In terms of integration, advanced silicon technology nodes have electrical interconnections that are too small in size and pitch to be connected directly to flexible printed components,” said CEA-Leti’s Jean-Charles Souriau. CEA-Leti is Jean-Charles Souriau introducing an intermediary step— encapsulating the chips in a flexible label and fanning out the pads to make them compatible with printed circuit design rules—that is getting excellent results. 76 3D integration overcomes the last technological hurdle to self-driving cars LiDAR (light detection and ranging) is considered the “final frontier” in truly autonomous driving. The technology exists, but the sensors need to be improved dramatically and made much more compact to be integrated into autonomous driving systems. CEA-Leti leveraged flipchipping and through-silicon vias to come up with a new integration that gets closer to those objectives. A CEA-Leti startup, SteerLight, will be able to integrate these advances into its automotive LiDAR chips. “Current MEMS LiDARs don’t meet the specifications of autonomous driving systems. We believe that silicon solid-state LiDARs are the answer. We have developed an optical phase array beam steering device that marks a major step in this direction. But the packaging of the Thierry device still needs to be optimized Mourier and miniaturized, and that’s what we focused on in 2023, including through the European Tinker project,” said CEA-Leti’s Thierry Mourier. © UtopikPhoto / CEA Silicon and flexible printed electronics: better together 05 I GENERIC MATERIALS AND CHARACTERIZATION Further reading • Bourjot, E., et al. Challenges with self-assembly applied to dieto-wafer hybrid bonding. Chip Scale Review, 27(5), 25-31. 2023. • Bourjot, E., et al. Integration and process challenges of self assembly applied to die-to-wafer hybrid bonding. In 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC) (pp. 1397-1402). Orlando, FL, USA. 2023. doi: 10.1109/ECTC51909.2023.00239. • Malhouitre, S., et al. Bringing photonic technology to 3D-stacked computing systems: Creating the low-latency “POPSTAR” optical network-on-chip through heterogeneous integration on a photonic interposer. Photonic Integrated Circuits, IV, 18-22. 2023. • Mourier, T., et al. Advanced 3D integration TSV and flip chip technologies evaluation for the packaging of a mobile LiDAR 256 channels beam steering device designed for autonomous driving application. In 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC) (pp. 239-246). Orlando, FL, USA. 2023. doi: 10.1109/ECTC51909.2023.00049. • Saint Patrice, D., et al. Process Integration of Photonic Interposer for Chiplet-Based 3D Systems. In 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC). 2023. • Souriau, J. -C., et al. Flexible Hybrid Electronics Including Ultrathin Strain Sensors or Radio Frequency Identification Dies Manufactured on Wafer Silicon Carrier. IEEE Transactions on Components, Packaging and Manufacturing Technology, 13(7), 913-919. 2023. doi: 10.1109/TCPMT.2023.3293528. • Suarez Berru, J. J., et al. Demonstration of a Wafer Level Face-To-Back (F2B) Fine Pitch Cu-Cu Hybrid Bonding with High Density TSV for 3D Integration Applications. In 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC) (pp. 97-102). Orlando, FL, USA. 2023. doi: 10.1109/ECTC51909.2023.00025. © CEA The purpose of the Tinker project was to integrate the photonic device onto a silicon interposer housing the CMOS control circuit and other subsystems. A prototype was fabricated using flip-chipping and through-silicon vias for tighter integration and distribution of the electrical contacts on the photonic die’s back side. The main challenges to fabricating the device were adapting mid-process-flow TSVs to the SOI substrate and managing the thinning of the substrate to 130 µm. Despite these issues, the photonic die was successfully attached to the silicon interposer. A functional demonstrator will be exhibited at LOPEC, the international large-area, organic, and printed electronics convention, in March 2024. The demonstrator is the first-ever functional integration of a photonic device on a silicon interposer using state-of-the-art mid-process TSV technology coupled with fine-pitch flip-chip bonding. 77 SCIENTIFIC REPORT 2023 CEA-Leti and Intel join forces on novel self-assembly technology that could enable high-throughput die-to-wafer bonding Frank Fournel © UtopikPhoto / CEA CEA Fellow & Head of Bonding Process Engineering The direct-placement die-to-wafer bonding used in today’s semiconductor fabs relies on pick-and-place processes that cannot currently achieve both submicron placement accuracy and the high throughput required for cost-competitive volume manufacturing. Breakthrough processes are needed, and CEA-Leti and Intel are tackling the challenge with a new selfalignment process that could be a game changer. Direct bonding: the current state of the art and new challenges Wafer-to-wafer direct bonding is well understood, and the literature is full of studies of the different bonding mechanisms of silicon, silicon dioxide, copper, and even hybrid surfaces. In fact, hybrid bonding has been in production at Sony for years, mainly for imaging devices. Today, however, this kind of wafer-to-wafer direct bonding must overcome some new hurdles as new substrates and applications emerge. Die-to-wafer bonding, used prior to hybrid bonding for optoelectronic applications integrating heterogeneous (III-V and silicon) materials, offers an interesting alternative, but is bumping up against limitations in accuracy and throughput. The pick-and-place tools employed in this type of process can only handle 1,000 dies per hour at sub-micron placement accuracy—not nearly enough for the demands of volume manufacturing. A precision self-assembly process that could exponentially increase throughput Impact It is still premature to discuss specific impacts. However, die-to-wafer hybrid bonding is the next significant challenge in 3D integration, and self-alignment could be a game-changing enabler. Self-alignment has emerged as a potential solution, but previous attempts have been very manual and, therefore, not compatible with the demands of industrial fabrication. And there are other challenges around modern wafers, including surface preparation of materials like pure copper, the logistics of cavity-based wafer handlers, and cleanliness issues created by faster pick-and-place tools. CEA-Leti has been investigating a new approach that could enable an industriallydeployable self-alignment process for hybrid surfaces. Using water’s capillary forces to "pick up" dies Research partners Intel 78 Our understanding of the link between water and the direct bonding of silicon dioxide has advanced by leaps and bounds in recent years. CEA-Leti and Intel have been focusing on fine self-alignment using a droplet of water, harnessing the capillarity of water to pick up the die, © P. Jayet / CEA 05 I GENERIC MATERIALS AND CHARACTERIZATION Self-aligned die to wafer bonding using hydrophilic and hydrophobic contrast. which then self-aligns as the water evaporates. What opens the door to industrial deployment is the fact that die surfaces can now be prepared for self-alignment using standard microelectronics processes. However, there are still two approaches in the running: complete collective bonding or high-speed die sorting with rough alignment. CEA-Leti is exploring how each technique, with its advantages and drawbacks, could potentially be beneficial in different use cases. Precision placement to within 200 nm was achieved using this still-immature process, outperforming conventional pick-and-place. The industrial roadmap will still have to address reducing step height to 1 µm and the development of new equipment, but these early results are promising. Further reading • Bourjot, E., et al. Challenges with self-assembly applied to die-to-wafer hybrid bonding. Chip Scale Review, 27(5), 25-31. 2023. • Bourjot, E., et al. Integration and Process Challenges of Self Assembly Applied to Die-To-Wafer Hybrid Bonding. In 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC) (pp. 1397-1402). Orlando, FL, USA. 2023. doi: 10.1109/ECTC51909.2023.00239. • Fournel, F., et al. Optoelectronic and 3D Applications with Die to Wafer Direct Bonding: From Mechanisms to Applications. ECS Meeting Abstracts, MA2022-02, Advanced 3D Interconnect Technologies and Packaging, D03, 853. 2022. doi.org/10.1149/MA2022-0217853mtgabs • Fournel, F., et al. Direct bonding: a key enabler for 3D technologies. Chip Scale Review, 26(5), 6-12. 2022. 79 SCIENTIFIC REPORT 2023 Lab-scale hard X-ray photoelectron spectroscopy (lab-HAXPES) for MOSc-HEMT characterization Eugénie Martinez © UtopikPhoto / CEA CEA-Leti engineer Advances in microelectronics are creating demand for more powerful characterization techniques and finer control over critical interfaces that impact device operation and performance. Lab-scale HAXPES sheds new light on device electrical properties, providing valuable information for the optimization of fabrication processes. Both non-destructive and invasive characterization methods are needed Impact Lab-HAXPES is a powerful new characterization tool that can measure realistic structures without artificially reducing layer thicknesses to access the critical buried interfaces, directly impacting the reliability of the analysis of multilayer structures used in device fabrication. Research partners LTM (a CNRS lab), Institut Pascal (a CNRS lab), Université Libre de Bruxelles. 80 X-ray photoelectron spectroscopy (XPS), compatible with semiconductor fabrication environments, has evolved in recent years with the introduction of hard X-ray sources into innovative lab-scale spectrometers. The new technique, hard X-ray photoelectron spectroscopy (HAXPES), is emerging as a powerful tool for the characterization of materials below the surface. CEA-Leti is applying HAXPES to the chemical characterization and quantification of materials for process development and optimization. New insights into buried interfaces for improved device performance Lab-scale HAXPES (“lab-HAXPES”) is a welcome addition to the existing repertoire of surface-sensitive characterization techniques available to microelectronic device developers. It offers more dependable analysis of the critical buried interfaces that play such an important role in fabrication processes and in the electrical performance of the final device. CEA-Leti completed an initial evaluation of lab-HAXPES on a series of material characterization case studies to assess the technique’s ability to detect deep buried interfaces and determine its limitations in terms of sensitivity. The technique was then applied to a GaN-based power transistor development project. 05 I GENERIC MATERIALS AND CHARACTERIZATION © CEA Lab-based HAXPES for the analysis of critical buried interfaces. A powerful, accurate tool for power transistor and memory R&D In this research, lab-HAXPES provided insights into gallium oxidation at the vital Al2O3/GaN interface of MOSc-HEMT devices, revealing that GaN oxidation escalates with increased Al2O3 thickness. The technique was also used to assess the impact of etching and postdeposition annealing on this interface composition, highlighting the significant effects that these processes have on device performance. Finally, we combined lab-HAXPES with inelastic background analysis (IBA) to determine buried layer thicknesses and interface locations within complex structures such as Al2O3 and HfO2 layers used in memory devices. Further reading • Bure, T. R., et al. Assessing advanced methods in XPS and HAXPES for determining the thicknesses of high-k oxide materials: From ultra-thin layers to deeply buried interfaces. Applied Surface Science, 609, 155317. ISSN 0169-4332. 2023. doi.org/10.1016/j.apsusc.2022.155317. • Spelta, T., et al. Impact of etching process on Al2O3/GaN interface for MOSc-HEMT devices combining ToF-SIMS, HAXPES and AFM. SolidState Electronics, 208, 108743. ISSN 0038-1101. 2023. doi.org/10.1016/j.sse.2023.108743. • Renault, O. et al. New directions in the analysis of buried interfaces for device technology by hard X-ray photoemission. Faraday Discussions, 236, 288-310. 2022. 81 SCIENTIFIC REPORT 2023 TMD-like semiconducting materials grown at BEOL-compatible temperatures Stéphane Cadot © CEA CEA-Leti engineer Traditional silicon-based devices are bumping up against their physical limits in terms of miniaturization. 2D semiconducting transition metal dichalcogenides (TMDs) offer a unique atomically-thin layered structure and immunity to short-channel effects, making TMDs like MoS2 and WS2 attractive alternatives. However, these materials are grown at very high temperatures (typically in excess of 850 °C) not compatible with most materials. Plus, the toxic, corrosive chalcogen source used for deposition imposes heavy safety constraints. Finally, TMDs have a lamellar structure that is inherently non-adherent, making their integration extremely challenging. CEA-Leti is investigating more practical, safer alternatives. Graphical abstract representing the SnS-to-SnS2 phase transition observed by STEM (cross-sectional view). © CEA 2D semiconducting materials like TMDs (transition metal dichalcogenides) have garnered interest as enablers of sub-5 nm and More-than-Moore technologies. But their high synthesis temperatures are not compatible with back-endof-line processes. CEA-Leti grew two TMD-like semiconductors at much lower BEOL-compatible temperatures, paving the way for the fabrication of thin-film transistors and switching, memory, and energy storage devices. Innovations in materials needed to shrink component size and power consumption Impact 2D TMD integration conventionally involves high-temperature growth on a dedicated substrate, followed by polymer-assisted transfer of the TMD layer. This research confirms that the direct integration of TMD-like 2D materials into any kind of device architecture is possible, opening up exciting opportunities for More-thanMoore technologies. Research partners LMGP Further reading • Chatmaneerungcharoen, B., et al. Synthesis of In-Plane Oriented Tin Sulfides by OrganosulfurMediated Sulfurization of Ultrathin SnO2 Films. Chemistry of Materials, 34(13), 5842–5851. 2022. • Cadot, S., et al. A novel 2-step ALD route to ultra-thin MoS2 films on SiO2 through a surface organometallic intermediate. Nanoscale, 9, 538546. 2017. 82 In-plane oriented tin sulfides obtained by organosulfurmediated sulfurization of ultrathin SnO2 films Tin disulfide (SnS2) is a post-transition metal dichalcogenide with properties similar to MoS2 but with lower crystallization temperatures and better adhesion properties. Starting with ultrathin tin dioxide (SnO2) layers deposited by ALD on SiO2 /Si substrates, different non-toxic and volatile organosulfur compounds were tested for their ability to convert tin oxide into tin sulfide. Tert-butyl disulfide (TBDS) directly converted SnO2 into SnS2 at a mere 350 °C. Tert-butylthiol (TBT) formed orthorhombic SnS at 300 °C. The SnS2 and SnS layers obtained display a lamellar and 001-oriented structure with relatively large grains. A deeper understanding of phase-change mechanisms will support future 2D semiconductor development Interestingly, sulfurization using TBT takes far less time than with TBDS, and it was found that TBDS vapor was able to convert SnS into SnS2 even faster. This was ascribed to better diffusion of sulfur species through SnS compared to SnS2, the latter acting as a diffusion barrier. For SnS-to-SnS2 conversion, sulfur species penetrate through grain boundaries, laterally diffuse between the SnS 001 planes, and induce the phase change through a zip mechanism which was observed using highresolution STEM microscopy. Based on these findings, lamellar (or even 2D) disulfides can be implemented at BEOL-compatible temperatures using a safe and robust process compatible with most CVD tools. 05 I GENERIC MATERIALS AND CHARACTERIZATION Making cleanrooms cleaner with eco-friendly chitosan water-based lithography resists for sustainable nanofabrication Isabelle Servin CEA-Leti eco-design engineer & sustainability advisor With semiconductor-industry stakeholders around the globe now joining forces to address climate challenges through initiatives like the Semiconductor Climate Consortium, the path to net zero by 2050 has been clearly charted. CEA-Leti is tackling critical nanofabrication processes like photolithography to eliminate hazardous solvents and alkali-based solutions by using water-soluble bio-sourced chitosan resists derived from seafood industry waste. Making fabrication processes safer and cleaner for people and the planet Photolithography is the main process in nanofabrication. Unfortunately, today’s synthetic petroleum-derived photoresists require the use of significant amounts of organic solvents and alkali-based solutions—both of which present environmental and human health risks. A watersoluble photoresist solution would go a long way toward making photolithography more sustainable. Chitosan, which is a natural polysaccharide obtained mainly from seafood-industry waste, would be compatible with water as a “green solvent” during patterning. CEA-Leti recently completed the first-ever transfer of this solution from lab to pilot fabrication line and did a lifecycle assessment (LCA) of the new, bio-based process. New, bio-based photoresists tested at the 300 mm pilot scale The chitosan films, just 100 nm thick, were spun onto wafers with a high degree of precision, and a uniform, defect-free coating was obtained on 200 mm and 300 mm wafers. The water-soluble films were successfully deployed for DUV photopatterning down to 1 μm followed by transfer etching onto the silicon substrate of 35 nm deep features with good pattern fidelity. The process is cleanroom-compliant and the solution is ready-to scale up for volume production via a dedicated aqueous-based unit. While the initial results were promising, additional improvements to printing sensitivity Comprehensive lifecycle assessment (LCA) confirms environmental benefits The chitosan resists dramatically outperformed conventional fossil-based processes. The LCA revealed a 50% reduction in environmental impacts, which factor in CO2 emissions, electricity consumption, and chemical usage. When applied to a high-volume manufacturing scenario, the annual savings could be substantial. CEA-Leti’s development of more sustainable cleanroom processes will continue through partnerships with semiconductor industry stakeholders and through European projects like RESIN GREEN, which will begin in 2024. © CEA © UtopikPhoto / CEA and resolution will need to be achieved, most likely by finetuning the material properties and testing novel formulations. Patterning with water-soluble bio-based chitosan resist. Impact CEA Leti is pioneering water as the ultimate “green solvent” in support of the European Green Deal and semiconductor industry sustainability goals. Replacing petroleum-based resists with water-soluble, bio-sourced chitosan could make a huge dent in the environmental impacts of nanofabrication processes. Partnerships with industry stakeholders promise to accelerate the adoption of these sustainable technologies. Research partners CNRS, Université Claude Bernard Lyon, INSA Lyon, Ecole Centrale de Lyon, Université de Haute-Alsace. Further reading • Sysova, O et al., «Chitosan as a Water-Developable 193 nm Photoresist for Green Photolithography», ACS Applied Polymer Materials, 4 (6), 4508-4519. 2022. doi.org/10.1021/acsapm.2c00475. • Servin, I. et al., «Water-soluble Bio-sourced Resists for DUV Lithography in a 200/300 mm Pilot Line Environment», Micro and Nano Engineering, 2023. doi.org/10.1016/j.mne.2023.100202. • Servin, I. et al., «Chitosan as a Water-based Photoresist for DUV Lithography», Proc. Of SPIE 2023 Proceedings Volume 12498, Advances in Patterning Materials and Processes XL; 1249818, 2023. doi.org/10.1117/12.2658423. 83 © ipopba - Adobe Stock SCIENTIFIC REPORT 2023 84 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH EMERGING DEVICES TO IMPROVE HUMAN HEALTH © UtopikPhoto / CEA Pascal Mailley Scientific Advisor to the Technology for Biology and Health Division CEA-Leti’s health R&D addresses prevention, diagnostics, and treatment and encompasses human, animal, and environmental health. In 2023 we made advances in sensors to detect pathogens and microplastics in food and the environment, in monitoring for blood pressure and sleep apnea, and in new weapons to fight bacteria. We investigated emerging technologies for embryo viability testing and cancerous tissue analysis. It was also a big year for our organ-onchip research. And, we developed bioresorbable biomaterials that could enable innovative monitoring implants. Finally, our WIMAGINE® brain implant helped a spinal cord injury patient move again, opening the door to future use in stroke rehabilitation. 85 SCIENTIFIC REPORT 2023 ADVANCED SENSING AND STIMULATION TECHNOLOGIES DRIVE INNOVATIONS IN PATIENT MONITORING, TREATMENT, AND MOBILITY Health—human, animal, and environmental—is at the top of the list of society’s grand challenges. Tomorrow, the role of technology will expand beyond electronic medical records and remote consultations. The advanced devices being developed at CEA-Leti will contribute to powerful solutions throughout the health journey, from wellness and prevention to diagnostics and treatment. © Chr. Morel / CEA Imagine if patients with mood disorders could count on their smartphones to keep relapses—and hospitalizations—at bay by providing a very early warning based on the sleep, heart rate, and other data that is already being collected by most smartphone and smartwatch users? “Our hope is that one day the unique R&D pipeline we are creating at CEA-Leti will become the new standard for the efficient delivery of novel medical solutions to patients,” said CEA-Leti’s Abdelmadjid Hihi. The year 2023 was a productive one, resulting in several major advances in patient monitoring and mobility and medical devices. And what if caregivers were able to send patients home with a non-invasive device for the continuous monitoring of CO2—a common indicator of a variety of conditions—but without the need to have the patient exhale into a bulky monitor or wait for blood samples to come back from a lab? Abdelmadjid Hihi Our technologies can also help patients with spinal cord injuries control their gait naturally using a brain-spine interface system. Recent clinical trials are pushing back the limits of what this kind of neuroprosthesis can do, giving new hope to patients suffering from a loss of mobility. The rise of artificial intelligence and dramatic improvements in sensor technologies are revolutionizing human health and well-being. Sensors are getting smaller, more powerful, and more energy efficient, making it easier than ever to monitor just about anything—or everything. Finally, we are working with medical device manufacturers, academic research labs, and clinicians to forge novel solutions built on ingenious integrations of our state-ofthe-art circuits, sensors, and actuators. 86 New breakthroughs in monitoring people’s behaviors and mental states will be deployed in novel solutions. “The idea is not to control individuals, but to assist them in adapting their responses to rapidly-changing circumstances,” said CEA-Leti Research Director Christelle Godin. “This includes identifying potential harmful situations while ensuring that users’ data is kept away from prying eyes.” Christelle Godin © CEA And for the developers of tomorrow’s medical devices, we are designing a clinical trial protocol for the exploration of blood pressure dynamics that will ensure robust, accurate measurements and stable performance over time—the ideal characteristics for simple, reliable at-home monitoring systems. Ubiquitous AI and sensing will power trustworthy human monitoring solutions © CE A © Kiattisak - Adobe Stock 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH Salam Hamieh love to see my algorithm implemented on a connected watch,” she said. “The award really gave me a boost, and I appreciate my supervisors’ initiative in signing me up and their support helping me finish on time to be considered.” Beyond health monitoring, this technology can help reduce motor vehicle accidents caused by driver distraction or drowsiness and improve the safety of workers in hazardous environments by monitoring their mental states and actions. © uOttawa In research with Dr. Hussein Al Osman’s team at the University of Ottawa on relapse detection in mental health patients, anomaly detection techniques were employed to pick up on relapses in patients with mental disorders. Heart rate, sleep, and daily activity patterns are recorded during healthy periods and used to train models on typical behavior patterns. When anomalies or deviations from the learned patterns are detected, the likelihood of a relapse can be determined. As a specialist in applied AI, affective computing, human-computer interaction, and multimedia systems, Al Osman immediately saw the potential synergies with CEA-Leti when he first met with scientists from the institute in 2019. This research also won CEA-Leti PhD student Salam Hamieh, who developed the algorithm, an honorable mention in the ICASSP Grand Challenge e-prevention category in 2023. “I would Dr Hussein Al Osman © CEA “My experience with CEA-Leti has highlighted the value of international collaborations. Given the success of this partnership, I am now more motivated to cultivate other collaborations beyond the frontiers of North America,” he said. “I have no doubt that the deep professional relationships and personal friendships I’ve forged with the team at CEA-Leti will endure.” Steering wheel equipped with grip sensors. Detection of hands activity by mean of a camera and IA algorithm. 87 SCIENTIFIC REPORT 2023 Test device for carbon dioxide measurement through the skin. A liquid solution (representing blood) and membrane (representing the skin) are used to measure flow rate and pressure. Wearables could enable continuous blood pressure and CO2 monitoring for improved at-home prevention and care An at-home capnometry (CO2 monitoring) wristband would provide substantial benefits to patients suffering from respiratory injuries or obstructions caused by chronic obstructive pulmonary disease (COPD) and infectious diseases like Covid-19, for example. Capnometry can also detect alveolar hypoventilation and hypercapnia. In clinical settings, the solution could help with postoperative monitoring or for the monitoring of patients coming off ventilators. CEA-Leti recently developed a new architecture for non-dispersive infrared (NDIR) optical measurement close to the skin’s surface, combined with an open chamber design facilitating continuous air flow in the collection cell. CEA-Leti’s Anne Koenig explained, “We developed a model of the temporal dynamics of CO2 exchange between blood and the device’s gas channel using convection-diffusion equations. A Kalman filter could be used to recursively estimate blood CO2 concentrations over time, allowing real-time tracking of blood CO2 pressure.” 88 © A. Aubert / CEA © CEA The blood pressure meter trial generated data that will deepen our understanding of blood pressure variations and optical sensor characteristics at individual-patient and population levels—the foundation for safe, repeated measurement protocols. “Hypertension is a major and often underdiagnosed cause of premature death affecting increasingly younger populations. The development of Emma a reliable, accurate blood pressure Villeneuve monitor is vital to prevent avoidable deaths and chronic disease,” said Emma Villeneuve of CEA-Leti. © A. Aubert / CEA Blood pressure and CO2 are both crucial health indicators. And yet, both are difficult to measure regularly and reliably at home. Continuous home monitoring could help identify high-risk patients, improve early diagnosis of a variety of conditions, better target treatment, and improve postoperative outcomes. CEA-Leti and its partners made headway in 2023 with a pioneering clinical trial of a cuffless, opticalsensor-based blood pressure meter and with a bracelet that can non-invasively monitor CO2 through the skin. Physiological measuring platform (top) and commercial pulse wave velocity monitor (bottom). While this research focuses on CO2 transport from blood to the collection cell, the proposed model can be extended to other volatile molecular species (e.g., ethanol, acetone, isoprene, methane) and diluted blood gases like oxygen or hydrogen, offering vast potential for monitoring a wide range of physiological parameters. Translating thought into movement: Brain-Spine Interface (BSI) technology CEA-Leti and partners continue to break new ground with the Brain-Spine Interface project at the Clinatec biomedical research center. Electrocorticogram (EcoG) signals are captured by the WIMAGINE® intracranial implant and translated into commands sent to a spinal-cord stimulator, effectively mobilizing muscle function. This technology could one day enable patients with spinal cord injuries to recover their mobility. Henri Lorach CEA-Leti and EPFL in Switzerland have been working together on the technology since 2019. “Back in 2016, our research—on animals, not yet humans at that point—showed that it was possible to link intent at the motor cortex to the stimulation of the spinal cord, opening up a viable path to restoring movement to patients suffering from paralysis. That advance set us on a quest for a technology capable of materializing this link. The WIMAGINE® wireless intracranial implant developed by CEA-Leti and Clinatec performs this function with a high degree of fidelity and stability over time,” said EPFL’s Henri Lorach, a member of the team at the NeuroRestore research, innovation, and treatment center. © Chr. Morel / CEA An ongoing clinical trial called STIMO-BSI (Stimulation Movement Overground – Brain Spine Interface) demonstrated that a paraplegic patient was able to regain natural gait control based on his brain control . Additionally, it has provided new confirmation that consistent activation of a spinal cord simulator via the WIMAGINE® implant can cause a lasting return of neurological function, even after stimulation ceases. “This is an exciting new development that marks a step toward practical applications. We have now expanded our training environments outside the lab so that patients can use the BSI at home,” said CEA-Leti’s Guillaume Charvet. The recent clinical trials have pushed Guillaume back the limits of neuroprosthetics. Charvet “Once we got our partnership with CEA-Leti up and running, we rapidly established strong mutual trust. I was impressed with how fast and flexible CEA-Leti and Clinatec were during the transfer of the WIMAGINE® implant technology. Personally, working with CEA-Leti has been very valuable to me as a scientist. I am excited about the prospect of working with CEA-Leti and Clinatec on future projects,” said Lorach. CEA-Leti will now be directing its efforts toward developing AI-enabled low-power Edge signal processing and advancing tighter integration architectures for more compact implantable systems. Close collaboration with clinical partners will ensure that clinical and regulatory issues are addressed at every step of the technology development process. With a technology portfolio spanning microelectronics, sensors, actuators, and more, CEA-Leti boasts over 350 active patents to protect the deployment of its enabling technologies in health. CEA-Leti’s health-related research involves all five of the institute’s departments and the Clinatec biomedical research center, which has its own clinical unit. Finally, CEA-Leti has an active partnership strategy in support of its core mission of transferring new technologies to partners, whether they are hospitals or medical device manufacturers. © Jimmy Ravier – EPFL / CHUV © EPFL 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH A paraplegic patient regained a natural control of walking thanks to the coupling of the WIMAGINE Brain Computer Interface technology with a spinal cord stimulator. Further reading • Hamieh, S., Heiries, V., Al Osman, H., & Godin, C. (2023). Relapse detection in patients with psychotic disorders using unsupervised learning on smartwatch signals. In 2023 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) (pp. 1). • Grangeat, P., Duval Comsa, M.-P., Koenig, A., & Phlypo, R. (2023). Dynamic Modeling of Carbon Dioxide Transport through the Skin Using a Capnometry Wristband. Sensors, 23, 6096. • Lorach, H., et al. (2023). Walking naturally after spinal cord injury using a brain–spine interface. Nature, 618(7963):126-133. • Morvillier, R., Prat, C., & Aloui, S. (2023). A camera-based system to detect driver hands on the steering wheel in semiautonomous vehicles. In M.-R. Amini, S. Canu, A. Fischer, T. Guns, P. Kralj Novak, & G. Tsoumakas (Eds.), Lecture Notes in Computer Science: Machine Learning and Knowledge Discovery in Databases (pp. 617-621). Cham: Springer Nature Switzerland. 89 SCIENTIFIC REPORT 2023 What organs-on-chips can do: • • • • 90 Reduce animal testing by providing an in vitro alternative that more closely mirrors in vivo human organs Enable personalized medicine and lessen side effects, like by testing treatments on a replica of an individual patient’s tissue from biopsy Enable regenerative tissue therapies and post-organtransplant therapies Safely test and screen new therapeutic modalities Fabrice Navarro © CEA Organon-chips © UtopikPhoto / CEA © CEA Organs-on-chips, or microphysiological systems, are microfabricated devices with tightly controlled parameters designed to mimic the in vivo functioning of human tissues in vitro. These tiny systems are made from microfluidic chips that contain the 3D living systems of interest to deliver essential nutrients and maintain physiological conditions. Organson-chips provide a more realistic testing ground than 2D cells cultivated on plastic substrates, and could be a relevant alternative to animal testing in the near future. CEA-Leti is leading the way in the next generation of organ-on-chip research and development. © UtopikPhoto / CEA ORGANS-ON-CHIPS SUPPORT SAFER, MORE PERSONALIZED MEDICINE Frédéric Bottausci Pascal Mailley CEA-Leti draws on a portfolio of more than 50 patents for microfluidic systems, including ten for organ-on-chip technologies. And, with its own state-of-the-art cleanrooms and platforms, the institute can design and fabricate the microfluidic components, biocompatible materials, advanced sensors, and lensless imaging systems that make up organs-on-chips. Our research spans the growth and vascularization of organoids on microfluidic chips, the study of organoid functionality using purpose-built sensors, organ-on-chip monitoring using advanced lensless imaging, and algorithms. We made several notable advances in 2023. We achieved excellent results with the design and fabrication of a novel biocompatible impedance spectroscopy device that was assessed in vitro using human airway epithelial cell cultures that could help improve the development of organs-on-chips. Progress was also made toward removing some of the obstacles to islet transplantation (IT) as a cure for diabetes, a disease that affects more than 500 million adults worldwide. Advances in non-destructive cellular functional integrity screening for better organs-on-chip Electrochemical impedance spectroscopy (EIS) is widely accepted as an effective and nondestructive method to assess cell health during cell culture. Real-time monitoring of certain cell health parameters using EIS could also assist in the development of better organ-on-chip platforms for in vitro testing. However, because the technique has never been integrated into an automated system, it is difficult to implement in large-scale screening. A recent advance at CEA-Leti could change that. © MP Studio - Adobe Stock 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH Lung epithelial cells a relevant organ-on-chip test case Organs-on-chips are well-suited for the study of lung epithelial cell permeability. The cells are frequently exposed to airborne insults from a variety of sources, resulting in changes in cell health. Animal models, traditionally used for risk assessment and biocompatibility testing, pose ethical concerns, are labor-intensive, expensive, and do not fully extrapolate to human conditions. New in vitro models and testing platforms like organs-on-chips would eliminate the need for animal models. Material and design optimizations lead to a novel EIS system In this research, we fabricated a device using easyto-manufacture processes like screen printing (of the impedance electrodes) and molding and micromachining (of the cell culture chamber). The electrode inks were optimized to be biocompatible and sterilizable, and three variations of the electrode design were tested to measure their impact on impedance sensor response. The goal was to accurately measure transepithelial electrical resistance (TEER) as a surrogate for barrier tissue integrity and to round out this mono-frequential measurement with spectroscopic data over a wide range of frequencies. Integration with a microfluidic chip containing epithelial cells The complete organ-on-chip system with cell-culture chamber and impedance spectroscopy electrodes is compatible with cell-culture conditions and facilitates real-time TEER measurements for monitoring cell growth and barrier tissue integrity. It could also be used to assess cell stress in biomaterial risk assessments, complementing the detection of inflammatory metabolites using multiparametric electrochemical sensing platforms. The chosen inks and processes are also cost-effective and manufacturable. Islet transplantation could give diabetes patients new hope Diabetes is a major global public health issue. Current treatment methods, such as intensive insulin therapy, have not provided a permanent solution for insulin-dependent diabetes. Pancreas transplantation (PT) and islet transplantation (IT) are available options but come with significant drawbacks. CEA-Leti is working on organ-on-chip technologies that could improve IT performance and, ultimately, give patients with diabetes hope for a better cure. Islet transplantation could succeed when pancreas transplantation is not an option Pancreas transplantation is extremely limited by organ availability. Islet transplantation—islets are “islets of Langerhans,” the pancreatic cells that secrete insulin— overcomes this hurdle, but is not without its own challenges, including lifelong immunosuppression and limited duration of efficacy. Our research is addressing these concerns. Innovations in islet encapsulation for improved IT The development of methods to eliminate chronic immunosuppression and enhance the 3D islet niche for better functionality and longevity are crucial to improving IT. One approach is to create capsules from concentrated polymer solutions. This increases mechanical strength and stability and results in smaller pores for better immunoprotection. However, producing capsules that can maintain regular shapes and high polymer concentrations has been a challenge. A "soft landing" for more robust encapsulation At CEA-Leti, we introduced a groundbreaking soft landing technique into centrifugal microencapsulation, successfully producing monodisperse ellipsoidal capsules containing cells from highly viscous alginate solutions. This method, applicable to various centrifugal devices, has shown potential in using soft landing liquids like soybean oil, silicone oil, and n-Decane liquid for producing consistent capsules. This advance not only holds promise for diabetes cell therapy, but also extends to broader applications like organoid production and medical delivery, marking a significant step forward in managing—and possibly curing—diabetes. Further reading • Cl. Quintard, et al. A microfluidic platform integrating functional vascularized organoids-on-chip. Nature communications, 2024. • Badalan, M., et al. A Soft Landing Approach for the Centrifugal Microgel Synthesis Process. Comptes Rendus. Mécanique, 351, 83-102, 2023. • Badalan, M., et al. Physical Analysis of the Centrifugal Microencapsulation Process. Industrial and Engineering Chemistry Research, 61, 10891-10914, 2022. • Badalan, M., et al. Three-Dimensional Phase Diagram for the Centrifugal Calcium-Alginate Microcapsules Production Technology. Colloids and Surfaces A: Physicochemical and Enginnering Aspects, 635, 127907, 2022. • Chmayssem, A., et al. New Microfluidic System for Electrochemical Impedance Spectroscopy Assessment of Cell Culture Performance: Design and Development of New Electrode Material. Biosensors, 12, 452. 2022. doi.org/10.3390/bios12070452. 91 SCIENTIFIC REPORT 2023 Toward biocompatible printed electronics for transient, bioresorbable medical devices © Chr. Morel / CEA CEA-Leti research engineer Advances in printed electronics are driving innovations in the biomedical arena, where flexible, stretchable electronics that can conform to the structures found in human tissues could soon give rise to new resorbable electronic biointerfaces that disappear after use. CEA-Leti and partners prototyped a fully-organic, resorbable, crosslinkable, ink-jet-printable ink for transient biomedical devices with applications in monitoring, tissue stimulation, and drug delivery. Toward safe, functional, and comfortable biomedical devices Conductive hydrogels have the potential to be used as contact electrodes in transient, resorbable biomedical devices. Natural polysaccharides like hyaluronic acid and heparin, used in combination with organic conductive polymers, are potentially attractive building blocks for these future devices. So far, however, little research has been reported on chemically-crosslinked polysaccharide hydrogels that incorporate a conducting polymer and exhibit mechanical properties similar to the native extracellular matrix of interest. Additionally, most of the conductingpolymer hydrogels in the literature have synthetic polymer backbones. We decided to fill this gap with a new approach. A resorbable conductive fully organic ink made ofmodified hyaluronic acid (HA) and PEDOT conductive polymer is printed on a resorbable bioplastic PLGA substrate and UV-cross-linked to design a prototype that resorbs in a fewweeks when immersed in aqueous fluid. © CEA Isabelle Texier Impact A fully-organic, resorbable, crosslinkable, ink-jet-printable ink was successfully implemented in a resorbable bioelectronic device. This major advance could open the door to flexible, stretchable drug delivery, tissue stimulation, and monitoring devices that dissolve when they have finished performing their function. Research partners CEA-Leti, the Clinatec biomedical research center, and CERMAV-CNRS. Further reading • LLeprince, M., et al. Design of hyaluronan-based dopant for conductive and resorbable PEDOT ink. Carbohydrate Polymers, 301(Part B), 120345. ISSN 0144-8617. 2023. • Leprince, M., et al. A cross-linkable and resorbable PEDOT-based ink using a hyaluronic acid derivative as dopant for flexible bioelectronics devices. Materials Advances, 4, 3636-3644. 2023. doi: 10.1039/d3ma00170a. 92 A new class of biopolymer-based resorbable biocompatible electrodes The objective of this research was to design and prototype a biopolymerbased resorbable electrode array with sensing capabilities. The chosen design was an organic printed electrical track and contact electrode embedded in a biocompatible insulating material. The inks were made of water-borne dispersions of PEDOT conducting polymer-sulfated polysaccharide inks specially formulated to achieve the best tradeoff between conductivity, printability, and controllable biological degradation. New ink formulations implemented in prototype To the best of our knowledge, the introduction of aromatic moieties on polysaccharides to design new PEDOT biodopants has never been described in the literature. Our research showed that modifying the polysaccharide backbone with both sulfonic and conductive moieties resulted in high ink conductivity in physiological conditions. The resorbability of this new conductive material will allow the fabrication of fully resorbable bioelectronic interfaces to address innovative medical applications. The research resulted in one patent, two papers accepted for publication (with CEA-Leti PhD student Maxime Leprince as first author), and a third paper underway. 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH 3D time-lapse imaging could bring advanced pre-implantation embryo observation to IVF clinics Lionel Hervé © CEA CEA-Leti research engineer Infertility affects some one in seven couples worldwide. IVF, a procedure performed millions of times each year, depends in part on medical imaging, used to select the most viable embryos for implantation. CEA-Leti helped develop a compact new microscope that acquires three-dimensional timelapse images of living embryos directly in a cell culture incubator. The technology could boost IVF pregnancy rates. Leveraging pre-implantation embryo imaging to increase the chances of successful IVF Despite the routine nature of pre-implantation embryo imaging procedures in tens of thousands of IVF clinics worldwide, little attention has been paid to how better imaging could contribute to more effective embryo selection and, as a result, higher pregnancy rates. CEA-Leti and partners have developed a new microscope that enables the direct observation of embryonic development from the moment of in vitro fertilization up to six days post-fertilization. A complex imaging system with strict specifications © CEA Multiple constraints were considered in the microscope’s design, starting with the system’s optical characteristics. For effective observation of embryos, an excellent tradeoff between field of view and resolution had to be reached. In addition, the process had to be free from toxic fluorescent substances or stains and non-intrusive to protect embryos from harm. Ease of use in incubator conditions and compatibility with the demands of a biological analysis lab were also factored in. In terms of the output, the 3D image reconstruction had to be computationally efficient and the final rendering useful to physicians in terms of the quality of the information provided. The compact 3D microscope developed has a LED array (top) providing various angles of illumination for the acquisition and 3D rendering of the sample. Advanced 3D reconstruction software the system’s cornerstone A crucial component of this system is the software, which converts raw data into 3D volumes. For the first time ever, a neural network was implemented to complete the necessary computations and provide extremely detailed 3D renderings. So far, the microscope has been tested on mouse embryos, and the results are promising. The 3D time-lapse images produced allowed embryologists to analyze the developing embryos’ morphological and kinetic features—information that could open the door to the use of novel markers for pre-implantation embryonic health, contributing to the broader goal of improving IVF success rates. CEA-Leti PhD candidate William Pierré was first author of a paper on the microscope published in Applied Optics. Impact Pre-implantation embryo observation must be as nonintrusive as possible. Our 3D microscope is particularly effective—and gentle—at finding new embryonic viability markers. This advance could help millions of patients dealing with infertility. Research partners Several CEA departments, including at CEA-List; Grenoble University Medical Center. Further reading • Pierré, W., et al. 3D time-lapse imaging of a mouse embryo using intensity diffraction tomography embedded inside a deep learning framework. Applied Optics, 61, 3337-3348. 2022. 93 SCIENTIFIC REPORT 2023 New rapid bloodstream pathogen identification technique for faster diagnosis of infections Early diagnosis of bloodstream infections can make the difference between life and death © CEA CEA-Leti engineer Impact Bloodstream infections are particularly deadly, and rapid, accurate diagnosis could make a huge difference in outcomes for patients in septic shock. E-MOC, an instrumented blood culture bottle, could reduce time-to-results from days to just hours. E-MOC could make early diagnosis the norm Research partners 94 © CE A N/A • Babin, T. Développement de capteurs électrochimiques pour le diagnostic des infections sanguines. PhD Dissertation, Université Grenoble Alpes. 2023. • Babin, T. C., et al. Electrochemical labelfree pathogen identification for bloodstream infections diagnosis: Towards a machine learning based smart blood culture bottle. Sensors and Actuators B: Chemical, 387, 133748. onic devices. Materials Advances, 4, 3636-3644. 2023. doi: 10.21203/rs.3.rs-2153621/v1. E-MOC prototype and, for a blood sample infected with E. coli, readings of electrical potentials over time on the nine electrodes. Given the potential outcome of bloodstream infections—septic shock and, ultimately, death—rapidly identifying the pathogen responsible is crucial. The statistics speak for themselves: In 2017, 48.9 million cases of sepsis and 11 million deaths accounted for 19.7% of total worldwide mortality. The numbers are just as dire for individual patients, with mortality rates rising by 7.6% for every hour of ineffective treatment during septic shock. Alarmingly, the diagnostic process, from blood sampling to the decision of what antimicrobial treatment to administer based on test results, takes over 35 hours on average. Rapid testing solutions for the early and accurate diagnosis of these infections could save many, many lives. Pierre Marcoux Further reading © CEA E-MOC is a revolutionary smart blood culture bottle based on an innovative CEA-Leti technology. The purpose of the device is to bridge the current gap in the early diagnosis of bloodstream infections, notorious for their high morbidity and mortality rates. A startup is planned to further develop and, ultimately, commercialize E-MOC. While there have been advances in rapidly identifying pathogens and testing for antibiotic susceptibility after a blood bottle is flagged as positive, low-cost solutions addressing the early stages of the process to speed up total time-to-result have been sorely lacking. The E-MOC smart blood culture bottle addresses this gap by taking advantage of the time traditionally wasted during the transportation of blood bottles. Equipped with multiple electrodes, the bottles have their own tiny, artificial-intelligence-enabled multiparametric electrochemical monitoring systems so that bacteria can be detected and identified during transportation of the sample, saving precious time. Monomicrobial infections were successfully diagnosed, with no false positives or negatives Thibaut so far, thanks to each cultured pathogen’s Babin unique fingerprint. No reagents or further sample preparation steps were required. The research won CEA-Leti PhD Thibaut Babin (see below) a Best Dissertation Award from the French Microbiology Society. Concept proven; clinical trials next The technology has been proven, and a path toward integrating the electrodes into blood bottles to form a manufacturable fully-instrumented consumable will now need to be mapped out. For regions with limited resources, efforts are underway to produce a cost-effective consumable made from paper and affordable inks. A planned startup could pursue the development of this groundbreaking solution and bring it to the market. 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH Unprecedented phage surface density could help advance phage therapy and enable new antibacterial surfaces © CEA © CEA A novel functionalized surface for rapid phage screening Pierre Marcoux CEA-Leti engineer Larry O’Connell CEA-Leti PhD candidate With the increase in antibiotic-resistant bacteria, scientists are investigating solutions like rapid testing for faster treatment of infections and functionalized antibacterial surfaces to control bacteria before they cause harm. CEA-Leti’s groundbreaking research brings the latest advances in biophotonics and surface chemistry to tomorrow’s phage therapy. Phage therapy an area of increasing interest against multi-drug-resistant bacteria © L. O’Connell / CEA By 2050, antibiotic-resistant infections could claim 10 million lives annually. Phage therapy, which uses bacteriophages, or bacterial viruses, to combat bacterial infections, stands out among the potential solutions. The therapy’s success, however, hinges on effective targeting of highly specific phages and ensuring the stability of complex pre-assembled phage cocktails. Understanding the complex interplay between phages and bacteria and the ability to rapidly select the right phage or combination of phages for individual patients will be paramount. CEA-Leti is developing a disruptive interdisciplinary methodology at the intersection of biophotonic microsystems and surface chemistry to enable the kind of in-depth analysis of phage-bacteria interaction required for modern phage therapy. Bacteriophage gh-1 grafted on a gold surface. The ability to select the most active phages very rapidly from phage banks is vital to the timely treatment of antibiotic-resistant infections. Our goal was to produce a multiplexed surface plasmon resonance (SPR) screening method enabling rapid identification of the ideal bacteriophages for individualized therapy. We prototyped an innovative method for locally immobilizing bacteriophage monolayers on a gold surface to multiplex phage susceptibility testing on the surface. bacteria are injected into a microfluidic flow that interacts with this functionalized surface, producing a detectable SPR signal used to identify the desired phage. Study of immobilization chemistries produces new information about gold surfaces Although the chemical immobilization of phages on gold is a crucial aspect of SPR-based tests, different immobilization chemistries and the immobilization of multiple phages with the same parameters have rarely been studied. Our comparison revealed that a bacteriophage-functionalized gold layer can easily approach the geometric limits of surface density when cross-linked to the surface with 11-merceptoundecanoic acid if special consideration is paid to upstream purification of the phage suspensions. In this research, we obtained an unprecedented surface density of grafted phages approaching the theoretical geometric density limit. Impact These advances could help bring phage-functionalized antimicrobial surfaces to hospitals and other settings and enable rapid multiplexed phage susceptibility testing. Research partners IRIG – SYMMES with the support of LABEX ARCANE and CBH-EUR-GS (Grant ANR-17-EURE-0003). Further reading • O’Connell, L., et al. Approaching the Geometric Limit of Bacteriophage Conjugation to Gold: Synergy of Purification with Covalent and Physisorption Strategies. ACS Biomaterials Science & Engineering, 9(5), 2335–2346. 2023. • O’Connell, L., et al. Rapid fabrication of interdigitated electrodes by laser ablation with application to electrokinetically enhanced surface plasmon resonance imaging. Optics & Laser Technology, 161, 109167. 2023. 95 New technique detects lethargic but still-infectious pathogens for safer seafood Seafood is responsible for a non-negligible proportion of the collective food poisoning outbreaks that occur each year. A new technology developed by CEA-Leti and partners will make it possible to analyze the surfaces of seafood packaging plants, identifying pathogenic bacteria that are lethargic but still potentially infectious. © ThomasBrauge & Graziella Midelet (ANSES). SCIENTIFIC REPORT 2023 Raman microspectrometer at ANSES food safety lab. The microscope plus Raman spectrometer can chemically analyze a single bacterial cell (1 µm). © CEA VBNC bacteria a silent threat Pierre Marcoux CEA-Leti engineer Impact This new detection technology will make it possible to test the surfaces of seafood packaging plants for pathogenic bacteria that are lethargic but still infectious, mitigating a significant, yet silent, food poisoning risk. Research partners ANSES (Raman microspectroscopy), INRAE (application of the method to a new Vibrio strain). Further reading • Trigueros S., et al. Deuterium isotope probing (DIP) on Listeria innocua: Optimisation of labelling and impact on viability state. PLoS ONE 18(3): e0280885. 2023. doi.org/10.1371/journal.pone.0280885 • Trigueros S. Mesure du métabolisme par microspectroscopie Raman : Application à la détection des cellules viables non cultivables de Listeria. Sciences agricoles Université du Littoral Côte d’Opale, 2022. 96 Many of the processes present in seafood manufacturing plants—salting, smoking, marinating, chilling, cleaning, and disinfection—can drive bacterial pathogens into what is known as a viable but non-culturable (VBNC) state that makes them undetectable using conventional testing techniques. These dormant pathogens can once again become harmful once they are exposed to more favorable conditions, like those in the human digestive tract, effectively “waking up” once ingested. A 2021 report by the European Food Safety Authority pointed to listeriosis, which, caused by Listeria bacteria, had a mortality rate of 17.6% in 2019. Because of the particularly severe consequences of Listeria contamination, we decided to address it in our research, using Listeria innocua as a model for Raman microspectroscopy-based VBNC detection. Raman-DIP, a novel combination detection method We began with Raman spectroscopy, known for its rapid, label-free, single-cell identification capabilities. We then looked at how to best couple it with Deuterium Isotope Probing (DIP), a technique that uses heavy water (D2O) to measure metabolic activity. Together, the two techniques turned out to be a cost-effective, non-destructive means of detection. D2O had previously been shown to disrupt metabolic pathways, impeding growth and biofilm formation. Therefore, we looked at the impact of D2O on L. innocua cells in three states: viable culturable, viable but non-culturable, and dead. Our Raman spectroscopy studies confirmed that D2O did not affect the viability of L. innocua. We also improved the DIP labelling protocol, achieving maximum labelling intensity after two hours of exposure to 75% v/v D2O. Early detection (at one and a half hours) was also possible. This innovation indicates that Raman-DIP is a viable foundation for developing new methods for detecting metabolically active Listeria cells. CEA-Leti won two Best Poster Awards at the 2021 and 2022 French Microbiology Society Congresses for this research. Helping public health authorities improve food safety French food safety authority ANSES began working on pathogen detection with CEA-Leti in 2018. A Raman spectrometer with singlebacteria resolution was acquired by the CEA and, in 2022, made available to ANSES for bacterial pathogen detection in seafood. In 2023, the partnership expanded to include national agronomy research institute INRAE. The implications just for France, as the 4th largest fishery and aquaculture producer in the EU, are significant. 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH © CEA © Marine Beurrier-Bousquet Cancer screening and environmental microplastics detection could benefit from a label-free MIR interferometric imaging technique Marine Beurrier-Bousquet CEA-Leti PhD candidate A PhD dissertation recently completed at CEA-Leti demonstrated the effectiveness of a novel technique that could transform in vivo cancer screening and the detection of microplastics in water by eliminating the need to dehydrate samples for chemical analysis. The CEA’s quantum cascade laser and uncooled infrared detector expertise were instrumental in this advance. New devices enable new imaging methods Fourier transform infrared spectroscopy (FTIR) stands as a reference technique for chemical analysis. However, absorption by water at infrared wavelengths makes spectral measurements on hydrated samples nearly impossible. The emergence of new devices like quantum cascade lasers (QCLs) and uncooled infrared imagers have opened new avenues toward obtaining spatially resolved spectral information in the infrared range on non-dehydrated samples. This PhD research explored the potential of these techniques to overcome the challenges posed by water absorption. Interferometric test bench in the mid infrared. Up next, testing on cancerous tumor samples To our knowledge, this is the first time infrared images of samples immersed in water have been generated using holography. The next step will be to test the technique on actual samples of hydrated cancerous tissue. In terms of miniaturization and integration into a future medical device like an endoscope, additional research and development will also be needed. Impact Together, quantum cascade lasers, uncooled IR detectors, and digital holography can effectively analyze the chemical composition of aqueous samples, creating a new path to applications like in vivo medical diagnostics and environmental monitoring. Digital holography a promising solution Digital holography, operating on the principles of interferometry, offers a promising solution for analyzing hydrated samples in the mid-infrared spectrum. Here’s how it works: A laser beam is bifurcated and then recombined, with the sample placed in one beam and a reference sample in the other. The difference in the two beams after passing through the samples can be measured when the beams are recombined. QCLs are powerful enough to pass through the water in the samples, and holography allows for measurements that are sensitive to the sample’s refractive index and, therefore, its spectral signature, despite water absorption. Finally, the uncooled infrared detectors generate the resulting image—the hologram. Research partners N/A Further reading • Beurrier-Bousquet, M., et al. Mid-infrared digital holography imaging in aqueous media. Proceedings of SPIE, Label-free Biomedical Imaging and Sensing (LBIS), 12391, 1239108. 2023. 97 SCIENTIFIC REPORT 2023 MARKET NEWS © A. Aubert / CEA FROM OUR R&D PARTNERS HEALTH PLATFORM Innovation is the key to success in today’s fast-paced medtech industry. Having the right R&D partner can be a real advantage. MedTech companies, from startups to multinationals, can leverage CEA-Leti’s R&D capabilities at every stage of their product development process: from early-stage R&D to manufacturing. This could ensure regulation-compliant development and clinical testing for new medicaldevices. https://urlz.fr/nHOm HOW CAN WE PREVENT BACTERIA FROM SETTLING AND PROLIFERATING ON THE INSIDE SURFACES OF THE INTERNATIONAL SPACE STATION (ISS) ? © NASA Since 2016, CEA-Leti has been collaborating with Laurence Lemelle and Christophe Place (ENS Lyon) to solve this challenge as part of the Matiss project, which is funded by CNES. 98 https://urlz.fr/nLM6 © ImageFlow / IRStone - Adobe Stock 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH INJECTSENSE, A START-UP THAT GIVES HOPE TO GLAUCOMA SUFFERERS Source : France Bleu Isère Gérard Fourgeaud. Tuesday, June 27, 2023. © vicu9 - Fotolia.com It’s a start-up that could revolutionize the cure for glaucoma: a terrible eye disease that can lead to blindness. "Injectsense" is on show at the "Leti innovation Days" until Thursday June 29, at Minatech in Grenoble. https://urlz.fr/nWow www.injectsense.com Published on 12 January 2022. The problem with implantable medical monitoring devices for organs like the eye, brain, or heart, is that the power sources are often larger than the actual sensors. Startup Injectpower was founded in early 2020 to tackle this challenge. The company is building on a technology protected by 40 CEA-Liten and CEA-Leti patents to offer rechargeable millimeter-sized microbatteries. These tiny batteries make on-demand, intervention-free, in situ measurement possible. © Injectpower INJECTPOWER, FOR IMPLANTABLE MONITORING DEVICES THAT LAST https://urlz.fr/nWBz www.injectpwr.com 99 SCIENTIFIC REPORT 2023 MARKET NEWS FROM OUR R&D PARTNERS ADMIR HOW CEA SPIN-OFF ADMIR PLANS TO CUT CANCER DIAGNOSIS TIME BY A FACTOR OF 100 © UtopikPhoto / CEA © UtopikPhoto / CEA © ADMIR Source: Usine Nouvelle January 2023. A new start-up incubated at the CEA, Admir aims to shake up the field of medical analysis. At CES 2023, the deeptech company is presenting an imaging system designed for laboratories and hospitals. Using infrared technology, the company claims to be able to dramatically reduce cancer diagnosis times. Mathieu Dupoy CTO Laurent Duraffourg CE The prototype machine from start-up Admir measures around 50 centimetres. Laurent Duraffourg, Admir’s CEO, said: “With current equipment, cancer analysis can take two days to three weeks. The system is completely clogged up as the number of examinations increases exponentially. Our device can reduce this analysis time to one hour.“ https://urlz.fr/nHX0 www.admir-analysis.com 100 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH ECLYPIA, THE NEW STARTUP WORKING ON A LOW-COST, NON-INVASIVE BLOOD GLUCOSE-SENSOR By pooling their know-how, Eclypia and CEA-Leti have developed an optimized, low-cost quantum cascade laser (QCL) manufacturing process that uses silicon as a production vehicle, bringing QCLs into the field of silicon photonics. © Eclypia © Eclypia Hélène Lefebvre, General Manager and Jean-Guillaume Coutard, CTO Jean-René Lequepeys, CEA-Leti CTO, said: “Based on our expertise in integrated photonics and microelectronics processes, CEA-Leti is mobilizing its teams to support the development of a low-cost, non-invasive blood glucose sensor, in close collaboration with Eclypia’s teams.“ https://urlz.fr/nHNo www.eclypia.com 101 SCIENTIFIC REPORT 2023 MARKET NEWS FROM OUR R&D PARTNERS MAG4HEALTH RAISES 5.3 M€ IN FINANCING © Mag4Health Source: La Région Auvergne-Rhône-Alpes Entreprises Published June 9, 2023. The Grenoble-based start-up that maps the inside of the brain has raised €5.3 million from Business Angels, family offices, Bpifrance, the Auvergne-Rhône-Alpes Region and ANR. https://urlz.fr/o3qe www.mag4health.com 102 From left to right: Agustin Palacios-Laloy, Jaroslaw Rutkowski, Matthieu Le Prado, Rudy Romain, Guillemette Barier, Etienne Labyt, Sergey Mitryukovskiy. 06 I EMERGING DEVICES TO IMPROVE HUMAN HEALTH 103 SCIENTIFIC REPORT 2023 AWARDS Award-winning research by scientists of all ranks emblematic of CEA-Leti’s culture of collaboration in support of scientific excellence © CEA 104 The European Microelectronics and Packaging Conference® 2023 (EMPC® 2023) was a win for Auriane DespaxFerreres, a PhD student researching materials at CEA-Liten, and for CEA-Leti PhD student Julie Gougeon. DespaxFerreres received a Highly Commended recognition for her oral presentation on the development of a stretchable and removable electrical interconnect solution for ultra-thin electronic components. Gougeon was honored with a Best Paper Award for her outstanding paper titled “Development and Characterization of Fine Pitch Flip-chip Interconnection Using Silver Sintering.” Justine Lespiaux and Marvin Frauenrath were awarded the Best Student Presentation award at the 242nd ECS (Electrochemical Society) Meeting in 2022 for their outstanding research in semiconductor materials and photodiode technology. Lespiaux’s research, on the epitaxial growth of IV-IV semiconductor materials, probed the materials’ growth characteristics and incorporation of dopants, providing fresh insights into their properties and uncovering potential new applications. Lespiaux, committed to environmental sustainability in her personal life and her research, plans to integrate her findings into devices to enhance energy efficiency. Frauenrath tackled the integration of innovative materials with standard industry equipment using reduced pressure-chemical vapor deposition (RP-CVD). He achieved a breakthrough by reducing contact resistance through strong doping levels and enhancing electrical confinement in the manufacture of SiGeSn photodiodes, which exhibited superior light-emitting intensity to those with doped Ge contact layers. The devices could be used for gas detection and as CMOS-compatible components. Frauenrath’s next objective is to create an electrically-powered laser that functions under similar conditions. EA ©C EA ©C © L. Termeau /C EA CEA-Leti PhD students were very active in materials and packaging in 2022-2023, bringing home a number of awards Anthony Albanese won the Best Poster Presentation award at E\PCOS 2022, the European Phase-Change and Ovonic Symposium, held in September 2022 in Oxford, for his research on amorphous chalcogenide materials. His awardwinning poster demonstrates CEA-Leti’s leadership in innovative photonic solutions. Albanese’s research could support advances in quantum computing, infrared sensors, and telecommunications. AWARDS CEA CE A Dudes Photo / o Tw © © © Camille Laguna, now a process integration engineer for advanced phase change memory at STMicroelectronics, won a Best Student Paper Award at the ESSDERC 2022 - IEEE 52nd European Solid-State Device Research Conference for her paper entitled “Multilayer Structure in SeAsGeSi-based OTS for High Thermal Stability and Reliability Enhancement.” She compared the multilayer OTS with a SeAsGeSi-based bulk alloy, demonstrating the multilayer material’s superior thermal stability, reduced deviceto-device variability, and reliable switching operations at temperatures up to 300 °C. given by a Student Merit Award winner at the American Vacuum Society International Symposium. Ronco won the award for his research on process drift of SiO2 atomic layer etching in HFC and FC/Ar chemistries by optical spectroscopy and surface chemistry analysis. Kyllian Millard won a Best Poster Award at JNOG (Journées Nationales d’Optique Guidée) 2023, a major French optics meeting. Alain Aspect, winner of the Nobel Prize in Physics 2022, presented the award. Milliard is studying integrated photonics, with a focus on designing and characterizing photonic integrated circuits (PICs) on transparent substrates for near-eye displays that could be integrated into solutions like augmented reality glasses. Raphaël Feougier received the Intel Supply Chain Best Student Award at 2023 SPIE Advanced Lithography + Patterning in San Jose for his innovative paper on grayscale lithography for the creation of anti-reflective nanostructures that could boost the light-collecting properties of surfaces in dark environments and enable self-cleaning capabilities for devices like solar panels and imagers. Feougier found his inspiration for the advance in insect eyes. Antoine Ronco won the 2023 PSTD Coburn & Winters Student Merit Award, which recognizes outstanding research achievements and an oral presentation © CEA r. Ch Mo rel / CEA Process, integration, and device research also earned our PhD students awards in 2022-2023 105 SCIENTIFIC REPORT 2023 Théo Ayral earned a spot among the finalists for Best Student Paper at the 2023 IEEE/ ASME International Conference on Advanced Intelligent Mechatronics (AIM Seattle 2023) for a tactile-based method for detecting slippage in robotic manipulation utilizing a single piezoelectric sensor. This novel approach combines spectral analysis and deep learning to enhance efficiency and adaptability. The award-winning research featured an automated data collection process with accurate and unbiased labels for slip events. This innovative technique has significant potential to improve the performance and reliability of robotic systems, particularly in grasping applications. EA ©C Simone d’Agostino won a Second Place Best Paper Award at the 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS 2023) for his research on artificial intelligence and neural networks. The awardwining paper focused on optimizing the implementation of metaplasticity in quantized neural networks, a key element for long-term memory emulation. CEA-Leti PhD students also brought home awards for their research for telecommunications and space applications © CE A EA ©C EA ©C Artificial intelligence research won CEA-Leti PhD students two awards 106 Ibrahim Sbeity received the Best Paper Award at the 2023 International Conference on Localization and GNSS (ICL-GNSS) held in Spain. His award-winning paper, titled “RNN-Based GNSS Positioning using Satellite Measurement Features and Pseudorange Residuals,” showcases innovative research in the field of satellite navigation and localization leveraging recurrent neural networks (RNN) to enhance global navigation satellite system (GNSS) positioning. Marwan Jadid was recognized with an Honorable Mention for the Best Student Paper at ISAP’22, the 2022 International Symposium on Antennas and Propagation, held in Australia form October 31 to November 3, 2022. As the New Space market grows, new, more compact high-performance antennas will be needed. Jadid’s paper highlighted innovative RF solutions that led to exceptional performance with a non-conventional antenna size, an advance that could reduce electromagnetic pollution and space crowding. Meanwhile, Jean-Michel Hartmann won the Electronics and Photonics Division Award at the 243rd Electrochemical Society Conference in Boston in May 2023. The award, established in 1969, encourages excellence in electronics research and recognizes remarkable technical contributions in electronics. Hartmann’s groundbreaking research positions vertical germanium-tin transistors as viable contenders for future low-power, high-performance computing and, potentially, quantum computing applications. This significant breakthrough also led to a publication in Nature and celebrated the longstanding partnership between Hartmann and the Forschungszentrum Jülich research center, a collaboration that has yielded over a hundred joint publications since 2009. pikPhoto / CE Uto topikPhoto / C EA In packaging-related research, Aurélia Plihon was recognized with the Outstanding Interactive Presentation Paper award at the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC) in San Diego for her research on advanced 3D packaging, a technology that is crucial to IoT, AI, and medical applications. In the research that won this award, she and her team successfully obtained through mold interconnections (TMIs) with an exceptional height-to-pitch ratio. These results pave the way toward high-aspect-ratio vertical interconnects, opening new avenues for more complex and higher-density integrations in fan-out wafer-level packaging. ©U © CE A © CE A © PhD students weren’t the only CEA-Leti scientists who won awards in 2023. Our senior researchers were also well represented at leading international conferences A AWARDS Gaël Pillonnet, a seasoned energy conversion expert at CEA-Leti, won the First Place of the Best Poster Award at the Tuesday session of PowerMEMS22 held in Salt Lake City, Utah in December 2022 for his research on ultra-lowpower logic with contactless capacitive MEMS. Pillonnet’s approach allows contactless MEMS components to be used for logic computation. By using adiabatic transformation during information processing, it is possible to achieve near-zero power consumption at lower calculation frequencies. This breakthrough in MEMS technology provides unprecedented durability to the systems in which they are integrated, making it a game changer in the field of computing. What used to be impossible with conventional approaches can now be imagined with contactless MEMS. CEA-Leti’s Scientific Director Science Thomas Ernst was elected to the Academy of Europe (Academia Europaea) in 2023 after being nominated at the organization’s 34th congress held on October 9 to 11, 2023 in Munich. Ernst believes in mobilizing future generations to tackle emerging issues in the vast adventure that is technology research, a vision that reflects CEA-Leti’s commitment to nurturing future talent and fostering a vibrant ecosystem conducive to sharing diverse perspectives on global challenges. Academia Europaea is the pan-European academy of sciences, humanities, and letters. It was established in 1988 to support excellence in scholarship. Its more than 5,000 members include leading experts from a wide range of scientific and technological disciplines. 107 SCIENTIFIC REPORT 2023 CONTACTS Thomas Ernst Alexei Tchelnokov Jean-Paul Barnes Viviane Cattin Gaël Pillonnet Pascal Mailley VP for Science and Technology thomas.ernst@cea.fr Scientific Advisor to the Technology Platform Division jean-paul.barnes@cea.fr Scientific Advisor to the Silicon Components Division gael.pillonnet@cea.fr Scientific Advisor to the Optics and Photonics Division alexei.tchelnokov@cea.fr Scientific Advisor to the Smart Devices, Telecommunications and Security Division viviane.cattin@cea.fr Scientific Advisor to the Technology for Biology and Health Division pascal.mailley@cea.fr Abdelmadjid Hihi Scientific Program Manager at Clinatec abdelmadjid.hihi@cea.fr 108 MANAGING EDITOR: Thomas Ernst COPYWRITING AND EDITING: Sara Freitas, SFM Traduction COORDINATION: Hélène Vatouyas, Viviane Cattin DESIGN: Design by Eve COVER PHOTO: P. Jayet / CEA WITH ADDITIONAL CONTRIBUTIONS FROM: Jean-Paul Barnes, Gaël Pillonnet, Alexei Tchelnokov, Pascal Mailley, Abdelmadjid Hihi, Susana Bonnetier, Léa Di Cioccio 2023 Work performed in the frame of the IRT Nanoelec CEA-Leti is a member of the Carnot Institutes network 17 avenue des Martyrs | 38054 Grenoble Cedex 9 | France cea-leti.com Research results presented in this document were achieved through a large number of projects, many of which were financed by local, national, and European public institutions. We therefore acknowledge and are deeply grateful for the support of the Auvergne- Rhône-Alpes region, the Grenoble-Alpes Metropole, the Department of Isère, the French State (France 2030, National Research Agency, “Plan de relance,” Bpifrance), and the European Commission (Horizon Europe, KDT Joint Unit). @CEA-Leti FRANCE Supported by the French Public Authorities within the frame of France 2030 © CEA-Leti December 2023 - All rights reserved, any reproduction in whole or in part on any medium or use of the information contained herein is prohibited without the prior written consent of CEA. © P. Jayet / CEA SCIENTIFIC REPORT