Uploaded by briancpotter

J. Michael Sherer - Semiconductor Industry Wafer Fab Exhaust Management-CRC Taylor & Francis (2005)

advertisement
Semiconductor
Industry
Wafer Fab
Exhaust
Management
Semiconductor
Industry
Wafer Fab
Exhaust
Management
J. Michael Sherer
Boca Raton London New York Singapore
A CRC title, part of the Taylor & Francis imprint, a member of the
Taylor & Francis Group, the academic division of T&F Informa plc.
Published in 2005 by
CRC Press
Taylor & Francis Group
6000 Broken Sound Parkway NW, Suite 300
Boca Raton, FL 33487-2742
© 2005 by Taylor & Francis Group, LLC
CRC Press is an imprint of Taylor & Francis Group
No claim to original U.S. Government works
Printed in the United States of America on acid-free paper
10 9 8 7 6 5 4 3 2 1
International Standard Book Number-10: 1-57444-720-3 (Hardcover)
International Standard Book Number-13: 978-1-57444-720-0 (Hardcover)
This book contains information obtained from authentic and highly regarded sources. Reprinted material is
quoted with permission, and sources are indicated. A wide variety of references are listed. Reasonable efforts
have been made to publish reliable data and information, but the author and the publisher cannot assume
responsibility for the validity of all materials or for the consequences of their use.
No part of this book may be reprinted, reproduced, transmitted, or utilized in any form by any electronic,
mechanical, or other means, now known or hereafter invented, including photocopying, microfilming, and
recording, or in any information storage or retrieval system, without written permission from the publishers.
For permission to photocopy or use material electronically from this work, please access www.copyright.com
(http://www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC) 222 Rosewood Drive,
Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that provides licenses and registration
for a variety of users. For organizations that have been granted a photocopy license by the CCC, a separate
system of payment has been arranged.
Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only
for identification and explanation without intent to infringe.
Library of Congress Cataloging-in-Publication Data
Catalog record is available from the Library of Congress
Visit the Taylor & Francis Web site at
http://www.taylorandfrancis.com
Taylor & Francis Group
is the Academic Division of T&F Informa plc.
and the CRC Press Web site at
http://www.crcpress.com
Preface
I would like to dedicate this book to my spouse Mary, our daughters Katie, Allison,
and Nicole, and my parents Frank and Kerin. I would like to thank my numerous
friends in industry (you know who you are!). Special thanks to Vicki, Gregg, and
Joel for their support and interest in this book. I am very grateful for the many
blessings in my life from my Lord and Savior Jesus Christ.
In my freshman year of college, I attended Northern Arizona University (NAU).
During Christmas break, my father and I had a conversation about what major I
would have at NAU. My thought, which I shared with my Dad, was to be a chemical
engineer. My father mentioned to me that if I wanted a chemical engineering degree,
it would be beneficial to go to a university which has a chemical engineering program
(reason he said that was NAU did not). Being of somewhat sound mind, I said, yes,
it would be good!! The next year I was at the University of Arizona. Sometimes we
know where we want to go, but are not sure where to get ideas and solutions to get
there (even if it may be obvious). My hope is this book will help you find some
ideas and solutions to your wafer fabrication (fab) exhaust management questions
(wafer fab exhaust management can be considered exhaust systems, point-of-use
devices, and centralized abatement equipment). Wafer fab and semiconductor manufacturing will be used interchangeably.
The good news is that chemistry and physics principles apply to wafer fab
exhaust management. Whenever there has been a question on what is occurring,
using chemistry and physics has been helpful in understanding the situation and
determining solutions.
Exhaust (airflow) reduction will not be discussed in this book. Exhaust reduction
(with related energy reduction) is an area in which the semiconductor industry is
interested. There are technical efforts and products being developed to reduce exhaust
in some processes such as wet benches, ion implant, and gas cabinets.
This book begins with semiconductor manufacturing facility layout, support
facility operations, and semiconductor process equipment. The next chapter reviews
exhaust types and challenges. Chapter 3 details exhaust point-of-use devices, which
are used close to the process equipment, and exhaust line requirements between
process equipment and the centralized exhaust system. Chapter 4 provides information on centralized wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system, and Chapter 5 provides information on centralized
equipment to control volatile organic compounds. Examples are used to better
understand the discussion points. Chapter 6 discusses emergency releases.
After understanding the important factors of exhaust management, Chapter 7
lists some examples of whole-fab exhaust management situations. These details can
be used to help the reader see how the previous chapters can be used in a semiconductor manufacturing facility.
The majority of this book will be for silicon wafer fab facilities, which is the
largest percentage of facilities today. There will be some information provided for
compound (III-V) semiconductor wafer fab facilities and silicon wafer manufacturing facilities; these facilities do have exhaust management challenges.
Throughout the world there are numerous wafer fab layouts and exhaust system
approaches. I have been fortunate to visit dozens of silicon and compound semiconductor wafer fabs, and a few wafer manufacturing plants throughout the world. The
data shared cannot be reproduced here, as these data are confidential to companies.
This book will discuss wafer fabs in general and is not written to explain all designs
and practices employed. However, the technical information and solutions presented
henceforth can be utilized in any wafer fab.
The Author
Mike Sherer was born to wonderful parents Frank and Kerin in Dearborn, Michigan
in 1958. Mike has two supportive sisters Jayne and Sue. He received a Bachelor of
Science in Chemical Engineering from the University of Arizona in 1981, and a
Master of Science in Chemical Engineering from the University of Rochester, NY
in 1986. Mike married Mary, the love-of-his-life, in 1982. Mary has two wonderful
parents John and Jean. Mary and Mike have three loving daughters: Katie, Allison,
and Nicole.
Mike worked for Eastman Kodak in Rochester, New York from 1981 to 1990
in the environmental field. He spent two and a half years (1990 – 1992) in environmental consulting and a short stint in government. Mike’s next position was at a
Motorola semiconductor division located in Phoenix, Arizona from 1992 to 1999;
he was a senior environmental engineer, and then international environmental, health,
and safety engineering manager. Mike worked at ATMI from 1999 to 2004. Mike
is the principal for Sherer Consulting Services located in Gilbert, Arizona.
Mike has had the opportunity to walk through dozens of wafer fabs worldwide,
conducting numerous fab exhaust management reviews. He has been involved in
organizations such as Semiconductor Environmental, Safety, and Health Association
(past President); Semiconductor Equipment & Materials International; International
SEMATECH; Semiconductor Industry Association; and American Institute of Chemical Engineers.
Mike enjoys spending time with his family, taking part in church activities,
exercising, and fishing. Mike has retired from basketball and has been known to say
a few puns.
Contents
Chapter 1
1.1
1.2
1.3
Wafer Fab Layout ............................................................................................1
Facility-Support Operations .............................................................................3
Semiconductor Process Equipment .................................................................3
1.3.1 Manufacturing Facilities for Silicon Wafers .......................................3
1.3.1.1 Chemical Wafer-Thinning Baths ..........................................3
1.3.1.2 Solution Baths of Hot Nitric Acid .......................................4
1.3.1.3 Other Chemical Baths ..........................................................4
1.3.1.4 Large Storage Tanks for Acid Solution................................5
1.3.2 Silicon Wafer Fabs ...............................................................................5
1.3.2.1 Chemical Vapor Deposition Processes .................................5
1.3.2.2 Etch Processes ......................................................................6
1.3.2.3 Ion Implantation....................................................................7
1.3.2.4 Wet Benches (Hoods) ...........................................................7
1.3.2.5 Spray Processes ....................................................................8
1.3.2.6 Photolithography...................................................................9
1.3.2.7 Chemical Mechanical Planarization (Polishing) ..................9
1.3.2.8 Furnace Tube Cleaners .........................................................9
1.3.2.9 Gas Cabinets .........................................................................9
1.3.2.10 Bulk Chemical Distribution................................................10
1.3.2.11 Plating .................................................................................10
1.3.2.12 Other Silicon Wafer Processes Not Discussed in
This Book............................................................................10
1.3.3 Compound Semiconductor Wafer Fabs .............................................10
1.3.3.1 Metal Organic Chemical Vapor Deposition .......................10
1.3.3.2 Compound Semiconductor Wafer Etch ..............................11
1.3.3.3 Equipment Cleaning ...........................................................11
1.3.3.4 Other Processes...................................................................11
Chapter 2
2.1
Semiconductor and Wafer Manufacturing Facilities ...........................1
Exhaust Types and Challenges ..........................................................13
Exhaust Types ................................................................................................13
2.1.1 Centralized Acid Exhaust System .....................................................13
2.1.2 Centralized Ammonia Exhaust System .............................................14
2.1.3 Centralized Volatile Organic Compound Exhaust System................14
2.1.4 Centralized General, Heat Exhaust System.......................................14
2.1.5 Centralized Pyrophoric Exhaust System ...........................................14
2.1.6 Centralized Exhaust System for High Acid Concentration ..............15
2.1.7 Other Centralized Exhaust Systems ..................................................15
2.1.8 Individual Exhaust to Outside ...........................................................16
2.2
Exhaust Management Challenges..................................................................16
2.2.1 Ammonia ............................................................................................16
2.2.2 Bends..................................................................................................18
2.2.3 Corrosion and Other Damage ............................................................18
2.2.4 Diameters for Exhaust Line or Exhaust Ducts..................................19
2.2.5 Explosions and Fires..........................................................................19
2.2.6 Fluorine ..............................................................................................19
2.2.7 Gaskets, O-Rings, Connections, and Fittings....................................20
2.2.8 Hexamethyldisilazane ........................................................................21
2.2.9 Industrial Wastewater Piping Vent .....................................................21
2.2.10 Junior Size It ......................................................................................21
2.2.11 Kinds of Materials for Exhaust Lines and Exhaust Ducts, Etc. .......21
2.2.12 Air Leaks............................................................................................22
2.2.13 Maintenance .......................................................................................22
2.2.14 Not Enough Exhaust ..........................................................................22
2.2.15 Oil Mist ..............................................................................................23
2.2.16 Perfluorocompounds (Global Warming Gases) .................................23
2.2.17 Quality of Installation ........................................................................24
2.2.18 Restrictions (Particulate Deposition, etc.) .........................................24
2.2.19 Silane ..................................................................................................24
2.2.20 Toxic Gases ........................................................................................24
2.2.21 Ultrapure Water and Other Water Supplies .......................................24
2.2.22 Vertical Tube Cleaners and Other Spray Processes ..........................25
2.2.23 Wet Benches (Hoods) ........................................................................25
2.2.24 “X-cess” Negative Static Pressure of Exhaust ..................................26
2.2.25 “Y” Heat Exhaust Lines ....................................................................26
2.2.26 Zero Unplanned Downtime................................................................27
Chapter 3
3.1
Point-Of-Use Devices and Exhaust-Line Requirements ...................29
Point-Of-Use Device Information..................................................................29
3.1.1 Main Reasons for the Use of Point-Of-Use Devices ........................29
3.1.2 Point-Of-Use Device Technologies ...................................................31
3.1.2.1 Point-Of-Use Wet Scrubbing Systems ...............................32
3.1.2.2 Point-Of-Use Oxidation Systems .......................................32
3.1.2.3 Point-Of-Use Cold Bed Systems........................................33
3.1.2.4 Point-Of-Use Hot Bed Reactors.........................................34
3.1.2.5 Point-Of-Use Reactor Systems...........................................34
3.1.2.6 Point-Of-Use Particulate Removal Systems.......................34
3.1.2.7 Point-Of-Use Recycle or Reclaim Systems .......................35
3.1.3 Purchasing Point-Of-Use Device.......................................................35
3.1.3.1 Understanding Semiconductor Fab Processes, Materials,
and Environmental, Health, and Safety..............................35
3.1.3.2 Understanding Point-Of-Use Device Technologies
and Keeping the Customer No. 1.......................................35
3.1.3.3 Understanding Site-Specific Information ...........................35
3.1.3.4
3.2
3.3
Propose the Best Point-Of-Use Device Technology
Considering All Factors......................................................36
3.1.3.5 Point-Of-Use Device Inlets and Number of Process
Exhausts ..............................................................................36
3.1.3.6 Emissions and Destruction and Removal Efficiency
Data .....................................................................................37
3.1.3.7 Standards, Guidelines, and Guides.....................................37
3.1.3.8 Quote Specifications ...........................................................37
3.1.3.9 Warranty..............................................................................38
3.1.3.10 Identifying Competent Local Maintenance Support
and Training ........................................................................38
3.1.3.11 Spare Parts ..........................................................................38
3.1.4 Point-Of-Use Device Installation.......................................................38
Surrounding Exhaust-Line Requirements......................................................39
3.2.1 Processes to Look Out for .................................................................40
3.2.2 Outlet Connection of Process Pump..................................................40
3.2.3 Keep It Straight, Keep It Close, and Keep It the Same Diameter....41
3.2.4 Minimize Connections .......................................................................41
3.2.5 Install Right Exhaust-Line Construction Materials...........................41
3.2.6 Pitch Exhaust Line Coming from Point-Of-Use Device
That Uses Wet Scrubbing Section .....................................................41
3.2.7 Keep Static Pressure in Exhaust Negative ........................................42
Examples of Point-Of-Use Devices for Selected Processes .........................42
3.3.1 Oxide and Polysilicon (Poly) Chemical Vapor Depositions .............42
3.3.2 Doped Oxide and Poly-Chemical Vapor Depositions .......................45
3.3.3 Nitride and Oxynitride Chemical Vapor Depositions .......................49
3.3.4 Tungsten Chemical Vapor Depositions..............................................51
3.3.5 Tungsten Silicide CVD ......................................................................53
3.3.6 LPCVD Nitride ..................................................................................53
3.3.7 Low-Pressure Chemical Vapor Deposition of Poly-Oxide
(Undoped or Doped) Using Silane ....................................................53
3.3.8 Epitaxial Deposition...........................................................................54
3.3.9 Other Examples of Chemical Vapor Deposition Processes ..............56
3.3.9.1 Titanium Nitride Chemical Vapor Deposition ...................56
3.3.9.2 Low-K Chemical Vapor Deposition ...................................57
3.3.9.3 Furnace Process Using Ammonia ......................................58
3.3.10 Alumiylum Etch.................................................................................59
3.3.11 Oxide, Poly, Nitride Etch Processes..................................................59
3.3.12 Tungsten Etch.....................................................................................61
3.3.13 Ion Implant.........................................................................................61
3.3.14 Wet Benches.......................................................................................61
3.3.15 Spray Processes Using Acid Solutions and
Ammonium Hydroxide-Hydrogen Peroxide Solution.......................63
3.3.16 Cylinder and Other Storage Container Gas-Line
(or Vent Gas) Purges ..........................................................................64
3.3.17 Metal Organic Chemical Vapor Deposition.......................................65
3.3.18 Gallium Arsenide Etch.......................................................................67
3.4
3.5
3.6
Fab Examples of Point-Of-Use Devices........................................................67
Developing a Point-Of-Use Device and Surrounding Exhaust-Line
Strategy...........................................................................................................73
3.5.1 Develop Overall Strategy...................................................................73
3.5.2 Example of Process Exhaust Management and Point-Of-Use
Device Strategy (This Fab Does Have Both Centralized Acid
Exhaust System and Centralized Ammonia Exhaust System)..........74
“Development Opportunities” for Point-Of-Use Devices .............................76
3.6.1 More Process to Point-Of-Use Device Interface...............................76
3.6.1.1 Water Usage........................................................................76
3.6.1.2 Fluoride Wastewater Segregation .......................................77
3.6.2 Understand Cost of Ownership .........................................................77
3.6.3 Better-Performing Inlets for Point-Of-Use Devices..........................77
Chapter 4
4.1
4.2
4.3
4.4
4.5
4.6
4.7
Centralized Scrubbers ........................................................................79
Introduction ....................................................................................................79
General Design and Operation ......................................................................80
4.2.1 General Design Information ..............................................................81
4.2.1.1 Distribution of Airflow .......................................................83
4.2.1.2 Distribution of Scrubbing Solution ....................................84
4.2.1.3 Designing Centralized Scrubbers and Fans
for Installation.....................................................................85
General Operation Information......................................................................85
4.3.1 Ammonia – Centralized Acid Scrubber Enemy No. 1......................86
4.3.2 Acid Mists ..........................................................................................88
4.3.3 Fluorine ..............................................................................................91
4.3.4 Volatile Organic Compounds .............................................................92
4.3.5 Source of Makeup Water ...................................................................94
4.3.6 pH of Scrubbing Solution ..................................................................96
4.3.7 Biogrowth and Scaling.......................................................................98
4.3.8 Preventive Maintenance .....................................................................99
4.3.9 Wastewater Discharge from Centralized Scrubbers ..........................99
Acid Scrubbers for Large Storage Tanks of Concentrated Acid Solution....99
Centralized Abatement Systems for Higher Inlet Concentrations of Acid
Compounds, Chlorine, and Acid Mists .......................................................100
Centralized Scrubbing System for Oxides of Nitrogen ..............................102
Specifying a Centralized Wet Scrubber.......................................................103
4.7.1 Centralized Acid Scrubbers for Fab and Acid Scrubber
for Large Storage Tank of Acid Solution ........................................103
4.7.2 Centralized Ammonia Scrubber for Fab..........................................106
4.7.3 Centralized Acid Scrubbing System for High Inlet
Concentrations of Acid Compounds, Acid Mists,
and Chlorine.....................................................................................108
4.7.4 Centralized Scrubbing System for Oxides of Nitrogen ..................110
Chapter 5
5.1
5.2
5.3
5.4
5.5
5.6
Introduction ..................................................................................................113
Centralized Equipment Technologies to Control Volatile Organic
Compounds...................................................................................................114
5.2.1 Centralized Oxidation Systems........................................................115
5.2.1.1 Regenerative Thermal Oxidation Systems .......................115
5.2.1.2 Recuperative Catalytic Oxidation Systems ......................117
5.2.2 Centralized Capture-Oxidation Systems..........................................119
5.2.2.1 Rotor-Concentrator, Recuperative Thermal
Oxidation Systems ............................................................120
5.2.2.2 Rotor-Concentrator-Recuperative Catalytic
Oxidation Systems ............................................................123
5.2.2.3 Fluidized-Bed, Thermal Oxidation Systems ....................126
5.2.3 Centralized Capture and Recovery Systems ...................................129
5.2.3.1 Systems of Fixed-Bed Carbon with Steam
Regeneration .....................................................................129
5.2.3.2 Fixed-Bed Systems and Recovery of Liquid
Volatile Organic Compounds............................................129
5.2.3.3 Fluidized-Bed Systems and Recovery of Liquid
Organic Compounds .........................................................130
5.2.4 Other Centralized Equipment Systems for Control of Volatile
Organic Compounds.........................................................................130
Examples of Selection Criteria for Centralized Equipment to Control
Volatile Organic Compounds .......................................................................130
Location of Fans ..........................................................................................138
Testing of Centralized Equipment to Control Volatile Organic
Compounds...................................................................................................138
Specification to Supplier of Centralized Equipment to Control Volatile
Organic Compounds.....................................................................................138
Chapter 6
6.1
6.2
6.3
6.4
Centralized Equipment to Control Volatile Organic Compounds...113
Emergency Releases.........................................................................141
Introduction ..................................................................................................141
Controlling Releases from Gas Cylinders or Other Vessels .......................141
6.2.1 Restricted Flow Orifice ....................................................................142
6.2.2 Gas Storage at Low Pressure or Subatmospheric Pressure ............142
Silane Emergency Release...........................................................................142
Reduction of Emergency Release of Concentrations of Stack
Discharge......................................................................................................142
6.4.1 Dilution Used for Emergency Release ............................................142
6.4.2 Abatement Used for Emergency Release ........................................143
6.4.2.1 Dry Resin Abatement System for Emergency
Release ..............................................................................143
6.4.2.2 Wet Scrubber for Emergency Release Using Water
as Scrubbing Solution.......................................................144
6.4.2.3
Chapter 7
7.1
7.2
7.3
7.4
7.5
Wet Scrubber for Emergency Release Using Water as
Scrubbing Solution, Followed by a Submicron Filter
for Acid Mist ....................................................................145
Exhaust Management and Air Abatement Equipment Examples ......149
List of Examples ..........................................................................................149
Example No. 7-1 ..........................................................................................150
7.2.1 Fab Personnel Needed to Understand Technical Information
Surrounding Issues...........................................................................151
7.2.2 Develop Strategy for Exhaust Systems, Point-Of-Use Devices,
and Centralized Abatement Equipment for Fab ..............................152
7.2.3 Three Actions Combined and Project Plan Developed
for These Actions .............................................................................153
7.2.4 Project Plan for Action on Centralized Equipment to Be
Installed to Control Volatile Organic Compounds, Due to
Requirements of Local Air Regulatory Agency ..............................159
7.2.5 Project Plan for Odors Reported at the Clean-Water
Production Plant Near Storage Tank of Concentrated
Hydrochloric Acid Solution .............................................................161
Example No. 7-2 ..........................................................................................161
7.3.1 Fab Personnel Need to Understand Technical Information
Surrounding Issues...........................................................................162
7.3.2 Project Plan and Solutions for Sources of Sporadic Visible
Emissions from Stacks and Odors...................................................163
7.3.2.1 Ammonia Project Plan, Implementation, and Solutions.....163
7.3.2.2 Project Plan, Implementation, and Solutions for
Fluorine and Other Possible Odor Sources......................163
7.3.3 Project Plan for Centralized Acid Scrubbers...................................164
7.3.4 Project Plan and Solutions for Higher-Than-Expected
Emissions of Carbon Monoxide and Oxides of Nitrogen
from Centralized Equipment to Control Volatile
Organic Compounds.........................................................................165
7.3.4.1 Carbon Monoxide Emissions ...........................................166
7.3.4.2 Emissions of Oxides of Nitrogen.....................................166
7.3.4.3 Air Permit Limitations on Emissions for
Centralized Equipment to Control Volatile Organic
Compounds .......................................................................166
Example No. 7-3 ..........................................................................................166
7.4.1 Fab Process Investigation and Solutions .........................................167
Example No. 7-4 ..........................................................................................169
7.5.1 Phase 1 .............................................................................................169
7.5.1.1 Centralized Acid Scrubbers and Centralized
Ammonia Scrubbers .........................................................170
7.5.1.2 Centralized Equipment to Control Volatile
Organic Compounds .........................................................171
7.5.2 Phase 2 .............................................................................................173
7.6
7.7
7.8
Example No. 7-5 ..........................................................................................177
7.6.1 Phase 1 .............................................................................................177
7.6.1.1 Centralized Acid Scrubbers ..............................................177
7.6.1.2 Phase 2 ..............................................................................178
Example No. 7-6 ..........................................................................................180
Example No. 7-7 ..........................................................................................181
Index......................................................................................................................185
List of Acronyms
ACRONYMS AND TERMS
BCD: bulk chemical distribution or dispensing
BOE: buffered oxide etch
CMP: chemical-mechanical planarization or chemical-mechanical polishing
CVD: chemical vapor deposition
DRE: destruction and removal efficiency
EPI: epitaxy or epitaxial
HAP: hazardous air pollutant
HMDS: hexamethyldisilazane
IDLH: immediately dangerous to life and health
LFL: lower flammable limit
LPCVD: low-pressure chemical vapor deposition
MOCVD: metal organic chemical vapor deposition
NMP: n-methyl pyrrolidone
PECVD: plasma-enhanced chemical vapor deposition
PFC: perfluorocompound (as defined by semiconductor industry)
POU: point-of-use
ppmv: parts per million by volume
RO: reverse osmosis
RP: reduced pressure
SACVD: subatmospheric chemical vapor deposition
SC1: standard clean 1
TEOS: tetraethylorthosilicate
TMAH: tetramethyl ammonium hydroxide
UPW: ultrapure water
VOC: volatile organic compound
CHEMICAL SYMBOLS AND FORMULAS
AlGaAs: aluminum gallium arsenide
GaAs: gallium arsenide
HCl: hydrogen chloride
HF: hydrogen fluoride
Hg: mercury
InP: indium phosphide
InGaP: indium gallium phosphide
NH3: ammonia
NH4Cl: ammonium chloride
NH4F: ammonium fluoride
NH4HF2: ammonium bifluoride
NH4NO3: ammonium nitrate
REFERENCES
1. Perry, R.H., Green, D., and Maloney, J. Perry’s Chemical Engineer’s Handbook, 6th
ed., McGraw-Hill, New York, 1984, pp 3-64 and 3-70.
1
Semiconductor and
Wafer Manufacturing
Facilities
1.1 WAFER FAB LAYOUT
Wafer fabrication facilities (referred to as “fabs” throughout this book) are generally
constructed with a few levels, with the main two levels being the fab level and the
subfab level (the level below the fab). The fab level has the wafer processing
equipment. The subfab (and any subfloors and other spaces) has exhaust ductwork,
wastewater drains, chemical piping, gas piping, process support equipment, etc. This
subfab space can be fairly congested. In older fabs, chases are used. Chases are on
the same level as the wafer process equipment and contain some of the same
equipment as the subfab. Some wafer fabs have both chases and subfab. It is
important when understanding the exhaust management of the fab to review its
design and where the exhaust ductwork is located. For example, some fabs have
exhaust ductwork below the process equipment, and some fabs have the ductwork
above the process equipment; many fabs have both.
Wafer fab layouts of process equipment can vary from one fab to the next. The
current layout tends to be a very large, open-room format where similar process
equipment is located together. Examples of similar equipment areas are:
1. Epitaxy or epitaxial (EPI) reactors
2. Chemical vapor deposition (CVD)
3. Diffusion
4. Dry etch
5. Wet etch
6. Ion implantation (implant)
7. Metals
8. Photolithography (photo)
9. Chemical-mechanical planarization (CMP; also called chemical-mechanical polishing)
10. Equipment parts cleaning and furnace tube cleaning (a few areas possibly
dedicated to cleaning parts)
11. Bulk chemical (liquid) distribution (BCD; also called bulk chemical dispensing)
12. Gas distribution room, usually for pressurized toxic and hazardous gases;
also called gas bunker
13. Bulk gas distribution (pads) for gases such as nitrogen and hydrogen
1
2
Semiconductor Industry: Wafer Fab Exhaust Management
It is important to note that any of Items 10 to 13 listed above may be classified
as facility-support operations, not wafer fab operations. Semiconductor processes in
areas listed above will be discussed later in this chapter.
In a subfab design, the exhaust ducts (also called duct headers, duct laterals, or
centralized exhaust ductwork) are generally installed below the floor of the fab level
and overhead of persons walking in the subfab. Owing to changing production needs
(technology change) in a fab, the centralized exhaust ductwork for each type of
centralized exhaust system should be constructed with a larger capacity of the rate
of airflow to allow for additional process exhaust equipment to be installed. The
types of separate centralized exhaust systems that should be considered when a fab
is constructed or retrofitted are:
•
•
•
•
Acid
Ammonia
Volatile organic compound (VOC)
General and heat
Other centralized exhaust systems for fab support areas are:
•
•
Pyrophoric gas cylinders (e.g., silane).
Toxic gas cylinders (arsine, phosphine, etc.)
Other centralized exhaust systems that can be found in a few wafer fabs are:
•
•
•
Pyrophoric process
Gas-specific reclaim or abatement (perfluorocompounds [PFCs]; hydrogen; etc.)
High-concentration acids (hot nitric acid solution baths; hot aqua regia
solution baths; etc.)
The exhaust types listed above will be explained in detail in Chapter 2. The
names of these exhaust types can vary from fab to fab, even in the same company.
Point-of-use (POU) devices (also called exhaust conditioners) are used near the
process equipment to remove contaminants in the exhaust line before the exhaust
enters the centralized exhaust system. These POU devices will also be discussed
further in Chapter 3.
1.2 FACILITY-SUPPORT OPERATIONS
Exhaust management is more than the exhaust systems, POU devices, and centralized
abatement equipment. It is essential to review the impact of exhaust management.
For example, if a POU device containing a wet scrubbing system is used on a high
concentration fluorine-emitting process, the wastewater discharge from this POU
device can contain high fluoride concentrations. The impacts of this wastewater on
the wastewater treatment plant and site discharge limit of fluoride wastewater need
to be assessed.
Semiconductor and Wafer Manufacturing Facilities
3
Typical facility-support operations are:
1. Boilers, diesel generators, cooling towers, and chillers
2. Clean-room air system, to ensure very clean air at proper temperature and
humidity
3. Centralized scrubbers with fans, etc.
4. Centralized VOC control equipment with fans, etc.
5. Wastewater treatment plants: neutralization, fluoride (if needed), CMP (if
needed), etc.
6. Clean-water production plant such as for ultrapure water (UPW)
7. Numerous other mechanical devices such as fans, pumps, etc.
8. Maintaining centralized exhaust systems in the fab and outside the fab
9. Maintaining facility piping, including incoming water, UPW, wastewater,
waste organic solvent, etc.
10. Waste handling
11. Maintaining buildings, offices, etc.
There are other functions, which may include some of those listed in the wafer
fab layout section discussed previously. As mentioned earlier, it is essential to review
all impacts from exhaust management decisions (examples of these will be given
later).
1.3 SEMICONDUCTOR PROCESS EQUIPMENT
This section is not intended to be a semiconductor process course but a general
overview. Semiconductor process equipment that impacts exhaust management will
be briefly explained.
1.3.1 MANUFACTURING FACILITIES FOR SILICON WAFERS
Manufacturing facilities for silicon wafers grow silicon ingots, cut ingots into silicon
wafers, and then process these wafers. EPI deposition and processes that can be
used in these facilities similar to wafer fabs will be discussed in the next section.
Many of the processes used in manufacturing facilities for silicon wafers have
minimal impact on exhaust systems and centralized abatement equipment. The
following sections list some of the processes that can impact exhaust systems and
centralized abatement equipment.
1.3.1.1 Chemical Wafer-Thinning Baths
A chemical bath using a mixture of nitric acid solution and hydrofluoric acid solution
(sometimes other chemicals also) is used at an elevated temperature to thin raw
silicon wafers. This wafer thinning causes the generation of oxides of nitrogen due
to surface reactions. If the temperature of the bath is not controlled with a cooling
system, more oxides of nitrogen can be generated. Nitrogen dioxide may be seen
coming from this bath, as visible emissions begin to occur at low concentrations
4
Semiconductor Industry: Wafer Fab Exhaust Management
(parts per million by volume, or ppmv) of nitrogen dioxide. Visible emissions from
the centralized wet scrubber may occur if stack outlet concentrations of nitrogen
dioxide are high enough. Nitric acid and hydrofluoric acid emissions will also occur.
If nitric acid emissions from the centralized wet scrubber are high enough, visible
emissions can also occur.
1.3.1.2 Solution Baths of Hot Nitric Acid
Heated solution baths of nitric acid can be used in wet benches, in addition to
chemical wafer thinning baths. The temperature and concentration of the nitric acid
in solution are crucial to emissions from these baths.
The temperature of the solution bath of nitric acid (assuming everything else is
constant) is critical to nitric acid emissions, as reflected below in vapor pressure
(see Reference 1).
•
•
•
Vapor pressure of 70% nitric acid solution at 25°C: 4.10 mmHg
Vapor pressure of 70% nitric acid solution at 50°C: 16.5 mmHg
Vapor pressure of 70% nitric acid solution at 70°C: 43.3 mmHg
The temperature increase of twofold, from 25 to 50°C, causes a nitric acid
emission increase of about four times. The temperature increase of almost three
times, from 25 to 70°C, causes nitric acid emissions to increase over 10 times.
The concentration of the solution bath of nitric acid (assuming everything else
is constant) is critical to nitric acid emissions, as reflected below in vapor pressure.1
•
•
•
Vapor pressure of 25% nitric acid solution at 70°C: 0.54 mmHg
Vapor pressure of 50% nitric acid solution at 70°C: 7.25 mmHg
Vapor pressure of 70% nitric acid solution at 70°C: 43.3 mmHg
The concentration increase of twofold, from 25 to 50%, causes nitric acid
emissions to increase over 13 times. The concentration increase of almost three
times, from 25 to 70%, causes nitric acid emissions to increase about 80 times!
When reviewing each bath and its chemistries, it is important to note temperature
and concentration to determine emissions and their impact. Other factors in determining bath emissions are surface area, air velocity over the surface, and if the bath
is being mixed, air bubbled, etc. If nitric acid emissions are high enough from the
centralized wet scrubber, visible emissions can occur. Chemical baths, especially
heated ones, can cause condensation in the ductwork; duct damage can result.
1.3.1.3 Other Chemical Baths
All chemical baths used in wafer manufacturing should be reviewed for exhaust
impact and emissions. One such bath is ammonium hydroxide-hydrogen peroxide
solution (also called SC1, which represents standard clean 1), based on ammonia
emissions and its impact if exhausted to the centralized acid exhaust system due to
formation of ammonium compounds (discussed in Chapter 2).
Semiconductor and Wafer Manufacturing Facilities
5
1.3.1.4 Large Storage Tanks for Acid Solution
Large storage tanks for acid solution (examples are concentrated hydrofluoric acid
solution, concentrated hydrochloric acid solution, and concentrated nitric acid solution) can contain high concentrations of acid solutions and large volumes. Sometimes
these storage tanks are exhausted directly by fan or by an acid scrubber with a fan
(i.e., “suction” on tank), causing higher emissions from these tanks. Acid condensation in the exhaust system can also result. Eliminate this suction on the storage
tank so as to reduce emissions and acid condensation in the exhaust system.
1.3.2 SILICON WAFER FABS
Some of the processes utilized in silicon wafer fabs that affect exhaust systems and
centralized abatement equipment are discussed in this section.
1.3.2.1 Chemical Vapor Deposition Processes
CVD processes use compounds to deposit specific layers on wafers and are important
to the manufacture of semiconductors. These compounds are typically delivered by
gas source or liquid source. Energy can be used to separate these compounds into
elements and deposit them as needed (e.g., silane and ammonia are used in plasma
to form silicon nitride). The chambers, furnace tubes, bell jars, etc. used for wafer
CVD are typically cleaned in situ (inside) using specific gases, or externally to the
process equipment in vertical tube cleaners or wet benches. Wipe cleaning can also
be used. In situ CVD chamber cleanings generally use perfluorocompound gases
(e.g., nitrogen trifluoride, hexafluoroethane, octafluoropropane), chlorine trifluoride,
hydrogen chloride, etc. Predevice CVD chamber cleaning can be attained by using
a device prior to the CVD chamber to break apart the cleaning gas into elements
for immediate use in chamber cleaning (e.g., nitrogen trifluoride in a predevice is
fractured into monatomic fluorine and diatomic fluorine for chamber cleaning).
Numerous CVD processes are used in a typical silicon wafer fab. Some of these
CVD processes are:
1. Low-pressure chemical vapor deposition (LPCVD)
2. Subatmospheric chemical vapor deposition (SACVD)
3. Plasma-enhanced chemical vapor deposition (PECVD)
4. Atmospheric deposition
5. EPI deposition; generally atmospheric or reduced pressure (RP)
Some examples of processes and chemicals used for different layer depositions
are: *
1. Silicon EPI: dichlorosilane or trichlorosilane; arsine; phosphine; diborane;
hydrogen chloride; and hydrogen. Other silicon sources such as silane can
be used.
2. Silicon germanium EPI: similar to silicon EPI, plus use of germanium.
* Note that there are many more processes such as titanium nitride, low-k, etc.
6
Semiconductor Industry: Wafer Fab Exhaust Management
3. Poly deposition: silane or dichlorosilane; chamber-cleaning gas if cleaning
is done in situ.
4. Oxide deposition: silane and nitrous oxide; or tetraethylorthosilicate
(TEOS); chamber-cleaning gas if cleaning is done in situ.
5. LPCVD nitride: dichlorosilane and ammonia; clean gas if in situ cleanings
are done.
6. PECVD nitride: silane and ammonia; chamber-cleaning gas.
7. Tungsten CVD: tungsten hexafluoride, silane, and hydrogen; chambercleaning gas.
8. Tungsten silicide CVD: tungsten hexafluoride and dichlorosilane or silane;
chamber-cleaning gas.
Note that a few of the layers cited above can be doped, using inorganic dopants
(e.g., phosphine and diborane) or organic dopants (e.g., triethyl phosphate and
triethyl borate).
CVD feed gases generally have low utilization in the deposition process, so as
to deposit a uniform layer. Utilization rates for CVD feed gases of only 5 to 20%
are common. Therefore, most of the feed gases are emitted from the process. This
is important in developing process exhaust management and POU device strategies.
Most CVD process chambers are evacuated by a process pump (example of a
nonpump CVD process is atmospheric CVD, which utilizes a negative static pressure
from the centralized exhaust system rather than a process pump to evacuate the
chamber). This process pump can be dry (using nitrogen purge) or wet (using pump
oil usually with smaller amounts of nitrogen purge than dry pumps). The wet pump’s
oil needs to be removed at POU (usually by a filter) before entering the exhaust
line, POU device, or exhaust lateral.
By-products of in situ chamber cleaning depend on the cleaning gas and the
material cleaned off of chamber walls. For example, chamber cleaning using carbonbased perfluorocompounds for a silicon oxide deposition chamber can yield silicon
tetrafluoride, hydrogen fluoride, fluorine, unreacted feed gas perfluorocompounds,
and additional perfluorocompounds (e.g., tetrafluoromethane created from hexafluoroethane cleaning gas).
It is important to note that perfluorocompounds can also be made in cleaning
the chamber with noncarbon cleaning gas (e.g., nitrogen trifluoride), from the deposits on the chamber wall after using an organic compound. An example is to use a
nitrogen trifluoride chamber cleaning gas for a low-k deposition process using a
silicon-containing organic compound.
Furnace tubes can be cleaned outside the furnace in wet bench baths of acid
solution or spray chambers of acid solution (e.g., vertical tube cleaners). These
cleaning operations are usually done in an area near the fab or in the fab itself.
However, this cleaning operation can also be done outside of the facility.
1.3.2.2 Etch Processes
The removal of a layer or portion of a layer on a wafer is another important process.
Energy can be used to separate etch feed gases into elements to etch material on
Semiconductor and Wafer Manufacturing Facilities
7
the wafer. The etched material is considered an etch by-product (e.g., silicon tetrafluoride from an oxide etch process and aluminum trichloride from an aluminum
etch process). Generally, if only certain areas of a wafer are to be etched, a photoresist
will cover the areas not to be etched. The photoresist will be slightly etched during the etch process, generating low concentrations of halogenated by-products
(e.g., chlorinated organic compounds from an aluminum etch process and fluorinated
organic compounds from poly, nitride, and oxide etch processes).
Numerous etch processes are in a typical silicon wafer fab. Some examples of
these etch processes are:
1. Poly etch: chlorine, hydrogen bromide, and perfluorocompounds
2. Nitride etch: perfluorocompounds
3. Oxide etch: perfluorocompounds
4. Aluminum etch: boron trichloride and chlorine
5. Tungsten etch: sulfur hexafluoride
Examples of perfluorocompounds used in the etching process are carbon tetrafluoride, trifluoromethane, hexafluoroethane, nitrogen trifluoride, and sulfur
hexafluoride. It is important to note that perfluorocompounds are defined by the
semiconductor industry as a group of compounds, but it is not a strict chemistry
definition (e.g., trifluoromethane). Use of perfluorocompounds can also be used to
clean the etch chamber in situ.
1.3.2.3 Ion Implantation
Ion implantation utilizes gas, liquid, or solid sources to introduce dopants into
selected areas of the wafer surface. Photoresist can be on the wafer. Typical gas
sources for ion implantation are arsine (arsenic doping), phosphine (phosphorous
doping), and boron trifluoride (boron doping). Examples of other dopants are antimony and silicon.
1.3.2.4 Wet Benches (Hoods)
Silicon wafer fabs use various wet benches for cleaning wafers, removing specific
materials (e.g., oxide), removing photoresist, etc. The wet benches have one or more
baths containing acid solutions, base solutions, organic compounds, clean water, or
chemical mixtures. Sometimes baths will have a gas introduced such as ozone. These
baths can be heated or agitated. An example of a common wet bench is a standard
clean bench, which can have baths containing sulfuric acid-hydrogen peroxide solution, ammonium hydroxide-hydrogen peroxide solution, hydrochloric acid-hydrogen
peroxide solution, hydrofluoric acid solution, and clean water.
As mentioned in an earlier section, the temperature and concentration of the
acid compounds in solution are crucial to emissions from these baths. An example
of this is presented next.
The temperature of the solution bath for hydrochloric acid (assuming everything
else is constant) is critical to hydrogen chloride emissions, as reflected below in
vapor pressure.1
8
Semiconductor Industry: Wafer Fab Exhaust Management
•
•
•
Vapor pressure of 20% hydrochloric acid solution at 25°C: 0.32 mmHg
Vapor pressure of 20% hydrochloric acid solution at 50°C: 2.21 mmHg
Vapor pressure of 20% hydrochloric acid solution at 70°C: 8.5 mmHg
The temperature increase of twofold, from 25 to 50ºC, causes hydrogen chloride
emissions to increase almost seven times. The temperature increase of almost threefold, from 25 to 70ºC, causes hydrogen chloride emissions to increase almost 27
times.
The concentration of the hydrochloric acid solution (assuming everything else
is constant) is critical to hydrogen chloride emissions, as reflected below in vapor
pressure.1
•
•
•
Vapor pressure of 10% hydrochloric acid solution at 70°C: 0.35 mmHg
Vapor pressure of 20% hydrochloric acid solution at 70°C: 8.5 mmHg
Vapor pressure of 30% hydrochloric acid solution at 70°C: 208 mmHg
The concentration increase of twofold, from 10 to 20%, causes hydrogen chloride
emissions to increase about 24 times. The concentration increase of threefold, from
10 to 30%, causes hydrogen chloride emissions to increase about 594 times! Both
of these examples show the significance of concentration and temperature on emissions from chemical baths.
A bath which impacts exhaust systems and centralized abatement equipment is
the ammonium hydroxide-hydrogen peroxide solution bath, due to emission of
ammonia. Ammonia will combine with acid compounds to form ammonium compounds. Ammonium compounds will be discussed further in Chapter 2.
Wet bench design affects exhaust management. Each bath that contains certain
solutions (e.g., ammonium hydroxide solution, heated aqua regia solution [a mixture
of hydrochloric acid solution and nitric acid solution]) needs to be completely
segregated from the centralized acid exhaust system into its own centralized exhaust
system with centralized wet scrubber, or abated POU before entering the centralized
acid exhaust system. Many wet bench bath exhausts are partially segregated or not
segregated at all. (Note that it is important to review exhaust segregation when
purchasing a new wet bench.)
It can appear that the wet bench exhausts are segregated properly; however,
chemical “cross talk” can occur above the baths between the individual bath exhaust
sections in a wet bench. It is important to review each wet bench for proper exhaust
segregation above each chemical-containing bath (and subsequent clean-water bath
if applicable), especially for preventing the mixing of ammonia and acid compounds
in the exhaust.
1.3.2.5 Spray Processes
Spray processes are used to spray similar chemicals that can be found in a wet bench
onto the wafers in a chamber. Many of the spray processes require one chamber or
two chambers. The chemicals are usually fed by BCD near the spray chamber.
Semiconductor and Wafer Manufacturing Facilities
9
1.3.2.6 Photolithography
Photolithography is generally made up of the following process steps on the wafer:
1. Vapor prime (e.g., hexamethyldisilazane [HMDS])
2. Photoresist coating (a mixture of liquid organic compounds and solids)
3. Soft bake (evaporates organic compounds and leaves solids on wafer)
4. Mask alignment and exposure (very low emissions)
5. Develop (organic solvent for negative photoresist and organic base solution — e.g., tetramethyl ammonium hydroxide [TMAH] in water — for
positive photoresist)
6. Hard bake (very low emissions)
Usually there is an edge bead removal step that occurs for the photoresist that
appears on the edges of the wafer after the photoresist coating.
1.3.2.7 Chemical Mechanical Planarization (Polishing)
CMP is used to planarize wafer surfaces containing oxide, tungsten, copper, etc.
Some of these CMP slurries use ammonium hydroxide solution, which emits ammonia that needs to be considered for exhaust management.
1.3.2.8 Furnace Tube Cleaners
Furnace tubes are typically cleaned externally to the furnace. This cleaning can be
done either in a horizontal tube cleaner (with acid solution baths for furnace tube
immersion) or spray-type vertical tube cleaners. The horizontal tube cleaners emit
compounds such as nitric acid and hydrofluoric acid from open baths. Vertical tube
cleaners spray acid solutions (like nitric acid solution and hydrofluoric acid solution)
in a large chamber; acid mists can be entrained in the exhaust.
1.3.2.9 Gas Cabinets
Semiconductor processes utilize several gases which can be fed by pressurized gas
cylinders or subatmospheric gas cylinders. These gas cylinders, especially for toxics
and pyrophorics, are contained in ventilated gas cabinets. The scenario of an accidental release (leak) from the gas cylinder is usually reviewed before installation,
to ensure the accidental release is below one-half the concentrations immediately
dangerous to life and health (IDLH). Accidental releases are also referred to in this
book as emergency releases. Reducing emergency releases below one-half IDLH
concentrations at stack discharge will be discussed further in Chapter 6.
Examples of gases that may be reviewed for emergency release are:
1. Arsine
2. Phosphine
3. Silane
4. Hydrogen chloride
5. Boron trichloride
10
Semiconductor Industry: Wafer Fab Exhaust Management
6. Boron trifluoride
7. Ammonia
8. Dichlorosilane
9. Tungsten hexafluoride
It is important to note that silane, as a pyrophoric gas, may be stored in an area
by itself. The designs used for silane gas cylinder storage are to ensure that silane
is well ventilated, allowing for silane to be adequately diluted or to burn safely if a
leak occurs.
When gas cylinders and other gas storage vessels are changed out, feed lines
are usually purged with nitrogen. These line purges need to be evaluated to assess
the need for POU devices.
1.3.2.10 Bulk Chemical Distribution
Many fabs feed acid solutions, base solutions, organic solvents, etc. from a BCD
area to the process equipment in the fab. The BCD cabinet can exhaust any compounds emitted from the containers and the feed lines.
1.3.2.11 Plating
Plating emissions are generally low. Cyanide plating can be an issue if acidic material
somehow is accidentally introduced into the cyanide solution, creating hydrogen
cyanide gas emissions. This introduction of acidic material could occur by an
operator inadvertently placing acidic materials into a plating bath, or could result
from acid condensation from ductwork flowing into a plating bath.
1.3.2.12 Other Silicon Wafer Processes Not Discussed in This Book
Numerous other wafer fab processes are not discussed in this book because of their
minimal or no impact on exhaust management. Some of these processes are:
1. Steppers
2. Clean-water wafer rinse operations
3. Evaporators
4. Sputterers
5. Ashers
6. Furnaces using inert gases or low flows of process gases (e.g., hydrogen,
hydrogen chloride, chlorinated organic compounds, oxygen) that do not
affect exhaust management to any extent
7. Test and assembly operations
1.3.3 COMPOUND SEMICONDUCTOR WAFER FABS
1.3.3.1 Metal Organic Chemical Vapor Deposition
MOCVD is the deposition of Group III and Group V compounds. Some of these
deposition layers are gallium arsenide (GaAs), indium phosphide (InP), aluminum
Semiconductor and Wafer Manufacturing Facilities
11
gallium arsenide (AlGaAs), and indium gallium phosphide (InGaP). Examples of
materials used in the deposition step are:
1. Trimethylgallium
2. Trimethylaluminum
3. Arsine
4. Phosphine
5. Hydrogen
Gallium nitride is also an MOCVD process, as it can use trimethylgallium and
ammonia. It is important to note that other CVD processes similar to those of silicon
wafer fabs are used in compound semiconductor (examples are nitride CVD and
oxide CVD).
1.3.3.2 Compound Semiconductor Wafer Etch
Whole-wafer surface etch and trench etching occur on compound semiconductor
wafers. Different surfaces can be etched after MOCVD, nitride CVD, and oxide
CVD, for example. Some gases used for these etch processes are:
1. Hydrogen chloride
2. Boron trichloride
3. Chlorine
4. Silicon tetrachloride
5. Hydrogen bromide
6. Perfluorocompounds
1.3.3.3 Equipment Cleaning
Process equipment previously mentioned before can be cleaned in situ or externally
to equipment. Some of the chemistries used for cleaning externally are ammonium
hydroxide-hydrogen peroxide solution and aqua regia solution (a mixture of concentrated hydrochloric acid solution and concentrated nitric acid solution). Due to
spontaneous reaction with air for some materials (e.g., phosphorus deposited in
exhaust lines) and the toxic nature of others (arsenic), extreme care is needed during
cleaning and removing parts to be cleaned.
1.3.3.4 Other Processes
Similar processes (e.g., use of wet benches) are used in the compound semiconductor
fab as in a silicon wafer fab. Refer to the silicon wafer fab sections in this chapter
for information on these similar processes.
2
Exhaust Types and
Challenges
2.1 EXHAUST TYPES
There are several different types of centralized exhaust systems (also called house
exhaust systems) that can be used in a wafer fab. The types of centralized exhaust
systems are described in the sections that follow. The processes (with point-of-use
[POU] devices if used), with their corresponding emissions, need to be reviewed to
decide which types of centralized exhaust systems need to be installed for a new
wafer fab or for retrofit of an existing wafer fab. Many wafer fabs do not have a
separate centralized acid exhaust system and a separate centralized ammonia exhaust
system; this lack of exhaust separation is crucial in making exhaust management
decisions.
2.1.1 CENTRALIZED ACID EXHAUST SYSTEM
The centralized acid exhaust system usually has the largest rate of airflow, which
could be hundreds of thousands of cubic feet per minute. The centralized acid exhaust
system can contain a mixture of numerous gases, mists, and particulates, depending
on what processes are ducted to it. Typical process emissions that are exhausted to
a centralized acid exhaust system are acid compounds (e.g., hydrogen chloride,
hydrogen fluoride, nitric acid, and sulfuric acid), chlorine, fluorine, silicon tetrafluoride, perfluorocompounds (PFCs), etc. In most wafer fabs, centralized wet scrubbers
(called centralized acid scrubbers) are utilized to abate certain emissions in the
centralized acid exhaust system before discharging them into the atmosphere.
Exhaust management is crucial to ensure that incapables, pyrophorics, particulategenerating compounds, toxics, etc. are reviewed before discharging these contaminants into the centralized acid exhaust system, as these emissions can significantly
affect the centralized acid exhaust system and may not be removed efficiently by
centralized acid scrubbers. It is essential that no ammonia or very small amounts
be emitted into the centralized acid exhaust system, so as to prevent formation of
ammonium compounds (more on this later). In general, volatile organic compounds
(VOCs) should be minimized into the centralized acid exhaust system (VOCs can
be a food source for biogrowth in centralized acid scrubber). Hexamethyldisilazane
(HMDS) is sometimes emitted to the centralized acid exhaust system to keep it out
of the centralized exhaust system for VOCs, as it can significantly impact some
centralized equipment technologies for VOC control (deposition of silicon dioxide).
Note that hydrolysis of HMDS can produce ammonia and silicon compounds;
therefore it may be beneficial to exhaust HMDS to the centralized ammonia exhaust
system (if the fab has this centralized exhaust system).
13
14
Semiconductor Industry: Wafer Fab Exhaust Management
2.1.2 CENTRALIZED AMMONIA EXHAUST SYSTEM
The centralized ammonia exhaust system is utilized for process exhausts containing
ammonia only. The rate of airflow (typically 5000 to 30,000 ft3/min) is much lower
than that of the centralized acid exhaust system and is usually lower than that of the
centralized VOC exhaust system. Acid compounds, fluorine, and chlorine should not
be emitted to the centralized ammonia exhaust system. Hexamethyldisilazane
(HMDS) is sometimes emitted to the centralized ammonia exhaust system to keep
it out of the centralized VOC exhaust system, as it can significantly impact some
centralized equipment technologies for VOC control (deposition of silicon dioxide).
This makes some sense as HMDS generates ammonia and silicon compounds when
hydrolyzed. Other organic compounds are not usually emitted to the centralized
ammonia exhaust system.
2.1.3 CENTRALIZED VOLATILE ORGANIC COMPOUND EXHAUST SYSTEM
The centralized VOC exhaust system is typically 5000 to 60,000 ft3/min of air from
wafer fab processes emitting VOC. Only VOC emissions are recommended for the
centralized VOC exhaust system. Because of construction materials for the centralized VOC exhaust system (typically metal) and their impact on centralized VOC
control equipment, acid compounds, base compounds, fluorine, chlorine, etc. are
discouraged from being emitted to a centralized VOC exhaust system. In addition,
other emissions (e.g., hydrides) should not be emitted to a centralized VOC exhaust
system because of deposition in the exhaust duct and formation of oxidation byproducts if thermal oxidation or catalytic oxidation is used for the centralized VOC
control equipment. Nitrogen-containing and silicon-bearing organic compounds
should also be reviewed for possible formation of by-product and their impact in
the centralized VOC control equipment. For example, nitrogen-containing organic
compounds can produce oxides of nitrogen in a thermal oxidizer or catalytic oxidizer,
and silicon-containing organic compounds can oxidize (if oxidation control technology is used) into silicon dioxide and possibly plug the centralized VOC control
equipment. One such organic compound is HMDS, which is a nitrogen-containing
and silicon-containing organic compound.
2.1.4 CENTRALIZED GENERAL AND HEAT EXHAUST SYSTEM
This centralized exhaust system is utilized to exhaust generally noncontaminated
air, which includes heat exhaust. In some cases air with very low concentrations of
contaminants is emitted to a centralized general, heat exhaust system. A centralized
abatement system is not used, as this centralized exhaust system should have little
or no emissions by design.
2.1.5 CENTRALIZED PYROPHORIC EXHAUST SYSTEM
Centralized pyrophoric exhaust system is rarely found in the semiconductor industry.
This centralized exhaust system can be used instead of POU devices on certain
processes that use pyrophoric gases (e.g., silane), compounds considered slightly
Exhaust Types and Challenges
15
pyrophoric (e.g., dichlorosilane), and flammable gases (hydrogen). Sometimes centralized wet scrubbers or other centralized abatement technology is attached to the
end of the centralized pyrophoric exhaust system, as these processes may have other
emissions (e.g., silicon tetrafluoride, hydrogen fluoride, phosphine, ammonia, perfluorocompounds). The centralized pyrophoric exhaust system typically has particulate deposition and sometimes corrosion, so maintenance can be high for this type
of system. Due to this high maintenance and other concerns, a few wafer fabs have
decided to install POU devices on these processes and discontinue use of the
centralized pyrophoric exhaust system.
2.1.6 CENTRALIZED EXHAUST SYSTEM FOR HIGH ACID CONCENTRATION
This centralized exhaust system is very rare but important to note. Processes emitting
high acid concentrations (e.g., hot aqua regia solution, hot nitric acid solution, acid
mists entrained in exhaust during a process operation such as spray processes) can
cause acid mists to form in standard centralized acid scrubbers, possibly causing
visible stack emissions. In addition, odors and equipment corrosion can be found
outside of the fab. These processes emitting high concentrations of acid can be
segregated into a separate exhaust system and controlled with a specialized centralized abatement system. There usually need to be several of these processes to justify
installing a separate centralized exhaust system, as a few of these processes can be
controlled by POU devices and then exhausted to a centralized acid exhaust system.
These acid mists can be seen as visible emissions coming from standard centralized
wet scrubbers, and can deposit on surfaces near the discharge stacks causing corrosion. These acid mists are generally visible at around 1 part per million by volume
(ppmv) and above. Some of these emitting processes of high acid concentration may
have process by-products (e.g., chlorine and nitrosyl chloride from hot aqua regia
solution) that are emitted also, which may need to be abated.
An example of a centralized abatement system that has been successfully used
for a centralized exhaust system for high acid concentration is a vertical countercurrent packed-bed wet scrubber (with a scrubbing solution of high pH) followed
by a submicron filter for acid mist.
2.1.7 OTHER CENTRALIZED EXHAUST SYSTEMS
In some instances there is a need to have a separate exhaust system for a particular
purpose. Some examples of this are:
1. Centralized abatement of perfluorocompounds (PFCs) or recycle exhaust
system: used to capture PFCs from many processes, and then recycle or
abate them.
2. Centralized hydrogen reclaim system: hydrogen from epitaxial (EPI) processes is reclaimed and then generally reused in the EPI processes. Other
uses of this hydrogen are possible.
3. Centralized process exhaust system for oxides of nitrogen: a separate
centralized exhaust system for processes that emit high concentrations of
16
Semiconductor Industry: Wafer Fab Exhaust Management
oxides of nitrogen, due to a required special design of a centralized
abatement system. This type of centralized exhaust system is found usually in a silicon wafer-manufacturing facility. Other compounds, such as
nitric acid and hydrogen fluoride, may also be in this centralized exhaust
system, so design of the centralized abatement system should consider this.
4. Centralized aggregate exhaust system for ion implanters: this exhaust
system combines process pump exhausts from ion implanters to abate
compounds of interest (e.g., arsine and phosphine).
5. Exhaust system for silane gas cabinets: allows for safe release of silane
in case of emergency release.
6. Exhaust system for toxic gas cabinets: in case of emergency release,
usually with abatement system or with dilution system.
Some of the above centralized exhaust systems and corresponding centralized
abatement systems will be discussed in later chapters.
2.1.8 INDIVIDUAL EXHAUST TO OUTSIDE
Individual exhaust systems can be found in a wafer fab that do not connect to
centralized exhaust systems and are usually exhausted to the atmosphere (sometimes
through a POU device). Some examples of these are:
1. EPI exhaust: for safely venting hydrogen from an individual EPI process
2. Pyrophoric exhaust (for venting a process exhaust that has a pyrophoric
compound [and most likely others which need to be reviewed]).
3. Chemical storage vent
2.2 EXHAUST MANAGEMENT CHALLENGES
This section discusses the ABCs of exhaust management, describing what the challenges are and how they affect exhaust management. More detailed solutions will
be described in later chapters.
2.2.1 AMMONIA
It is appropriate to begin this list with ammonia, as it is usually No. 1 on the exhaust
management concern list. Ammonia (NH3) will react immediately with acid compounds (e.g., hydrogen chloride [HCl], hydrogen fluoride [HF], and nitric acid
[HNO3]) when they come into contact in an exhaust system, forming ammonium
compounds as follows:
NH3 + HCl → NH4Cl
NH3 + HF → NH4F
NH3 + 2HF → NH4HF2
NH3 + HNO3 → NH4NO3
Exhaust Types and Challenges
17
The equilibrium of this reaction at room temperature is driven almost all to the
product (e.g., ammonium chloride [NH4Cl], ammonium fluoride [NH4F], ammonium
bifluoride [NH4HF2], and ammonium nitrate [NH4NO3]). Ammonium compounds
formed when ammonia contacts sulfuric acid or phosphoric acid are not discussed,
as concentrations of sulfuric acid and phosphoric acid in a centralized acid exhaust
system are generally very low because of very low vapor pressures of sulfuric acid
solution and phosphoric acid solution in processes used. (Note that ammonium
compounds resulting from ammonia contacting sulfuric acid or phosphoric acid may
be found in an exhaust duct connected to processes using these acid solutions and
ammonium hydroxide solution.) Ammonia can also react with silicon tetrafluoride
and hydrogen fluoride to form ammonium hexafluorosilicate.
These ammonium compounds create the following exhaust management challenges.
1. Ammonium compounds can deposit in the exhaust duct where it is created
and continue downstream. Ammonium compounds are generally white in
color, but can be different colors if mixed with other contaminants.
2. Water molecules adhere to ammonium compounds and form submicron
particles. This can occur in centralized wet scrubbers and in POU wet
scrubbing systems. These submicron particles act like a gas by motion,
but have minimal mass transfer from the gas phase to the liquid phase.
Therefore, these ammonium compounds pass right through centralized
wet scrubbers and are emitted as submicron particles. White or bluishwhite visible emissions can be observed coming from centralized wet
scrubbers at around 1 ppmv and above. It is possible to observe this
opacity at less than 1 ppmv, but it can be consistently found at 1 ppmv
and above. Since these ammonium compound particles flow through standard centralized wet scrubbers, the removal efficiency tests generally
conducted on these wet scrubbers show low removal efficiencies for acid
compounds and in some cases negative removal efficiencies for acid
compounds (discussed in Chapter 4). Ammonium compounds will also
pass through standard POU wet scrubbing systems, typically depositing
in the exhaust duct of the POU device.
Therefore, do not mix gas streams containing ammonia and acid compounds!
Examples of ammonia-emitting processes to be reviewed when eliminating or
preventing ammonia mixing with acid compounds are:
1. Wet benches containing baths with ammonium hydroxide solution (e.g.,
standard clean [SC1] baths, reticle cleaners, and cleaning of compound
semiconductor parts)
2. Spray processes using ammonium hydroxide solution
3. Chemical-mechanical planarization (CMP) operations using ammonium
hydroxide solution
4. Wafer-cleaning (scrubbing) equipment using ammonium hydroxide
solution
18
Semiconductor Industry: Wafer Fab Exhaust Management
5. Nitride chemical vapor deposition (CVD) process (ammonia gas)
6. Oxynitride CVD process (ammonia gas)
7. Low-pressure chemical vapor deposition (LPCVD) nitride (ammonia gas)
8. Other furnace processes with ammonia gas
9. Bulk chemical distribution (BCD) of ammonium hydroxide solution
10. BCD of concentrated tetramethyl ammonium hydroxide solution (before
dilution for process use)
11. Purge of ammonia gas cylinder line (usually very small amount of ammonia gas)
12. Vents for Industrial wastewater pipes (near ammonium hydroxide solution
bath drains)
13. Cleaning of parts using ammonium hydroxide solution
It is important to note that generally very low or nondetectable ammonia emissions come from use of dilute tetramethyl ammonium hydroxide solution and from
the use of buffered oxide etch (BOE) solutions. The key to very low ammonia
emissions from BOE solution baths is pH, which if low will keep ammonia in
solution. Each BOE bath exhaust should be tested to ensure it does not significantly
contribute ammonia (i.e., less than 1 ppmv in process exhaust) to the centralized
acid exhaust system. It would be worthwhile to check ammonia emissions from the
use of dilute tetramethyl ammonium hydroxide solution (e.g., positive photoresist
developers).
A “rule of thumb” can be used to determine if an ammonia-emitting process
needs a POU device before exhaust enters the centralized acid exhaust system or
should be segregated to a centralized ammonia exhaust system. One such rule-ofthumb is 1 ppmv or less ammonia in process exhaust, or another rule-of-thumb is
no measurable ammonia in process exhaust. The choice is made by company personnel. The important thing to remember is any mixing of ammonia with acid
compounds will make ammonium compounds.
2.2.2 BENDS
Any bends in an exhaust line or exhaust duct can cause deposition of liquids or
solids to occur. If a straight exhaust line or exhaust duct can be installed, do it! It
seems to be most critical in smaller-diameter ducts (e.g., 1.5, 2, and 4 inches), which
typically occur between process chamber and connection to the centralized acid
exhaust system.
2.2.3 CORROSION AND OTHER DAMAGE
Certain gases and acid mists can cause corrosion of the exhaust line and exhaust
duct or other damage, especially if moisture (condensation) is involved. It is important to install proper construction materials for exhaust lines and exhaust ducts.
Typical sources of moisture (condensation) are wet benches, spray processes, fire
suppression systems, and POU wet scrubbing systems. Sloping the exhaust lines
and exhaust ducts and installing drains should be done in an attempt to eliminate
acid solution pooling.
Exhaust Types and Challenges
19
Some wet benches have their exhaust outlets at floor level, including acid drains.
Sometimes the acid wastewater is entrained in the ducts and drains down to the
centralized acid exhaust system. Scoping the duct with a camera and light can show
where the source of moisture is and where it is collecting, so it can be eliminated.
Corrosion can also occur in POU devices. This occurs when the construction
materials react with gases etc. from the process.
Fluorine is an example. An existing POU device was operating successfully on
a CVD process chamber using carbon-based PFC chamber clean. This existing CVD
process equipment and clean gas were changed to nitrogen trifluoride pre-device
CVD chamber clean (monatomic fluorine and diatomic fluorine are formed from
breaking apart nitrogen trifluoride in a device before entering process chamber). The
POU device materials were corroded away by the fluorine and the higher fluoride
concentrations in the scrubbing solution.
2.2.4 DIAMETERS FOR EXHAUST LINE OR EXHAUST DUCTS
Exhaust lines for specific applications and the exhaust duct in each application in
the centralized exhaust systems should be installed with minimal change in diameters,
to eliminate solid deposition and to minimize pressure drop. The exhaust line diameter should be the same between process and each piece of equipment in succession.
Examples are a 2-inch diameter exhaust line from the CVD process chamber to the
process pump, a 2-inch diameter exhaust line from the process pump to the POU
device, and a 4-inch diameter exhaust line from the POU device to the centralized
acid exhaust system.
2.2.5 EXPLOSIONS AND FIRES
Duct explosions and fires can occur with pyrophoric gases (e.g., silane) and flammable gases (e.g., hydrogen and natural gas). Explosions and fires can also occur if
pyrophoric solids (e.g., certain silicon compounds and phosphorus) build up in the
ductwork, usually resulting from deposition of process by-products in the duct. A
safety review should be completed on processes (especially those using pyrophoric
gases and flammable gases) to ensure that gas handling is safe, any by-products
generated are known, the deposition of pyrophoric solids is eliminated, proper POU
device technologies are used, and codes are met.
2.2.6 FLUORINE
Fluorine became a challenge when the technology of nitrogen trifluoride predevice
CVD chamber cleaning was developed. Very high concentrations of fluorine and
other by-products such as silicon tetrafluoride are generated from this chamber
cleaning. If no POU device is used on this CVD process, fluorine can impact certain
duct materials of the centralized exhaust system and emissions from centralized wet
scrubbers.
When the nitrogen trifluoride predevice CVD chamber cleaning was first developed, odors were found outside the fab building. Upon investigation of the source,
some of the processes using the nitrogen trifluoride pre-device CVD chamber cleaning
20
Semiconductor Industry: Wafer Fab Exhaust Management
did not have POU devices. These odors were likely oxygen difluoride which had formed
in the centralized wet scrubbers. These centralized wet scrubbers were operating at high
pH by utilizing sodium hydroxide solution. Fluorine does significantly react with moisture in air in a duct to form hydrogen fluoride; however, if a small amount of fluorine
does get to the centralized wet scrubber, then it could form oxygen difluoride depending
on the chemistry and pH of the scrubbing solution. Oxygen difluoride has an extremely
low odor threshold; therefore a small amount can be detected.
In addition to odors, some duct materials were degrading. Fluorine and water
in the duct were forming hydrofluoric acid solution, and along with some effect
from gas-phase fluorine, were degrading the duct. Also, some O-ring materials in
the exhaust line connections of the process pump were damaged by fluorine.
There is some “technical thought” that the silicon tetrafluoride from CVD chamber cleanings were causing the odors outside the fab building, instead of fluorine
and oxygen difluoride. Here is some information to consider why silicon tetrafluoride
may not be an odor source:
1. Silicon tetrafluoride has been a by-product from CVD chamber cleaning
before the nitrogen trifluoride predevice CVD chamber cleaning was
developed. Odors outside fab buildings have increased since nitrogen
trifluoride predevice CVD chamber cleaning with no POU devices have
been installed in fabs.
2. Odors outside the fab building also are found in some silicon wafer fabs
that do not have a segregated ammonia exhaust system (i.e., ammonia and
acid compounds exhaust into the centralized acid exhaust system). Ammonia is mixing with silicon tetrafluoride and hydrogen fluoride, forming
ammonium compounds. The ammonium compounds are flowing through
the centralized wet scrubber (only slightly scrubbed) and are being emitted. This could be an odor source. As soon as ammonia is removed from
the centralized acid exhaust system (stopping the formation of ammonium
compounds), the odors are reduced.
3. If silicon tetrafluoride is an odor source, the solution is still to install a
POU device on the CVD process chamber that is using nitrogen trifluoride
predevice CVD chamber cleaning; this is the same solution for fluorine
from the same nitrogen trifluoride predevice CVD chamber cleaning.
Therefore, installing a POU device in the nitrogen trifluoride predevice
CVD chamber cleaning operation could be a solution for odors outside
the fab.
A typical nitrogen trifluoride predevice CVD chamber cleaning process that is
found without the use of a POU device is an oxide CVD process using tetraethylorthosilicate (TEOS).
2.2.7 GASKETS, O-RINGS, CONNECTIONS, AND FITTINGS
Proper materials are needed for materials used on exhaust line connections and
exhaust duct connections (if applicable). The type of material should be selected
Exhaust Types and Challenges
21
based on gases used, temperature, and type of use. Since most exhaust lines and
exhaust ducts are under negative static pressure of the exhaust, it is important that
the connection not allow air to leak in. One location where gases could leak out and
expose personnel is at the outlet connection of the process pump (there is a short
distance at the process pump discharge, or exhaust outlet, where positive static
pressure of the exhaust could develop before realizing negative static pressure from
the centralized exhaust system).
Proper connections are essential to ensure leaks in or out are eliminated. Ideally,
no connections or fittings should be used; realistically, use the minimum number. It
is important that exhaust lines and exhaust ducts be installed to allow for maintenance
in case of plugging or corrosion (i.e., duct replacement or cleaning).
2.2.8 HEXAMETHYLDISILAZANE
HMDS is used primarily for vapor prime coating prior to photoresist coating. HMDS
evaporates from the wafer surface and is exhausted. Many wafer fabs have VOC
control equipment. HMDS is oxidized in certain centralized VOC control equipment
to silicon dioxide, oxides of nitrogen, carbon monoxide, carbon dioxide, and water.
For example, silicon dioxide can plug heat transfer media of the regenerative thermal
oxidizer, plug heat exchangers in recuperative thermal oxidizers, poison a catalyst
in a catalytic oxidizer, etc.
2.2.9 INDUSTRIAL WASTEWATER PIPING VENT
This is listed as it is one exhaust source that may be overlooked. It is common to
vent the industrial wastewater piping (also called acid wastewater piping) to the
centralized acid exhaust system, to provide ventilation of general fumes and for
possible fumes generated from exothermic mixing reactions in the wastewater piping. If ammonium hydroxide solution is drained to industrial wastewater piping,
ammonia can be entrained into this vent and then into the centralized acid exhaust
system. The ammonia can react with acid compounds forming ammonium compounds.
2.2.10 JUNIOR SIZE IT
Bigger is not always better! Sometimes larger systems are used to attempt to make
exhaust management challenges go away, but end up making them worse or more
costly. It is worth the time and resources to incorporate the right technology the first
time!
2.2.11 KINDS OF MATERIALS FOR EXHAUST LINES AND EXHAUST DUCTS, ETC.
It is essential to specify and install the right materials for the applications. This is
a widespread challenge. Consider moisture that can make acid solutions in exhaust
lines and exhaust ducts (moisture could be originating from a POU device, so review
that before design); consider future possible process and feed material changes;
consider temperature; and proactively look at how to maintain exhaust lines and
22
Semiconductor Industry: Wafer Fab Exhaust Management
exhaust ducts when maintenance is needed (how to access, how to take apart, how
to clean, how fast to order replacement, etc.). Do not assume that the supplier will
always provide the right materials (e.g., a centralized acid scrubber made of fiberglass
needs hydrogen fluoride resistance in it; just because you told the supplier you had
hydrogen fluoride in the incoming airstream does not mean they will provide the
fiberglass with hydrogen fluoride resistance!).
2.2.12 LEAKS (AIR)
Air leaks into process exhaust lines are a leading cause of plugging. Air (with
moisture) will react with many exhaust contaminants of CVD and etch processes to
produce particles, which deposit and lead to process downtime and maintenance.
Check for leaks in all exhaust lines from process chamber to the first purposeful
addition of air (e.g., the POU thermal oxidizing system, the centralized exhaust
system, etc.).
EXAMPLE 2-1:
TUNGSTEN CVD PROCESS (USES TUNGSTEN HEXAFLUORIDE,
SILANE, HYDROGEN, AND CHAMBER CLEANING GAS).
Checks for air leaks are made from the process chamber to the process pump and from
the process pump to the POU device. If the POU thermal oxidation-wet scrubber system
is used, then air is added into the thermal oxidation section, so stop checking for air
leak at the inlet of the POU device. If a POU wet scrubbing system is used, with no air
introduction (which is common), then check for air leaks from this POU device to the
connection where air is first introduced (probably the centralized acid exhaust system).
2.2.13 MAINTENANCE
Maintenance is a must for exhaust systems, POU devices, and centralized abatement
equipment. The questions are how often and what needs to be done. The goal is to
have no unexpected process downtime and no environmental, health, and safety concerns. So, how often should maintenance be done? It really depends on the system.
A possible expectation for maintenance from process chamber exhaust all the
way to the connection to the centralized exhaust system is once a quarter for 4 hours.
This could be accomplished at the same time that the process equipment is being
maintained (note that this does not apply to all process equipment).
Since centralized exhaust laterals, centralized exhaust mains, and centralized
abatement equipment impact more than one piece of fab equipment, preventive
maintenance may only be able to occur once a year (or longer), due to production.
This is why it is essential to have an exhaust management plan to keep exhaust ducts
from plugging and corroding, and to have appropriate redundancy of centralized
abatement equipment with high uptime.
2.2.14 NOT ENOUGH EXHAUST
Enough exhaust (flow and negative static pressure of the exhaust) is essential for
process operation, POU devices, and centralized exhaust systems. Lack of exhaust
Exhaust Types and Challenges
23
can affect wafer quality, especially for atmospheric processes. On the outlet of a
process pump, it will most likely be positive static pressure of the exhaust for a short
distance until the negative static pressure of the centralized exhaust system takes
over (note that it is essential to ensure that O-ring material for the exit connection
of the process pump is compatible with the chemistry in the gas stream (e.g., fluorine
from chamber cleaning) and the gas temperature). If the exhaust from the process
pump is at higher pressure than the pressure outside of the exhaust line, then there
is a possibility of contaminants leaking out of the exhaust line; this can be a larger
issue if there is a blocked exhaust line after the process pump. Static pressure of the
exhaust at and/or near the process pump is usually measured in case of excessive
positive static pressure of the exhaust.
POU devices need enough exhaust also to ensure proper operation. POU devices
can cause operation issues with atmospheric processes, as atmospheric processes
require a steady negative static pressure and exhaust flow.
Plugging of centralized exhaust ducts can reduce the flow rate of the exhaust
and provide inadequate negative static pressure to process equipment. Reduced rate
of airflow and inadequate negative static pressure of the exhaust at the inlet of
centralized abatement equipment can also cause issues for process equipment. This
situation can be caused, for example, by plugged packing material of the centralized
wet scrubbers, a plugged demister of the centralized wet scrubber, a plugged rotorconcentrator on centralized VOC control equipment, and plugged heat transfer media
in a centralized regenerative thermal oxidizer.
2.2.15 OIL MIST
Wet process pumps are still used in many fabs. The oil mist from these process
pumps should be filtered before it enters the centralized exhaust system. Oil can
deposit in the exhaust duct, impact POU devices, and in some cases be seen in
centralized wet scrubbers, as drips on stacks, and as visible stack emissions. Capture
and recycle programs have been utilized since some of these oils are expensive.
2.2.16 PERFLUOROCOMPOUNDS (GLOBAL WARMING GASES)
Perfluorocompounds (PFCs) are relatively inert and do not affect exhaust systems
directly. However, global-warming gases have been proactively addressed by the
semiconductor industry. Significant research and development have been done. A
voluntary agreement for PFC reduction has been signed by the semiconductor
manufacturers in several countries to reduce mass emissions of certain PFC gases
by the year 2010. There are certain listed PFC gases that this agreement applies to
(examples are nitrogen trifluoride, sulfur hexafluoride, tetrafluoromethane, trifluoromethane, hexafluoroethane, octafluoropropane, and cyclic-octafluorobutane). It is
important to note that PFCs are defined by the semiconductor industry as these
compounds, but is not a chemistry definition.
Nitrogen trifluoride predevice CVD chamber cleaning was developed to reduce
PFC emissions. This technology development significantly reduced PFC emissions.
It did create exhaust management challenges (discussed in this book).
24
Semiconductor Industry: Wafer Fab Exhaust Management
2.2.17 QUALITY OF INSTALLATION
Proper installation of process exhaust lines, POU devices, POU device exhaust lines,
centralized exhaust system components, and centralized abatement equipment are
key to their operation (assuming the system is designed correctly for the application).
A properly designed POU device can be installed improperly, causing operation
challenges and excess downtime. It is worth the expense to contract with knowledgeable, high-quality contractors.
2.2.18 RESTRICTIONS (PARTICULATE DEPOSITION, ETC.)
Particulate deposition in exhaust lines and exhaust ducts is common in the semiconductor industry. The good news is it can be prevented! Some sources of particulate
deposition are gas by-products of a CVD process, by-products of an etch process,
and ammonium compounds. Stay tuned for many examples of how to prevent this.
2.2.19 SILANE
Silane is a pyrophoric gas primarily used in CVD processes. A pyrophoric gas at
certain concentrations reacts (oxidizes) with air without an ignition source. Silane
at pyrophoric conditions can explode or be a flame. What is interesting is that silane
below its pyrophoric concentration actually “survives” for a time (there is a general
thought that silane immediately reacts with air no matter what the concentration,
which is not accurate). Low concentrations of silane have been measured at the
stacks of centralized wet scrubbers, hundreds of feet from the process emitting silane
and in air almost the whole distance. In addition, the silane that does react in the
exhaust system creates silicon dioxide, which can deposit in duct, deposit in centralized scrubbers, or be emitted. This information has encouraged some fabs to
install POU devices with thermal oxidation technology rather than use passive POU
air addition systems.
2.2.20 TOXIC GASES
Some of the gases used in the semiconductor industry are considered toxics (arsine,
phosphine, etc.). The semiconductor industry has established significant technology
to deal with toxics. Examples are life safety systems, secondary contained gas lines,
properly ventilated gas cabinets, specialized POU devices, and emergency release
abatement devices.
2.2.21 ULTRAPURE WATER AND OTHER WATER SUPPLIES
The quality of water flowing to POU devices and centralized wet scrubbers is critical
to their operation. Many effects can occur, such as the following:
1. Makeup water that is city water with high calcium concentration is used
in a POU wet scrubbing system with no chemical addition for ammonia
abatement: scaling of packing can result.
Exhaust Types and Challenges
25
2. A centralized acid scrubber operating at a scrubbing solution pH of 9 to
10, using first-pass reverse osmosis (RO) reject water with high calcium
concentration as makeup water: causes significant scaling of packing and
demister.
3. Makeup water that is recycled industrial wastewater or ultrapure water
(UPW) in a POU thermal oxidation-wet scrubbing system removing high
exhaust concentration of fluorine: corrosion of certain metal components
in the POU device in a short time period.
4. Makeup water that is reclaimed rinse water (from wet bench wafer rinse
baths), in a centralized acid scrubber: visible stack emissions. Ammonia
was in the reclaimed rinse water at high enough concentrations to transfer
to the exhaust air in the centralized acid scrubber, contact acid compounds,
and form ammonium compounds. Ammonium compounds are the visible
emissions.
2.2.22 VERTICAL TUBE CLEANERS AND OTHER SPRAY PROCESSES
Many vertical tube cleaners have been designed with a slot exhaust in the back of
the spray chamber (not generally designed well for reducing exhaust acid mist
entrainment). When acid solution is sprayed on furnace tubes or EPI bell jars, the
negative static pressure of the exhaust removes acid mists directly into the exhaust
duct. These acid mists condense in the exhaust duct and can be transported in the
exhaust air to the centralized wet scrubbers. In some cases visible emissions can be
found coming from centralized acid scrubber stacks (submicron acid mists are visible
at around 1 ppmv and above).
An orange opacity may occur in the vertical tube cleaner (and possibly coming
from the centralized wet scrubber stacks) if polysilicon tubes or EPI bell jars are
being cleaned with an acid solution (using concentrated nitric acid solution as a
component), causing an exothermic reaction generating nitrogen dioxide (the orange
color). Reformulating the acid cleaning solution can prevent this situation.
Some spray processes use a chamber to spray different acid solutions and/or
ammonium hydroxide-hydrogen peroxide solution separately onto wafers. Chemical
mists can be entrained in the chamber exhaust, which then condense in the exhaust
duct. Generally the more negative the static pressure of the exhaust is, the more
chemical mists are entrained in chamber exhaust.
Ammonia generated from the use of ammonium hydroxide-hydrogen peroxide
solution in spray processes needs to be managed so as not to combine with acid
compounds to form ammonium compounds. Possible remedies are ammonia being
removed in a POU device before entering the centralized acid exhaust system or
exhausting ammonia to the centralized ammonia exhaust system.
2.2.23 WET BENCHES (HOODS)
Several wet benches (hoods) are generally used in a wafer fab. These wet benches
contain one or several baths that contain chemical solutions or clean water for
numerous wafer-processing steps. The exhaust from these wet benches should be
26
Semiconductor Industry: Wafer Fab Exhaust Management
reviewed for proper exhaust segregation and to ensure that liquid does not enter the
exhaust ducts (sometimes exhaust is installed at the bottom of the wet bench near
the wastewater drain or overflow, which allows for liquid to flow into the exhaust
duct below the wet bench). Proper exhaust segregation is a challenge, since many
of these wet benches are exhausted in the same area below the baths and in the same
area above the baths. Each bath should be exhausted separately in the area below
the bath, since the use of the wet bench can change over its lifetime. The area above
the bath is more challenging, since it is open to allow access, may have a minienvironment, and in many cases, to accommodate robotics. Therefore, “cross talk” can
occur between exhausts from different baths. Balancing of the exhaust area above
the baths is crucial to reduce cross talk. Once the segregation is complete, then each
exhaust can be connected to the separate centralized exhaust systems for acid,
ammonia, and VOCs (or POU devices can be installed if certain centralized exhaust
systems do not exist).
Some examples of bath solutions that should be reviewed for impact on exhaust
management are the following:
1. Ammonium hydroxide solution (usually mixed with hydrogen peroxide
solution); can be called standard clean (SC1)
2. Aqua regia solution (a mixture of three parts concentrated hydrochloric
acid solution with one part concentrated nitric acid solution). Heated aqua
regia is the one to look out for!
3. Heated nitric acid solution (e.g., concentrated nitric acid solution at 70ËšC)
4. Heated photoresist stripper
2.2.24 “X-CESS” NEGATIVE STATIC PRESSURE OF EXHAUST
Excess negative static pressure of the exhaust can impact processes, POU devices,
and centralized abatement systems. Excess exhaust can affect wafer quality, especially for atmospheric processes. Too much exhaust on POU devices causes operation
issues, and with some technologies (e.g., a wet scrubbing system) it can cause mists
(with contaminants) to be entrained into the exhaust. These mists condense in exhaust
lines, causing corrosion, particulate buildup from contaminants in the mists, and
other exhaust challenges.
Excess negative static pressure of exhaust in POU oxidation systems, POU dry
technology systems, and POU wet scrubbing systems can cause reduced gas residence time and can cause nonuniform gas flow through the POU device. Many POU
device suppliers provide ranges of exhaust static pressure for their POU devices.
2.2.25 “Y” HEAT EXHAUST LINES
Heating of exhaust lines should be used to keep particulates from building up in the
exhaust lines from the process chamber to the process pump and from the process
pump to the POU device for certain processes. Heat trace and adding hot nitrogen
to the exhaust line are two ways to accomplish heating of the exhaust line. If heating
of the exhaust line is used to keep the exhaust line clean without the use of a POU
Exhaust Types and Challenges
27
device (i.e., heating the exhaust line from the process pump to the centralized exhaust
system), then the particulates being kept from the exhaust line are ending up in the
centralized exhaust system!
Some examples of processes that should be highly considered for heating of
exhaust lines are in the following list. (Note that some CVD chamber cleanings can
help in keeping exhaust lines clean.)
1. Nitride CVD
2. Oxynitride CVD
3. Tungsten CVD
4. Tungsten silicide CVD
5. LPCVD nitride
6. Aluminum metal etch
Some other processes to review for heating the exhaust line (depends on how
often it plugs and whether maintenance, cost, and downtime are considered serious):
1. Tungsten etch
2. TEOS-based oxide deposition processes
3. Other silane-based processes (e.g., polysilicon CVD and oxide CVD)
Different exhaust-line heating products are available and can be very expensive.
2.2.26 ZERO UNPLANNED DOWNTIME
Zero unplanned downtime CAN be achieved if the wafer fab has a detailed exhaust
management strategy, which includes designing it right the first time and fixing it
when it is found to be a challenge.
Include persons on your team who understand exhaust systems, POU devices,
and centralized abatement equipment; who can troubleshoot existing challenges; and
who can design it right the first time. These persons are hard to find.
3
Point-Of-Use Devices
and Exhaust-Line
Requirements
3.1 POINT-OF-USE DEVICE INFORMATION
POU devices are designed for treating emissions from the outlet of the semiconductor
processes, so as to remove the contaminants of interest before they enter the centralized exhaust system. POU devices have been installed to increase production
uptime, to protect fab assets, and for personnel health and safety reasons; in a few
cases POU devices can be installed for environmental reasons. Some of the POU
devices are interlocked with the process equipment (i.e., when the POU device fails,
the process equipment is shut down). A delayed shutdown can be set up to allow
the wafer run to finish, as long as it is safe.
POU devices are generally considered a “headache” to production and can be
maintenance intensive. POU devices are essential for some process equipment to
keep production operating, for safety reasons, and for protecting centralized exhaust
systems. Therefore, it is essential to understand why POU devices are needed, the
best POU device technology for the process application, and good surrounding
exhaust line practices. This chapter will discuss these important items. There are
numerous examples in this chapter of POU device selections for certain processes,
and some examples of things that can cause POU devices to operate less effectively.
3.1.1 MAIN REASONS FOR THE USE OF POINT-OF-USE DEVICES
Many times the following questions are asked (and should be asked): why is a POU
device for this process being used, or why was this POU device technology chosen
for this process equipment or gases? Sometimes the answers to these questions are,
it is what we always used, or it is what the past person installed on similar process
equipment. Sometimes the POU device can actually increase plugging of the POU
device exhaust line if it was not there at all! Sometimes the makeup water source
is assisting in corrosion or plugging of the POU device, causing higher maintenance
cost and reduced process wafer throughput. A good way to start is to list the main
reasons for POU device use.
1. Prevent exhaust restrictions (blocked exhaust line or deposition in the
centralized exhaust system). Reactions between gases, solids from the
29
30
Semiconductor Industry: Wafer Fab Exhaust Management
process, or condensation of vapors produce material buildup in the exhaust
line and centralized exhaust system. This buildup can cause production
downtime to the clean exhaust line and can cause back pressure, potentially allowing for gases to leak into a subfab or fab area. Centralized
exhaust duct collapse can occur if the exhaust duct becomes too heavy
with solids. Some processes that can cause deposition in exhaust lines
and a centralized exhaust system are:
a. Aluminum metal etch
b. Tungsten chemical vapor deposition (CVD)
c. Tungsten silicide CVD
d. Nitride CVD
e. Oxynitride CVD
f. Low-pressure chemical vapor deposition (LPCVD) nitride
g. Tetraethylorthosilicate (TEOS)-based oxide CVD (mainly resulting
from TEOS condensation and later reaction with chamber cleaning gas
by-products)
h. Titanium nitride CVD (using titanium tetrachloride and ammonia)
Heating the exhaust line to minimize particulate deposition can be used.
2. Prevent exhaust fires and explosions. Flammable gases (e.g., hydrogen)
and pyrophoric gases (e.g., silane) can be used in semiconductor process
equipment. These gases at specific concentration ranges in air can cause
a fire or explosion in the exhaust line or centralized exhaust system.
Flammable gases need a source of ignition, but pyrophoric gases do not
at specific concentrations in air. Facility damage, extensive fab downtime,
and personnel injury could result.
3. Prevent exhaust corrosion. Etching gases (e.g., chlorine, hydrogen chloride, and hydrogen bromide) and process by-products (e.g., fluorine and
hydrogen fluoride) can damage exhaust lines and centralized exhaust
ducts, depending on materials of construction. Production downtime and
possible personnel exposure to these gases could result. Moisture from
processes such as wet benches and spray processes and POU devices
(e.g., wet scrubbing systems) can enhance corrosion when acid compounds, chlorine, and fluorine are present in exhaust lines and centralized
exhaust ducts. In some cases, proper materials of construction can be used
instead of installing POU devices.
4. Prevent exposure to personnel. Deposition of certain compounds
(e.g., arsenic compounds from arsine, arsenic compounds from gallium
arsenide etch by-products) can occur in a centralized exhaust system.
Possible personnel exposure could occur when maintenance is conducted
inside centralized exhaust ducts.
5. Prevent ammonium compound formation. Ammonia will react with acid
compounds (e.g., hydrogen chloride and hydrogen fluoride) to form
ammonium compounds (e.g., ammonium chloride and ammonium fluoride). These ammonium compounds can deposit in exhaust lines and the
Point-Of-Use Devices and Exhaust-Line Requirements
31
centralized exhaust system, and possibly generate visible emissions of
submicron particles (ammonium compounds generally at 1 ppmv or
greater concentration) at the centralized wet scrubber stack outlet. These
ammonium compounds are not efficiently removed by standard centralized wet scrubbers.
6. Perfluorocompound (PFC) reduction. Because of the World Semiconductor Council (WSC) voluntary PFC reduction agreement, POU devices may
be utilized to reduce PFC emissions.
7. Meet air regulatory requirements. POU devices may be installed to meet
air permit limits. Examples are:
a. Process equipment is too far to exhaust to centralized volatile organic
compound (VOC) control equipment; therefore, a POU device is
installed to abate VOC emissions.
b. There is no centralized VOC control equipment. Key VOC-emitting
processes can utilize POU devices to keep below regulatory VOC
emission limits.
c. A hazardous air pollutant (HAP) can be more efficiently removed by
a POU device than by the centralized VOC control equipment, to meet
regulatory HAP emission limits or be a minor HAP emission source.
An example of a HAP is methanol.
d. No centralized wet scrubbers are installed. POU devices are used to
reduce emissions instead of use of centralized wet scrubbers.
In many air regulatory jurisdictions POU devices are considered part of
the process equipment (e.g., CVD, etch, EPI), and not considered abatement equipment (i.e., not regulated as abatement equipment). In addition,
POU devices are difficult to test for compliance, using standard regulatory
testing methods, because of small-diameter inlet lines and exhaust lines,
difficult locations to sample, low gas flow rate, and flammable, pyrophoric,
and reactive gases used.
8. Meet company philosophy. Some companies install POU devices on some
process equipment that generally would not have a POU device. An
example is in the etch process that uses hydrogen bromide as one of the
process etch gases. The low flows of hydrogen bromide and other gases
typically used in the etch process could exhaust to the centralized exhaust
system and centralized acid scrubber; however, a few facilities believe it
is important to add a POU device for hydrogen bromide.
3.1.2 POINT-OF-USE DEVICE TECHNOLOGIES
As mentioned previously, it is important to select the right POU device technology
for each process and process emissions. Many of the technology types for POU
devices are listed next. A POU device can utilize more than one of these technologies
(e.g., thermal oxidation with wet scrubbing; wet scrubbing, then catalytic oxidation,
then wet scrubbing; and wet scrubbing, then thermal oxidation, then wet scrubbing).
32
Semiconductor Industry: Wafer Fab Exhaust Management
3.1.2.1 Point-Of-Use Wet Scrubbing Systems
Exhaust gas comes into contact with a liquid in which the contaminants transfer
from the gas phase to the liquid phase and dissolve in the liquid. In some cases, the
contaminant to be scrubbed will react with the chemical in the scrubbing liquid (e.g.,
chlorine will react with a sodium hydroxide solution). The typical scrubbing solution
is water based, with chemicals added in some applications for removing certain
compounds (chlorine, ammonia, etc.) more efficiently. Examples of scrubber types
are packed bed, venturi, and spray towers. Some typical contaminants removed in
a wet scrubbing system are ammonia, boron trichloride, chlorine, diborane, dichlorosilane, hydrogen bromide, hydrogen chloride, hydrogen fluoride, and trichlorosilane. Some contaminants that are not removed by wet scrubbing to any extent are
nitrogen trifluoride, nitrous oxide, tetrafluoromethane, hexafluoroethane, trifluoromethane, and sulfur hexafluoride, as well as others. Arsine and phosphine can be
wet scrubbed using chemical solutions, but are not removed to any extent by standard
water scrubbing systems (with no chemical addition).
Each wet scrubbing system should be reviewed for design type (e.g., countercurrent packed bed, nonpacked spray venturi), adequate liquid-to-gas ratio, total gas
flow rate, residence time, chemical addition used [if necessary], makeup water rate,
chemistry of makeup water [e.g., calcium concentration], and inlet gas stream contaminant concentrations) to determine the best design and operation for removing
the contaminants of interest.
3.1.2.2 Point-Of-Use Oxidation Systems
There are primarily five different types of POU oxidation systems: passive air
addition, flame oxidation, hot chamber oxidation, nonflame oxidation, and catalytic
oxidation.
3.1.2.2.1 Passive Air Addition
Passive air addition systems are primarily designed for adding air to mix with process
exhaust contaminants to provide safe reaction or dilution (also called conditioning).
For example, if silane is above the pyrophoric concentration in air, it will self react
with air to form silicon dioxide and water, with the silicon dioxide depositing in the
POU device and downstream exhaust line. If silane is below the pyrophoric concentration in air, some reaction of the silane with air will occur in the POU device;
therefore, it acts more like a dilution device. It would also act as a dilution device
for certain gases (e.g., phosphine, arsine, acid gases) that are not air reactive at their
inlet concentrations into the POU passive air addition system. Other air reaction
gases are tungsten hexafluoride and boron trichloride. It is important to note that
particulates formed in a POU passive air addition system will most likely deposit
both in the POU device and the POU device exhaust line.
3.1.2.2.2 Flame Oxidation
Flame oxidation is the oxidation of process exhaust gases by utilizing a flame
(typically hydrogen or natural gas with air).
Point-Of-Use Devices and Exhaust-Line Requirements
33
3.1.2.2.3 Hot Chamber Oxidation
Hot chamber oxidation is when the process exhaust gas flows through an electrically
heated chamber, where air is added for oxidation. Hydrogen or natural gas can be
used to enhance oxidation. Hydrogen, natural gas, or water can be added to react
with a certain gas to yield another gas (e.g., fluorine to hydrogen fluoride).
3.1.2.2.4 Nonflame Oxidation
A nonflame oxidation system uses an incandescent porous wall for oxidation without
a flame. Fuel (generally natural gas), air, and process exhaust are passed into a
porous wall oxidation chamber.
3.1.2.2.5 Catalytic Oxidation
This POU device utilizes a catalyst in a container (bed) to catalytically convert
specific contaminants to less hazardous compounds (e.g., tetrafluoromethane to
carbon dioxide and hydrogen fluoride; and organic compounds to carbon dioxide
and water). The catalyst can be poisoned relatively easily by some contaminants
(e.g., silicon-based compounds like silicon tetrafluoride and silicon dioxide), so
removal of these contaminants before reaching the catalyst is crucial. Catalytic
oxidation takes place at elevated temperatures, but usually at much lower temperatures than thermal oxidation.
Flame oxidation, hot chamber oxidation and nonflame oxidation discussed previously are considered thermal oxidation, found in this book for describing POU
devices (for example, POU thermal oxidation wet scrubbing systems). Many compounds (e.g., arsine, phosphine, silane, tungsten hexafluoride) that are oxidized in
a POU oxidation system form oxidation products (e.g., acid gases, particulates,
arsenic trioxide, etc.) that may need further removal. Oxidation by-products such as
carbon monoxide and oxides of nitrogen should be reviewed for POU oxidation
systems. (Note that carbon monoxide and oxides of nitrogen should be minimal for
a POU passive air addition system.)
It is common to have a wet scrubbing system follow either a thermal oxidation
system or a catalytic oxidation system in an integrated POU device. Another integrated POU device has a wet scrubbing system before either a thermal oxidation
system or a catalytic oxidation system, followed by a wet scrubbing system.
There are also POU thermal oxidation systems that are stand-alone units (i.e.,
no integrated wet scrubbing system). The fate of oxidation by-products should be
considered for the stand-alone thermal oxidation POU devices.
3.1.2.3 Point-Of-Use Cold Bed Systems
There are two primary types of POU cold bed systems (also referred to as dry
technology): adsorption and chemisorption.
3.1.2.3.1 Adsorption
Exhaust gas flows through a container (canister, drum, etc.) filled with adsorbent
material (e.g., activated carbon). The contaminants of interest are physically
adsorbed onto the adsorbent material. A reversible desorption can occur with certain
34
Semiconductor Industry: Wafer Fab Exhaust Management
contaminants (e.g., arsine). Some contaminants on the adsorbent material may
require air oxidation to oxidize and stabilize the contaminants. Care should be taken
as oxidation can cause exothermic reactions.
3.1.2.3.2 Chemisorption
This POU device is a container usually composed of adsorbent material coated with
a reactive chemical, reactive porous media, or a resin matrix coated with reactive
chemical. The contaminants of interest are adsorbed onto the material (media) and
react either with the material itself or with the coating. Some contaminants require
air oxidation on the chemisorption material to react and stabilize the contaminants.
Care should be taken as oxidation can cause exothermic reactions.
3.1.2.4 Point-Of-Use Hot Bed Reactors
POU hot bed reactors (also referred to as dry technology) have a system wherein
exhaust gas flows through a container (bed) filled with reactive material that is at
an elevated temperature. The contaminants of interest react with the bed material
and are converted into inorganic salts that remain in the bed. The containers can
have one or more zones of different bed material.
Another variation of this system is that the exhaust gas flows through a hot bed
concurrently with another gas such as air. The contaminants of interest react with
the other gas, and possibly the bed material, forming solid salts that stay in the bed.
3.1.2.5 Point-Of-Use Reactor Systems
In POU reactor systems (e.g., those having plasma or microwaves), exhaust gases
from the semiconductor process equipment are treated by allowing them to flow
through a chamber using energy to enhance reactions. In prepump applications, a
blower can be placed between semiconductor process chamber and prepump reactor
system to ensure that the process is not affected. Reactor systems can be used for
silane; silane will react to silicon and hydrogen. The silicon will drop out in a
collection area or be deposited on the reactor system chamber walls.
Hydrogen is a by-product of the reaction of silane and phosphine in a nonoxygen
environment; hydrogen is a flammable gas. Oxygen can be added to oxidize hydrogen to water in the reactor system. (Note: Care should be taken with hydrogen and
oxygen concentrations so as not to generate a flammable or explosive mixture.) If
oxygen is added to the reactor system for safe reaction of hydrogen, silane will also
react with oxygen and form silicon dioxide.
For carbon-based PFC destruction, sources of hydrogen atoms and oxygen atoms
(e.g., hydrogen and oxygen, water) are added to react with monatomic fluorine and
carbon (by-products of cracking carbon-based PFCs in reactor system) so that
additional PFCs (e.g., tetrafluoromethane) are not formed in the reactor system.
3.1.2.6 Point-Of-Use Particulate Removal Systems
Particulate removal systems (traps, filters, cyclones, precipitators) are installed as
needed to remove solid particles and condensable vapors.
Point-Of-Use Devices and Exhaust-Line Requirements
35
3.1.2.7 Point-Of-Use Recycle or Reclaim Systems
POU recycle or reclaim systems are rare in the semiconductor industry. An example
is a condensation system for isopropanol in process exhaust air.
3.1.3 PURCHASING POINT-OF-USE DEVICE
The best POU device technology should be matched with the process, process
exhaust contaminants, and the POU selection goals determined by facility personnel.
It is recommended that the future POU device owner decide the best POU device
technology solution. The POU device supplier can suggest POU device technology
solutions to the future POU device owner for his or her selection. The future POU
device owner should utilize POU device suppliers that have the best POU device
technologies. Some suggested POU supplier expectations that the future POU device
owner should expect from the POU device supplier are detailed in the following sections.
3.1.3.1 Understanding Semiconductor Fab Processes, Materials, and
Environmental, Health, and Safety
The POU device supplier needs to understand the specific wafer fab operations,
process equipment, process materials, surrounding process pump and exhaust line
requirements, and wafer fab environmental, health, and safety requirements. Not
understanding this information could lead to poor recommendation of POU devices
for a given fab, which could cause process equipment downtime, excessive maintenance, safety concerns, etc.
3.1.3.2 Understanding Point-Of-Use Device Technologies and
Keeping the Customer No. 1
This may sound strange, but there are POU device sales and marketing personnel
that do not understand their own POU device products and which POU device
products are best for each process application. In some cases they only have one or
two POU device technologies and may try to sell them for almost any process
application.
The POU device supplier should provide a form to fill out to get a POU device
recommendation and quote. This form should ask for process equipment type,
process material flows, duration of process material flows, pump information (including pump purge), etc. The POU device selection is based on this information and is
essential to ensuring that the right POU device technology is selected. Do not ask
for or accept a POU device recommendation or quote without providing this information to POU device supplier. The POU device owner should expect to get the
right POU device technology at a reasonable cost.
3.1.3.3 Understanding Site-Specific Information
The POU device supplier should understand the buyer’s site-specific conditions.
Examples are types of water available and its quality; natural gas and hydrogen
36
Semiconductor Industry: Wafer Fab Exhaust Management
availability; chemicals available from bulk chemical distribution (BCD); location and
size restrictions for the POU device; exhaust systems available; regulatory requirements;
site environmental, health, and safety requirements; maintenance staff availability; and
wastewater treatment available. This information is required as part of the POU device
recommendation and selection (e.g., do not recommend a POU device using natural
gas if natural gas does not exist in the subfab; do not recommend a POU device using
potassium hydroxide solution or sodium hydroxide solution if not available).
3.1.3.4 Propose the Best Point-Of-Use Device Technology Considering
All Factors
The POU device supplier should provide the best POU device technology that takes
into account all of the important selection criteria (including cost of ownership). It
is suggested that questions are asked of the POU device supplier about their POU
device recommendation if there are doubts that the POU device technology recommended is the best. Why is a POU thermal oxidation-wet scrubbing system recommended when the process exhaust contaminants can all be water scrubbed directly?
Why is POU dry technology system recommended since it uses large number of
canisters, when a POU thermal oxidation-wet scrubbing system works fine? Why is
a POU thermal oxidation-wet scrubbing system that is used primarily for PFC
destruction at high temperature recommended for a CVD process where PFC
destruction is not required?
The discussion above is not to say that POU device suppliers cannot be trusted.
The point is that the POU device owner needs to be educated enough to agree with
the POU device supplier recommendation and feel comfortable that the POU device
selected is the best fit for their process operations and keeps costs as low as possible.
3.1.3.5 Point-Of-Use Device Inlets and Number of Process Exhausts
A POU device generally has one to four inlets, depending on the technology type
and POU device supplier. One decision that needs to be made is how many process
exhausts will be connected to a POU device. This decision should drive the number
of POU device inlets needed and should include the input from the POU device
supplier. (Note: Recommend one inlet per process exhaust; there are potential safety
issues if more than one process exhaust is manifolded in some way to one POU
device inlet.) Some thoughts to consider:
1. When the POU device is not operating, how will that affect wafer production (affects one process chamber vs. a few process chambers)? This
assumes a POU device bypass of process exhausts to the centralized
exhaust system cannot occur while the process is operating. If the POU
device bypass can occur while processes are operating, then this question
does not apply.
2. If there are multiple process chambers in one piece of process equipment,
does it make sense to exhaust all of these process chambers to one POU
device?
Point-Of-Use Devices and Exhaust-Line Requirements
37
3. Additional costs will be incurred for more POU devices if it is decided
not to use maximum inlet configuration on a specific POU device.
4. The number of POU device inlets that can be used are based on the
maximum capacity of the POU device itself. Capacity could be maximum
inert gas flow rate, maximum specific contaminant input (e.g., fluorine), etc.
Some fabs install POU devices for redundancy. An example would be installing
two POU thermal oxidation-wet scrubbing systems with four inlets each to use on
four process chambers. In a normal operation, two process chambers exhaust to each
of the POU thermal oxidation-wet scrubbing systems. When maintenance is performed, a manual switchover is conducted to exhaust all four process chambers to
one POU device while the other POU device is in maintenance mode.
The POU device technology may be the best available and operate efficiently;
however, the POU device inlets can plug frequently (i.e., process exhaust contaminants condense in the POU device inlet and do not reach the POU device itself) and
cause excessive downtime. The POU device inlets need to be designed to prevent
process exhaust contaminants from condensation and particulate deposition. Corrosion of POU device inlets can also occur. The POU device supplier should provide
information on the maintenance requirements (i.e., downtime frequency) for their
POU inlets for the specific process exhausts. It is crucial to spend quality time on
specifying and reviewing the POU device inlet design and operation with the POU
device supplier before purchasing the POU device.
3.1.3.6 Emissions and Destruction and Removal Efficiency Data
Emissions and destruction and removal efficiency (DRE) calculations are not as
good as actual measurements and sometimes are not representative. Ask the POU
device supplier for DRE and emissions information for the contaminants entering
the POU device that has been recommended. The best data are actual measurements
using proper analytical equipment from another similar process or POU device
operation. The next best thing is testing the POU device in the laboratory using
similar contaminants simulated from actual process emissions and conditions. It is
important to note that the semiconductor industry has guidance for analytical measurements for specific contaminants in exhausts.
3.1.3.7 Standards, Guidelines, and Guides
Specify to the POU device supplier if there are any standards, guidelines, and guides
that need to be followed. These could include safety standards, fire codes, air quality
guidelines, etc. As mentioned earlier, regulatory requirements and site requirements
should be communicated to the POU device supplier.
3.1.3.8 Quote Specifications
Quotes for the POU device should be very detailed from each POU device supplier
and allow for the POU device owner to determine which quote is the best POU
device technology at a reasonable cost. The quotes should include purchase price,
38
Semiconductor Industry: Wafer Fab Exhaust Management
shipping cost, uptime, operation cost of ownership, utilities usage, DRE, etc. Each
quote should be similar so that a direct comparison can be made between POU
device suppliers for the final POU device selection.
3.1.3.9 Warranty
The POU device supplier should provide a warranty (e.g., 1 year) on its equipment.
This warranty can include uptime, DRE, POU device parts, labor, etc. The POU
device owner can also ask for free maintenance from the POU device supplier for
a certain time period (e.g., 1 year), or the POU supplier may provide it as part of
their standard quote. There is a monetary value on this warranty; therefore, decide
what that monetary value is so as to compare each quote equally.
3.1.3.10 Identifying Competent Local Maintenance Support and Training
The POU device will need preventive maintenance and most likely will fail unexpectedly sometime. The details of this preventive maintenance should be provided
in an operations and maintenance manual provided by the POU device supplier.
Training should be made available to the POU device owner on how the POU device
works and what maintenance is required; this is crucial (even if the POU device
supplier is doing the maintenance support in the fab). If the POU device supplier is
providing maintenance support, ensure that it is 24 hours per day, 7 days a week.
(Note: If a third party is doing the maintenance, they need to also be available all
the time.) Nothing is more frustrating than having a POU device down with no idea
how to fix it and having to wait for someone to fly in to repair it!
One issue that has been realized in fabs is a POU device supplier providing
maintenance for a competitor’s POU device. Training is sometimes hard to receive
due to competitive information. Also, there may be an advantage if the competitor’s
POU device is down often, as it may be a reason to replace it with the supplier’s
POU device.
3.1.3.11 Spare Parts
Locally available spare parts are a must. It is difficult to explain to management that
the spare part (especially if it a POU-device supplier recommended spare part to
have on hand) that is needed must be flown in and will not be available for 24 hours,
keeping a crucial piece of production equipment down! Stock critical spare parts at
the fab or nearby off-site. Available spare parts can be part of POU device purchase.
3.1.4 POINT-OF-USE DEVICE INSTALLATION
The selection of a high-quality, knowledgeable contractor for the POU device installation is essential. The POU device supplier, the POU device owner’s personnel, or
a third party contractor can do this installation. If the latter two conduct the installation (which is usually the case), it is suggested that the POU device supplier review
and “sign off” on the installation. Many issues can occur if the POU device is not
installed correctly.
Point-Of-Use Devices and Exhaust-Line Requirements
39
Many POU devices are installed with interlocks, which shut down the process
equipment when the POU device malfunctions (usually for gases such as silane). In
some cases when it is safe to do so, the interlocks allow for the process to finish
processing the wafers before shutting down the process equipment (usually for gases
such as chlorine and boron trichloride, which the centralized acid scrubbers can
remove to some extent). Another type of interlock is an audible or light alarm,
notifying personnel of malfunction but not shutting the process equipment off. A
safety review of the process equipment, process feed materials, process exhaust
contaminants, and POU device is recommended for determining the type of interlock
needed and how the shutdown should occur.
Process exhaust bypasses are installed in some fabs, and are used to bypass the
exhaust around the POU device, when the POU device is not operational, to allow
for process equipment to keep operating. The exhaust bypass can be manual or
automatic. Extreme care needs to be used when an exhaust bypass is used and to
ensure that maintenance personnel are protected when doing maintenance on a POU
device during exhaust bypass. Safety review of the use of process exhaust bypasses
is crucial.
Exhaust directional valves can be used for switching different process steps (e.g.,
deposition step and chamber cleaning step) to different POU devices; switching
different process steps to either a POU device or to a centralized exhaust system
without using a POU device; or switching different process steps to two different
centralized exhaust systems without any POU devices. Here are some examples.
1. A CVD process exhaust is switched to one POU device for the deposition
step and switched to a different POU device during the chamber cleaning
step.
2. A CVD process exhaust is switched to a POU device for the deposition
step and is switched directly to the centralized acid exhaust system during
the chamber cleaning step.
3. Exhaust switches to a centralized acid exhaust system when acid solution
is sprayed and to a centralized ammonia exhaust system when ammonium
hydroxide solution is sprayed.
Exhaust directional valves need to have a safety review and to be used with
caution for certain process exhaust contaminants. Exhaust directional valves can
plug relatively easily, so process exhaust contaminants should be reviewed for
particulate deposition.
3.2 SURROUNDING EXHAUST-LINE REQUIREMENTS
The right POU device technology can be selected, but the process exhaust line
leading to the POU device can be installed in a way that causes particulate deposition
(i.e., minimizes the effectiveness of the POU device, causing process equipment
downtime and additional maintenance). Wrong construction materials can be used for
the exhaust line from a POU device that utilizes a wet scrubbing system; this POU
device exhaust line corrodes, causing process equipment downtime and additional
40
Semiconductor Industry: Wafer Fab Exhaust Management
maintenance. These are two examples why the surrounding exhaust line material is
very important. Process wet pumps (using oil) will not be discussed in the next
sections; however, it is important to install oil mist filters if process wet pumps are
used. Oil mists can cause process pump exhaust line condensation, fires, and POU
device or centralized scrubber stack issues.
Nitrogen is sometimes added to the exhaust line at the process pump exit to
purge the exhaust line in case of a sudden pump shutdown. Processes using flammable gases, pyrophoric gases, or toxic gases may use this postpump nitrogen
addition. This additional nitrogen flow (if used) needs to be accounted for as inert
gas flow into the POU device (if used).
3.2.1 PROCESSES TO LOOK OUT FOR
Due to particulate deposition of process pump exhaust lines, the following processes
are likely candidates for heating the exhaust line from process pump to POU device.
Note that there may be some cases where heating the pump foreline is needed. A
cold trap is very helpful for LPCVD nitride to remove ammonium chloride close to
the process pump.
1. Aluminum metal etch
2. Tungsten CVD
3. Tungsten silicide CVD
4. Nitride CVD
5. Oxynitride CVD
6. LPCVD nitride
7. TEOS oxide CVD (mainly due to TEOS condensation and later reaction
with chamber cleaning gas by-products).
8. Titanium nitride CVD (using titanium tetrachloride and ammonia)
Frequency of maintenance drives the installation of the heating system for the
exhaust line from the process chamber to the POU device (or to the centralized
exhaust system if a POU device is not used). For example, tungsten etch has some
particulate buildup in the process pump exhaust line. The exhaust line may only
need cleaning once a year without heating, which may be acceptable.
Installing the exhaust line heating with a maximum rating of at least 140°C is
suggested. Heating of exhaust lines at lower temperatures may be sufficient; the
higher heating capability provides flexibility in case the exhaust line does not perform
at lower temperature.
3.2.2 OUTLET CONNECTION OF PROCESS PUMP
One connection that may have positive exhaust static pressure is located at the outlet
of the process pump (positive exhaust static pressure usually occurs at the process
pump exhaust outlet before negative exhaust static pressure provided by the centralized exhaust system takes over). If the O-ring leaks, process exhaust can escape into
the worker area. The right O-ring material needs to be installed for the contaminants
Point-Of-Use Devices and Exhaust-Line Requirements
41
and temperature experienced (do not forget temperature of the heat trace if used
around the outside of the connection). High concentrations of fluorine from nitrogen
trifluoride predevice CVD chamber cleanings can affect many O-ring materials.
3.2.3 KEEP IT STRAIGHT, KEEP IT CLOSE, AND KEEP IT THE SAME DIAMETER
The exhaust line from the process chamber to the process pump and from the process
pump to the POU device should have a minimal number of bends (keep it straight),
be as close as possible (short distance), and have the same diameter of exhaust line
the entire way. Any exhaust line bends (e.g., 45º, 90º) can allow for condensation
and particulate buildup. Condensation and particulate buildup can also occur where
the exhaust line increases or decreases in diameter. The short distance will allow
for the least amount of cooling in the exhaust line and the least amount of exhaust
line heating if used (good heat tracing or a heated nitrogen injection device is very
expensive!). It would be ideal to have a straight exhaust line with the same diameter
from the process pump to the POU device (with the process pump right next to the
POU device) with two exhaust line connections: one on the process pump outlet
and one on the POU device inlet (see next section). Exhaust lines having the same
diameter from process chamber to process pump would also be beneficial.
3.2.4 MINIMIZE CONNECTIONS
Air leaks are a huge contributor to particulate formation and deposition. In addition,
process exhausts containing pyrophoric or flammable gases can mix with oxygen
from an air leak and possibly cause a fire or explosion. The basic rule of thumb is
no air leaks allowed! Every connection installed is a possible source for an air leak.
Connections also are difficult to heat trace. O-rings and gaskets can be affected by
process exhaust contaminants (e.g., fluorine) and/or temperature (e.g., heated gases
out of the process pump). It is best to minimize exhaust line connections and use
the right construction materials for O-rings and gaskets.
3.2.5 INSTALL RIGHT EXHAUST-LINE CONSTRUCTION MATERIALS
Review the contaminants flowing through the prepump, postpump, and POU device
exhaust lines to ensure the materials of construction are appropriate for contaminants
contained. Some POU devices will add moisture, which should be considered for
condensation after the POU device (e.g., hydrofluoric acid can condense in the
exhaust line from a POU thermal oxidation-wet scrubbing system; therefore, materials of construction should be compatible with hydrofluoric acid).
3.2.6 PITCH EXHAUST LINE COMING FROM POINT-OF-USE DEVICE
THAT USES WET SCRUBBING SECTION
Pitch the POU device exhaust line toward the POU device for POU devices that use
a wet scrubbing section before the exhaust exits the POU device, to allow for any
condensation to flow back to the POU device. This is essential even if the wet
scrubbing section has a demister.
42
Semiconductor Industry: Wafer Fab Exhaust Management
3.2.7 KEEP STATIC PRESSURE IN EXHAUST NEGATIVE
Ensure the exhaust static pressure is negative from the centralized exhaust system back
to the process (as mentioned earlier, the process pump exhaust is usually positive exhaust
static pressure for a short distance right after the process pump). This will assist in
keeping contaminants in the exhaust line (i.e., not leaking out and potentially exposing
personnel). Measuring the actual exhaust static pressures at the POU device inlets and
the outlet of the POU device are recommended (this will also provide a pressure drop
across the POU device, which is useful). Many process pumps sense exhaust static
pressure in case of high positive exhaust static pressure at the process pump. For an
atmospheric process (no process pump), proper negative exhaust static pressure from
the centralized exhaust system is essential as it directly affects the process.
3.3 EXAMPLES OF POINT-OF-USE DEVICES
FOR SELECTED PROCESSES
This section provides numerous POU device examples for some of the typical
semiconductor processes for the reader’s use in semiconductor fabs. This is not all
of the semiconductor processes and situations the reader will experience. It is
provided for reference and the thought process when selecting POU device technologies and other items to be considered.
The key is first determining the goals for why the POU device is going to be
installed to begin with. Once it is known that a POU device is needed, determine
the best POU device technology for the process and process exhaust contaminants.
Each subsection is categorized into similar processes. Note that some examples
have exactly the same process description but have different goals, which drives the
POU device technology decision. It is important to state again that a nitrogen
trifluoride predevice CVD chamber cleaning is a separate device (used prior to the
process chamber) used to efficiently dissociate nitrogen trifluoride into monatomic
fluorine and diatomic fluorine before they enter the process chamber for the purposes
of cleaning the process chamber (this can lead to high concentrations of fluorine
leaving the process pump during chamber cleaning, which requires special attention
when specifying the POU device technology required).
3.3.1 OXIDE AND POLYSILICON (POLY) CHEMICAL VAPOR DEPOSITIONS
These examples are for oxide CVD using TEOS or silane-nitrous oxide with various
chamber cleaning gases and for poly-CVD using silane with various chamber cleaning gases.
EXAMPLE 3-1:
OXIDE CVD WITH SILANE, NITROUS OXIDE, AND IN SITU PFC
CHAMBER CLEANING. Also applies to poly-CVD with silane and in situ
PFC chamber cleaning.
Goals determined by site personnel: Silane must be below 25% of the lower flammable
limit (LFL) and accepted that unreacted silane will enter the centralized acid exhaust
Point-Of-Use Devices and Exhaust-Line Requirements
system. The removal of by-products hydrogen fluoride and silicon tetrafluoride can be
done by centralized acid scrubbers, and it is believed that the centralized acid exhaust
ducts will not be damaged by these compounds.
POU device technology selected: Passive air addition (conditioning) system.
Other considerations: There will be some particulate deposition in the POU device
exhaust line, and there will be unreacted silane entering the centralized acid exhaust
system and creating some particulate deposition. Some unreacted silane will reach the
centralized acid scrubber stacks and be emitted (silane is not water-scrubbed to any
extent). Significant use of subfab clean air will most likely occur.
EXAMPLE 3-2:
OXIDE CVD WITH SILANE, NITROUS OXIDE, AND IN SITU PFC
CHAMBER CLEANING. Also applies to poly-CVD with silane and in situ
PFC chamber cleaning.
Goals determined by site personnel: Reduce silane to site-specific personnel exposure
requirements, which is much lower than the LFL. The removal of by-products hydrogen
fluoride and silicon tetrafluoride can be done by centralized acid scrubbers, and it is
believed that the centralized acid exhaust ducts will not be damaged by these compounds.
POU device technology selected: Thermal oxidation system.
Other considerations: There will be some particulate deposition in the POU device
exhaust line and centralized acid exhaust system. Significant use of subfab clean air
will most likely occur.
EXAMPLE 3-3:
OXIDE CVD WITH SILANE, NITROUS OXIDE, AND IN SITU
CARBON-BASED PFC CHAMBER CLEANING. Also applies to polyCVD with silane and in situ carbon-based PFC chamber cleaning.
Goals determined by site personnel: Carbon-based PFC to be abated by 95% or greater.
Silane must be below 25% of the LFL.
POU device technology selected: Thermal oxidation-wet scrubbing system. The thermal oxidation section needs to utilize higher temperature oxidation and a fluoridescavenging hydrogen atom source to destroy carbon-based PFC.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow the condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid resistant-material for the POU device exhaust line.
POU device wastewater discharge needs to be evaluated for fluoride concentrations.
EXAMPLE 3-4:
OXIDE CVD WITH SILANE, NITROUS OXIDE, AND NITROGEN
TRIFLUORIDE PREDEVICE CVD CHAMBER CLEANING. Also
applies to poly-CVD with silane and nitrogen trifluoride predevice CVD
chamber cleaning.
43
44
Semiconductor Industry: Wafer Fab Exhaust Management
Goals determined by site personnel: High concentrations of fluorine from nitrogen trifluoride pre-device CVD chamber cleaning need to be abated by 99% or greater before
entering the centralized acid exhaust system. Silane must be abated below 25% of the LFL.
POU device technology selected: Thermal oxidation-wet scrubbing system, using natural gas, hydrogen, or water (hydrogen atom source) in the thermal oxidation section
to convert fluorine to hydrogen fluoride before the wet scrubbing section. Internal
materials of construction of the POU device need to be fluorine- and hydrogen fluorideresistant (dry and wet portions).
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow the condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
POU device wastewater discharge needs to be evaluated for fluoride concentrations.
EXAMPLE 3-5:
OXIDE CVD WITH TEOS AND IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: After environmental, health, and safety review of
TEOS emissions from this process, it was decided TEOS did not need abatement at
POU. The removal of by-products hydrogen fluoride and silicon tetrafluoride can be
done by centralized acid scrubbers, and it is believed that the centralized acid exhaust
ducts will not be damaged by these compounds.
POU device technology selected: None.
Other considerations: TEOS can condense in the process exhaust line and process pump
exhaust line, and can react with chamber cleaning by-product gases, causing exhaust
line plugging. Therefore, the exhaust line from the process chamber to the centralized
acid exhaust system will require heating if this occurs.
EXAMPLE 3-6:
OXIDE CVD WITH TEOS AND IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: The company has an internal VOC emission limit
per wafer; to meet this requirement, TEOS needs to be abated by 90% or greater. The
TEOS emissions from the process chamber could be water scrubbed directly; however,
there is a concern about foaming in a POU wet scrubbing system and putting organic
compounds in wastewater. The removal of by-products hydrogen fluoride and silicon
tetrafluoride can be done by centralized acid scrubbers, and it is believed that the
centralized acid exhaust ducts will not be damaged by these compounds.
POU device technology selected: Thermal oxidation system.
Other considerations: The POU device supplier had to provide actual TEOS destruction
data in the POU thermal oxidizing system to ensure removal efficiency requirements
for TEOS were met. Thermal oxidation of TEOS and by-product silicon tetrafluoride
will generate some particulates in the POU device exhaust line and centralized acid
exhaust system. TEOS can condense in the process exhaust line and process pump
exhaust line, and can react with chamber cleaning by-product gases, causing plugging.
Therefore, the exhaust line from the process chamber to the POU device will require
heating if this occurs. Significant use of the subfab will most likely occur.
Point-Of-Use Devices and Exhaust-Line Requirements
45
EXAMPLE 3-7:
OXIDE CVD WITH TEOS AND IN SITU CARBON-BASED PFC
CHAMBER CLEANING.
Goal determined by site personnel: Carbon-based PFC to be abated by 95% or greater.
POU device technology selected: Thermal oxidation-wet scrubbing system. The thermal oxidation section needs to utilize higher temperature oxidation and a fluoridescavenging hydrogen atom source to destroy carbon-based PFCs.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
The POU device wastewater discharge needs to be evaluated for fluoride concentrations.
TEOS can condense in the process exhaust line and process pump exhaust line, and
react with chamber cleaning by-product gases, causing plugging. Therefore, the exhaust
line from the process chamber to the POU device will require heating if this occurs.
EXAMPLE 3-8:
OXIDE CVD WITH TEOS AND NITROGEN TRIFLUORIDE
PREDEVICE CVD CHAMBER CLEANING.
Goals determined by site personnel: High concentrations of fluorine from nitrogen
trifluoride predevice CVD chamber cleaning need to be abated by 99% or greater before
entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system, using natural gas, hydrogen, or water (hydrogen atom source) in the thermal oxidation section
to convert fluorine to hydrogen fluoride before the wet scrubbing section. The internal
materials of construction of the POU device need to be fluorine- and hydrogen fluorideresistant (dry and wet portions).
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
The POU device wastewater discharge needs to be evaluated for fluoride concentrations.
TEOS can condense in process exhaust line and process pump exhaust line, and can
react with chamber cleaning by-product gases, causing plugging. Therefore, the exhaust
line from the process chamber to the POU device will require heating if this occurs.
3.3.2 DOPED OXIDE AND POLY-CHEMICAL VAPOR DEPOSITIONS
These examples are for doped oxide CVDs using TEOS and organic dopant(s) or
using silane-nitrous oxide with inorganic dopants (e.g., phosphine and diborane)
with various chamber cleaning gases, and for doped poly CVD using silane and
inorganic dopants with various chamber cleaning gases. Note that if arsine is used
as a dopant, the impact of arsenic compounds needs to be evaluated (not an example
in this section).
46
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 3-9:
DOPED OXIDE CVD WITH SILANE, NITROUS OXIDE, INORGANIC
DOPANTS (E.G., PHOSPHINE AND DIBORANE), AND IN SITU PFC
CHAMBER CLEANING. Also applies to doped poly-CVD with silane,
inorganic dopants, and in situ PFC chamber cleaning. Note that if arsine is
used as a dopant, the impact of arsenic compounds needs to be evaluated.
Goals determined by site personnel: Silane must be below 25% of the LFL, and
unreacted silane will enter centralized acid exhaust system. Dilution (i.e., no removal)
of inorganic dopants below site-specific personnel exposure requirements is acceptable.
The removal of by-products hydrogen fluoride and silicon tetrafluoride can be done by
centralized acid scrubbers, and it is believed that the centralized acid exhaust ducts
will not be damaged by these compounds.
POU device technology selected: Passive air addition (conditioning) system.
Other considerations: There will be some particulate deposition in the POU device
exhaust line, and there will be unreacted silane entering the centralized acid exhaust
system and creating some particulate deposition. Some unreacted silane will reach the
centralized acid scrubber stacks and be emitted (silane is not water scrubbed to any
extent). Unreacted inorganic dopants will most likely reach centralized acid scrubber
stacks and be emitted (phosphine is not water-scrubbed to any extent; diborane will
be removed to some extent). Significant use of subfab clean air will most likely occur.
EXAMPLE 3-10:
DOPED OXIDE CVD WITH SILANE, NITROUS OXIDE, INORGANIC
DOPANTS (E.G., PHOSPHINE AND DIBORANE), AND IN SITU PFC
CHAMBER CLEANING. Also applies to doped poly-CVD with silane,
inorganic dopants, and in situ PFC chamber cleaning. Note that if arsine is
used as a dopant, the impact of arsenic compounds needs to be evaluated.
Goals determined by site personnel: Reduce inorganic dopants and silane to site-specific
personnel exposure requirements. The removal of by-products hydrogen fluoride and
silicon tetrafluoride can be done by centralized acid scrubbers, and it is believed that
the centralized acid exhaust ducts will not be damaged by these compounds.
POU device technology selected: Thermal oxidation system.
Other considerations: There will be some particulate deposition in the POU device
exhaust line and centralized acid exhaust system. Significant use of subfab clean air
will most likely occur.
EXAMPLE 3-11:
DOPED OXIDE CVD WITH SILANE, NITROUS OXIDE, INORGANIC
DOPANTS (E.G., PHOSPHINE AND DIBORANE), AND IN SITU PFC
CHAMBER CLEANING. Also applies to doped poly-CVD with silane,
inorganic dopants, and in situ PFC chamber cleaning. Note that if arsine is
used as a dopant, the impact of arsenic compounds needs to be evaluated.
Goals determined by site personnel: Inorganic dopants to be abated by 95% or greater
and abated to below site-specific personnel exposure requirements. Silane must be
Point-Of-Use Devices and Exhaust-Line Requirements
below 25% of the LFL. By-products hydrogen fluoride and silicon tetrafluoride abatement of 95% or greater is required at point-of-use.
POU device technology selected: Thermal oxidation-wet scrubbing system.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet-scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
The POU device wastewater discharge needs to be evaluated for fluoride concentrations.
EXAMPLE 3-12:
DOPED OXIDE CVD WITH SILANE, NITROUS OXIDE, INORGANIC
DOPANTS (E.G., PHOSPHINE AND DIBORANE), AND IN SITU
CARBON-BASED PFC CHAMBER CLEANING. Also applies to doped
poly-CVD with silane, inorganic dopants, and in situ carbon-based PFC
chamber cleaning. Note that if arsine is used as a dopant, the impact of arsenic
compounds needs to be evaluated.
Goals determined by site personnel: Carbon-based PFC to be abated by 95% or greater.
Silane must be below 25% of the LFL. Inorganic dopants need to be abated by 95%
or greater and abated to below site-specific personnel exposure requirements.
POU device technology selected: Thermal oxidation-wet scrubbing system. The thermal oxidation section needs to utilize higher temperature oxidation and a fluoridescavenging hydrogen atom source to destroy carbon-based PFCs.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet-scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
The POU device wastewater discharge needs to be evaluated for fluoride concentrations.
EXAMPLE 3-13:
DOPED OXIDE CVD WITH SILANE, NITROUS OXIDE, INORGANIC
DOPANTS (E.G., PHOSPHINE AND DIBORANE), AND NITROGEN
TRIFLUORIDE PREDEVICE CVD CHAMBER CLEANING. Also
applies to doped poly-CVD with silane, inorganic dopants, and nitrogen
trifluoride predevice CVD chamber cleaning. Note that if arsine is used as a
dopant, the impact of arsenic compounds needs to be evaluated.
Goals determined by site personnel: High concentrations of fluorine from nitrogen
trifluoride predevice CVD chamber cleaning need to be abated by 99% or greater before
entering the centralized acid exhaust system. Silane should be abated below 25% of
the LFL. Inorganic dopants should be removed at 95% or greater.
POU device technology selected: Thermal oxidation-wet scrubbing system, using natural gas, hydrogen, or water (hydrogen atom source) in the thermal oxidation section
to convert fluorine to hydrogen fluoride before the wet-scrubbing section. The internal
construction materials need to be fluorine- and hydrogen fluoride-resistant (dry and
wet portions).
47
48
Semiconductor Industry: Wafer Fab Exhaust Management
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet-scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
The POU device wastewater discharge needs to be evaluated for fluoride concentrations.
EXAMPLE 3-14:
DOPED OXIDE CVD WITH TEOS, ORGANIC DOPANTS, AND
IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: After an environmental, health, and safety review
of TEOS and organic dopants emissions from this process, it was decided TEOS and
organic dopants did not need abatement at POU. The removal of the by-products
hydrogen fluoride and silicon tetrafluoride can be done by centralized acid scrubbers,
and it is believed that the centralized acid exhaust ducts will not be damaged by these
compounds.
POU device technology selected: None
Other considerations: TEOS (and possibly other organic dopants) can condense in the
process exhaust line and process pump exhaust line, and can react with chamber
cleaning gases, causing plugging. Therefore, the exhaust line from the process chamber
to the centralized acid exhaust system will require heating if this occurs.
EXAMPLE 3-15:
DOPED OXIDE CVD WITH TEOS, ORGANIC DOPANTS, AND
IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: The company has an internal VOC emissions limit
per wafer; to meet this requirement, TEOS and organic dopants need to be abated by
90% or greater. The TEOS and organic dopant emissions from the process chamber
could be water-scrubbed directly; however, there is a concern about foaming in a POU
wet-scrubbing system and about organic compounds in wastewater. The removal of
hydrogen fluoride and silicon tetrafluoride can be done by centralized acid scrubbers,
and it is believed that the centralized acid exhaust ducts will not be damaged by these
compounds.
POU device technology selected: Thermal oxidation system.
Other considerations: The POU device supplier has to provide actual destruction data
on TEOS and organic dopants to ensure that removal efficiency requirements for organic
compounds were met. There will be some particulate deposition in the POU device
exhaust line and centralized acid exhaust system. TEOS (and possibly organic dopants)
can condense in the process exhaust line and process pump exhaust line and react with
chamber cleaning gases, causing plugging. The exhaust line from the process chamber
to the POU device will require heating if this occurs.
EXAMPLE 3-16:
DOPED OXIDE CVD WITH TEOS, ORGANIC DOPANTS, AND
IN SITU CARBON-BASED PFC CLEANING.
Goal determined by site personnel: Carbon-based PFCs to be abated by 95% or greater.
Point-Of-Use Devices and Exhaust-Line Requirements
49
POU device technology selected: Thermal oxidation-wet scrubbing system. The thermal oxidation unit needs to utilize higher temperature oxidation and a fluoride-scavenging hydrogen atom source to destroy carbon-based PFCs.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
POU device wastewater discharge needs to be evaluated for fluoride concentrations.
TEOS (and possibly organic dopants) can condense in the process exhaust line and
process pump exhaust line, and can react with chamber cleaning gases, causing plugging. The exhaust line from the process chamber to the POU device will require heating
if this occurs.
EXAMPLE 3-17:
DOPED OXIDE CVD WITH TEOS, ORGANIC DOPANTS, AND
NITROGEN TRIFLUORIDE PREDEVICE CVD CHAMBER
CLEANING.
Goals determined by site personnel: High concentrations of fluorine from chamber
clean need to be abated by 99% or greater and abated to below site-specific personnel
exposure requirements before entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system, using natural
gas, hydrogen, or water (hydrogen atom source) in the thermal oxidation section to convert
fluorine to hydrogen fluoride before the wet scrubbing section. The internal materials of
construction need to be fluorine- and hydrogen fluoride-resistant (dry and wet portions).
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
POU device wastewater discharge needs to be evaluated for fluoride concentrations.
TEOS (and possibly organic dopants) can condense in the process exhaust line and
process pump exhaust line, and can react with chamber cleaning gases, causing plugging. The exhaust line from the process chamber to the POU device will require heating
if this occurs.
3.3.3 NITRIDE AND OXYNITRIDE CHEMICAL VAPOR DEPOSITIONS
These examples are for nitride CVDs using ammonia and silane with various chamber clean gases and for oxynitride CVD using ammonia, silane and nitrous oxide
with various chamber clean gases.
EXAMPLE 3-18:
NITRIDE CVDS WITH AMMONIA, SILANE, AND IN SITU PFC
CHAMBER CLEANING. Also applies to oxynitride CVDs with ammonia,
silane, nitrous oxide, and in situ PFC chamber cleaning.
Goals determined by site personnel: Silane must be below 25% of the LFL and accepted
that unreacted silane (below pyrophoric levels) will enter the centralized acid exhaust
50
Semiconductor Industry: Wafer Fab Exhaust Management
system. Ammonia must be abated to below 1 ppmv before entering the centralized acid
exhaust system.
POU device technology selected: Passive air addition (conditioning)-wet scrubbing system.
Other considerations: There may be some particulate deposition (from unreacted silane)
in the POU device exhaust line, and there will be unreacted silane entering the centralized acid exhaust system that may create some particulate deposition. Some unreacted silane may reach the centralized acid scrubber stacks and be emitted (silane is
not water-scrubbed to any extent). Ammonia will be in the wastewater discharge of
the POU device. Low concentrations of hydrofluoric acid may be present in the exhaust
line from this POU device. Pitch the POU device exhaust line toward the POU device
to allow for condensate to drain back into the wet scrubbing section of the POU device.
Install hydrofluoric acid-resistant material for the POU device exhaust line. Wastewater
discharge of the POU device needs to be evaluated for fluoride concentrations. Heating
of the exhaust line from the process pump to the POU device may be needed.
EXAMPLE 3-19:
NITRIDE CVDS WITH AMMONIA, SILANE, AND IN SITU PFC
CHAMBER CLEANING. Also applies to oxynitride CVDs with ammonia,
silane, nitrous oxide, and in situ PFC chamber cleaning.
Goals determined by site personnel: Silane must be below site-specific personnel
exposure requirements for silane, and ammonia must be abated to below 1 ppmv before
entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system.
Other considerations: Ammonia will be in the wastewater discharge of the POU device.
Low concentrations of hydrofluoric acid may be present in the exhaust line from this
POU device. Pitch the POU device exhaust line toward the POU device to allow for
condensate to drain back into the wet scrubbing section of the POU device. Install
hydrofluoric acid-resistant material for the POU device exhaust line. The wastewater
discharge of the POU device needs to be evaluated for fluoride concentrations. Heating
of the exhaust line from the process pump to the POU device may be needed.
EXAMPLE 3-20:
NITRIDE CVDS WITH AMMONIA, SILANE, AND IN SITU CARBONBASED PFC CHAMBER CLEANING. Also applies to oxynitride CVD
with ammonia, silane, nitrous oxide, and in situ carbon-based PFC chamber
cleaning.
Goals determined by site personnel: Carbon-based PFCx abated by 95% or greater.
Silane must be below 25% of the LFL, and ammonia must be abated to below 1 ppmv
before entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system. The thermal oxidation section needs to utilize higher temperature oxidation and a fluoridescavenging hydrogen atom source to destroy carbon-based PFCs.
Other considerations: Ammonia will be in the wastewater discharge of the POU device.
Low concentrations of hydrofluoric acid may be present in the exhaust line from this
POU device. Pitch the POU device exhaust line toward the POU device to allow for
Point-Of-Use Devices and Exhaust-Line Requirements
51
condensate to drain back into the wet scrubbing section of the POU device. Install
hydrofluoric acid-resistant material for the POU device exhaust line. Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations. Heating
of the exhaust line from the process pump to the POU device may be needed.
EXAMPLE 3-21:
NITRIDE CVDS WITH AMMONIA, SILANE, AND NITROGEN
TRIFLUORIDE PREDEVICE CVD CHAMBER CLEANING. Also
applies to oxynitride CVDs with ammonia, silane, nitrous oxide, and nitrogen
trifluoride predevice CVD chamber cleaning.
Goals determined by site personnel: High concentrations of fluorine from nitrogen
trifluoride predevice CVD chamber cleaning need to be abated by 99% or greater before
entering the centralized acid exhaust system. Silane must be below 25% of the LFL,
and ammonia must be abated to below 1 ppmv before entering the centralized acid
exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system, using natural
gas, hydrogen, or water (hydrogen atom source) in the thermal oxidation section to convert
fluorine to hydrogen fluoride before the wet scrubbing section. The internal construction
materials need to be fluorine- and hydrogen fluoride-resistant (dry and wet portions).
Other considerations: Ammonia will be in the wastewater discharge of the POU device.
Low concentrations of hydrofluoric acid may be present in the exhaust line from this
POU device. Pitch the POU device exhaust line toward the POU device to allow for
condensate to drain back into the wet scrubbing section of the POU device. Install
hydrofluoric acid-resistant material for the POU device exhaust line. Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations. Heating
the exhaust line from the process pump to the POU device may not be needed as the
high concentrations of fluorine from the nitrogen trifluoride predevice CVD chamber
cleaning may keep the exhaust line relatively clean.
3.3.4 TUNGSTEN CHEMICAL VAPOR DEPOSITIONS
These examples are for tungsten CVDs using tungsten hexafluoride, silane, and
hydrogen with various chamber cleaning gases. Review previous examples if carbonbased PFC abatement is required.
EXAMPLE 3-22:
TUNGSTEN CVDS WITH TUNGSTEN HEXAFLUORIDE, SILANE,
HYDROGEN, AND IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: Removal of tungsten hexafluoride at 98% or
greater. Silane should already be below 25% of the LFL from the process pump due
to maximum process flow for silane being low; therefore no silane abatement is
required. Reduce hydrogen below 25% of the LFL. Minimize particulate deposition in
the POU device exhaust line.
POU device technology selected: Thermal oxidation-wet scrubbing system.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
52
Semiconductor Industry: Wafer Fab Exhaust Management
device to allow for condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations. Heating the exhaust line from the process pump to the POU device may be
needed. Tungsten will also be in the wastewater discharge from the POU device.
EXAMPLE 3-23:
TUNGSTEN CVDS WITH TUNGSTEN HEXAFLUORIDE, SILANE,
HYDROGEN, AND NITROGEN TRIFLUORIDE PREDEVICE CVD
CHAMBER CLEANING.
Goals determined by site personnel: High concentrations of fluorine from nitrogen
trifluoride pre-device CVD chamber clean need to be abated by 99% or greater and be
abated to below site-specific personnel exposure requirements before entering centralized acid exhaust system. Removal of tungsten hexafluoride by 98% or greater is
required. Silane should be abated to below site-specific personnel exposure requirements before entering centralized acid exhaust system. Reduce hydrogen below 25%
of LFL. Minimize particulate deposition in POU device exhaust line.
POU device technology selected: Thermal oxidation-wet scrubbing system, using natural
gas, hydrogen, or water (hydrogen atom source) in the thermal oxidation section to convert
fluorine to hydrogen fluoride before the wet scrubbing section. The internal construction
materials need to be fluorine- and hydrogen fluoride-resistant (dry and wet portions).
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations. Heating the exhaust line from the process pump to the POU device may be
needed, depending if fluorine from the nitrogen trifluoride predevice CVD chamber
cleaning keeps the exhaust line relatively clean. Tungsten will also be in the wastewater
discharge of the POU device.
EXAMPLE 3-24:
TUNGSTEN CVD WITH TUNGSTEN HEXAFLUORIDE, SILANE,
HYDROGEN, AND IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: Removal of tungsten hexafluoride at 98% or
greater. Silane is at a low process flow, so dilution from nitrogen pump purge is
determined sufficient for silane safety. Use centralized acid exhaust system airflow rate
to dilute hydrogen below 25% of the LFL (where the process pump exhaust line
connects to the centralized acid exhaust system).
POU device technology selected: Wet scrubbing system, with safety metal cage.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow for condensate to drain back into wet scrubbing system. Install hydrofluoric acid-resistant material for the POU device exhaust line. Wastewater discharge
of the POU device needs to be evaluated for fluoride concentrations. Heating the exhaust
line from the process pump to the POU device may be needed. Tungsten will also be
in the wastewater discharge of the POU device.
Point-Of-Use Devices and Exhaust-Line Requirements
53
3.3.5 TUNGSTEN SILICIDE CVD
EXAMPLE 3-25:
TUNGSTEN SILICIDE CVD WITH TUNGSTEN HEXAFLUORIDE,
DICHLOROSILANE, AND IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: Removal of tungsten hexafluoride and dichlorosilane by 98% or greater.
POU device technology selected: Wet scrubbing system.
Other considerations: Low concentrations of hydrofluoric acid and hydrochloric acid
may be present in the exhaust line from this POU device. Pitch the POU device exhaust
line toward the POU device to allow condensate to drain back into the wet scrubbing
system. Install hydrofluoric acid- and hydrochloric acid-resistant material for the POU
device exhaust line. Wastewater discharge from the POU device needs to be evaluated for
fluoride concentrations. Heating the exhaust line from the process pump to the POU device
may be needed. Tungsten will also be in the wastewater discharge of the POU device.
3.3.6 LPCVD NITRIDE
EXAMPLE 3-26:
LPCVD NITRIDE WITH AMMONIA AND DICHLOROSILANE.
FURNACE TUBES CAN BE CLEANED OUTSIDE OF THE PROCESS
EQUIPMENT IN A WET BENCH OR VERTICAL TUBE CLEANER.
Goals determined by site personnel: Remove ammonia and dichlorosilane by 98% or
greater before it enters the centralized acid exhaust system. Remove ammonium chloride (by-product from LPCVD process) so that ammonium chloride does not deposit
to any extent in the process pump exhaust line.
POU device technologies selected: Cold trap system near process pump, followed by
a wet scrubbing system.
Other considerations: Ammonium chloride will not be removed to any extent by the
POU wet scrubbing system, so ammonium chloride removal by cold trap is important
(as well as reducing ammonium chloride deposition in the process pump exhaust line).
There may be more than one cold trap installed (e.g., one cold trap in the exhaust line
close to the furnace tube and one cold trap in the exhaust line close to the process
pump). Heating of the exhaust line between the furnace tube and process pump cold
trap may be needed. Ammonia will be in the wastewater discharge of the POU device.
Low concentrations of hydrochloric acid may be present in the exhaust line from this
POU device. Pitch the POU device exhaust line toward the POU device to allow
condensate to drain back into the wet scrubbing system. Install hydrochloric acidresistant material for the POU device exhaust line.
3.3.7 LOW-PRESSURE CHEMICAL VAPOR DEPOSITION OF POLY- AND OXIDE
(UNDOPED OR DOPED) USING SILANE
LPCVD undoped poly and oxide deposition can use silane. LPCVD doped poly and
doped oxide can use silane and one or more dopant gases. The furnace tubes can
54
Semiconductor Industry: Wafer Fab Exhaust Management
be cleaned out of the process equipment in a vertical tube cleaner or in a wet bench.
Note that there are other LPCVD undoped poly and doped poly processes and
LPCVD undoped oxide and doped oxide processes (e.g., TEOS-based processes)
that will not be presented in this section.
EXAMPLE 3-27:
LPCVD UNDOPED POLY AND OXIDE USING SILANE AND
FURNACE TUBE CLEANING CONDUCTED OUTSIDE OF PROCESS
EQUIPMENT USING VERTICAL TUBE CLEANER.
Goal determined by site personnel: Reduce silane to below 25% of the LFL.
POU device technology selected: Passive air addition (conditioning) system.
Other considerations: Unreacted silane will be exhausted to the centralized acid exhaust
system and centralized acid scrubber. Some particulate matter (depending on how much
silane reacts with air and forms silicon dioxide) may deposit in the POU device exhaust
line and centralized acid exhaust system. A centralized acid scrubber will not remove
unreacted silane to any extent.
EXAMPLE 3-28:
LPCVD UNDOPED POLY AND OXIDE USING SILANE AND
FURNACE TUBE CLEANING CONDUCTED OUTSIDE OF PROCESS
EQUIPMENT USING VERTICAL TUBE CLEANER.
Goal determined by site personnel: Reduce silane to levels below site-specific personnel
exposure requirements before entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation system.
Other considerations: There may be some particulate deposition in the POU device
exhaust line and centralized acid exhaust system.
EXAMPLE 3-29:
LPCVD DOPED POLY AND OXIDE USING SILANE AND
INORGANIC DOPANTS AND FURNACE TUBE CLEANING
CONDUCTED OUTSIDE OF PROCESS EQUIPMENT USING
VERTICAL TUBE CLEANER.
Goals determined by site personnel: Reduce all hydride gases (including silane) by
95% or greater and to levels below site-specific personnel exposure requirements before
entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation system.
Other considerations: There may be some particulate deposition in the POU device
exhaust line and centralized acid exhaust system.
3.3.8 EPITAXIAL DEPOSITION
Epitaxial (EPI) deposition processes can use dichlorosilane, trichlorosilane, hydrogen,
inorganic dopants (e.g., arsine, diborane, and phosphine), and hydrogen chloride.
Point-Of-Use Devices and Exhaust-Line Requirements
EXAMPLE 3-30:
EPI PROCESS USING DICHLOROSILANE, HYDROGEN,
INORGANIC DOPANTS, AND HYDROGEN CHLORIDE.
Goals determined by site personnel: Remove 99% or greater of dichlorosilane and hydrogen chloride, and try to prevent particulate deposition in the exhaust line to the roof. The
EPI process exhaust line will be installed to above roof level for venting above LFL
concentrations of hydrogen outside (i.e., no hydrogen destruction needed). There are no
requirements to abate very low concentrations of inorganic dopants (hydride gases).
POU device technology selected: Wet scrubbing system, with safety metal cage.
Other considerations: Procedure to clean the exhaust line after wet scrubbing system
should be established (will probably have to be cleaned regularly at some time interval).
Hydrogen vented to outside may flare at vent outlet to atmosphere if ignition occurs;
develop procedure in case that happens. Low concentrations of hydrochloric acid may
be present in the exhaust line from this POU device. Pitch the POU device exhaust
line toward the POU device to allow condensate to drain back into the wet scrubbing
system. Install hydrochloric acid-resistant material for the POU device exhaust line.
EXAMPLE 3-31:
EPI PROCESS USING DICHLOROSILANE, HYDROGEN,
INORGANIC DOPANTS, AND HYDROGEN CHLORIDE.
Goals determined by site personnel: Remove 99% or greater of dichlorosilane and
hydrogen chloride, and prevent particulate deposition in the centralized acid exhaust
system. Hydrogen will need to be oxidized to below 25% of the LFL before it enters
the centralized acid exhaust system. Arsenic compounds (by-products of arsine being
oxidized) are not wanted in wastewater; determined that small amount of arsenic
compounds in centralized acid exhaust system is acceptable.
POU device technology selected: Wet scrubbing system (with safety metal cage)
followed by thermal oxidation system.
Other considerations: Arsine is not removed to any extent in the wet scrubbing system.
Oxidation of inorganic dopants (hydride gases) occurs, creating particulates including
arsenic compounds. Cleaning of particulates (some containing arsenic) after thermal
oxidation system will likely need to be done at some time interval. A procedure to
clean exhaust line after wet scrubbing system and before thermal oxidation system
should be established (will probably have to be cleaned periodically). Low concentrations of hydrochloric acid may be present in the exhaust line from the wet scrubbing
system. Pitch the POU device exhaust line toward the wet scrubbing system to allow
condensate to drain back into this POU device. Install hydrochloric acid-resistant
material for the wet scrubbing system exhaust line.
EXAMPLE 3-32:
EPI PROCESS USING DICHLOROSILANE, HYDROGEN,
INORGANIC DOPANTS, AND HYDROGEN CHLORIDE.
Goals determined by site personnel: Remove 99% or greater of dichlorosilane and
hydrogen chloride, and try to prevent particulate deposition in the centralized acid
exhaust system. Hydrogen will need to be oxidized to below 25% of the LFL before
55
56
Semiconductor Industry: Wafer Fab Exhaust Management
entering the centralized acid exhaust system. Abatement of inorganic dopants (hydride
gases) is needed. Small amounts of arsenic compounds in wastewater are allowed.
POU device technology selected: Thermal oxidation-wet scrubbing system.
Other considerations: Small amounts of arsenic in wastewater. Some EPI processes
have a hydride gas diluent bypass, which is before the hydride feed gas (in hydrogen)
reaches the EPI process. It is important to determine to where this hydride gas diluent
bypass flows, as it typically contains a majority of hydride gases being emitted. If this
hydride gas diluent bypass is used, see next example. Low concentrations of hydrochloric acid may be present in the exhaust line from this POU device. Pitch the POU
device exhaust line toward the POU device to allow condensate to drain back into the
wet scrubbing section of the POU device. Install hydrochloric acid-resistant material
for the POU device exhaust line.
EXAMPLE 3-33:
EPI USING DICHLOROSILANE, HYDROGEN, INORGANIC
DOPANTS, AND HYDROGEN CHLORIDE.
Goals determined by site personnel: Remove 99% or more of dichlorosilane and
hydrogen chloride, and try to prevent particulate deposition in the exhaust line to the
roof. The EPI process exhaust line will be installed to above roof level for venting LFL
concentrations of hydrogen outside (i.e., no hydrogen destruction needed). Inorganic
dopants (hydride gases) need to be abated by 90%. After reviewing the flow of hydride
gases from gas cylinders to the EPI process equipment, there will be a hydride gas
diluent bypass to the exhaust line after the POU device. The hydride gas diluent bypass
is estimated to be 95% of the total hydride gas emissions from the EPI operation.
Therefore, abate the hydride gas diluent bypass with one POU device (best technology
for hydride gases) and the EPI process with another POU device (best technology for
hydrogen chloride and dichlorosilane).
POU device technologies selected: Wet scrubbing system (with safety metal cage) for
the EPI process exhaust line; dry technology system (canister) on hydride gas diluent
bypass line.
Other considerations: Procedure to clean the exhaust line after wet scrubbing system
should be established (it will have to be cleaned periodically). Hydrogen vented to the
outside may flare at the vent outlet to the atmosphere if ignition occurs; develop a
procedure in case that happens. Low concentrations of hydrochloric acid may be present
in the exhaust line from the wet scrubbing system. Pitch the POU device exhaust line
toward the wet scrubbing system to allow condensate to drain back into this POU
device. Install hydrochloric acid-resistant material for the wet scrubbing system exhaust
line. Hydride gas sensing should be utilized in the dry technology system exhaust line
to determine when the dry canister needs changing. The dry canister may require
disposal and may be classified as hazardous waste.
3.3.9 OTHER EXAMPLES OF CHEMICAL VAPOR DEPOSITION PROCESSES
3.3.9.1 Titanium Nitride Chemical Vapor Deposition
Titanium nitride CVD can use titanium tetrachloride and ammonia for deposition,
and chamber cleaning gas (e.g., chlorine trifluoride).
Point-Of-Use Devices and Exhaust-Line Requirements
57
EXAMPLE 3-34:
TITANIUM NITRIDE CVD USING TITANIUM TETRACHLORIDE,
AMMONIA, AND CHLORINE TRIFLUORIDE (AS CHAMBER
CLEANING GAS).
Goals determined by site personnel: Abate titanium tetrachloride at 95% or greater;
99% or greater removal of chlorine trifluoride; and abate ammonia to below 1 ppmv
before entering the centralized acid exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system. The thermal oxidation section may require a hydrogen atom source (e.g., hydrogen, natural
gas, or water) to convert chlorine trifluoride to hydrogen fluoride and hydrogen chloride,
so as to minimize effects on the materials of construction of the POU device and to
assist removal efficiency in the wet scrubbing section.
Other considerations: Low concentrations of hydrochloric acid and hydrofluoric acid
may be present in the exhaust line from this POU device. Pitch the POU device exhaust
line toward the POU device to allow condensate to drain back into the wet scrubbing
section of the POU device. Install hydrochloric acid- and hydrofluoric acid-resistant
material for the POU device exhaust line. Wastewater discharge of the POU device
needs to be evaluated for fluoride concentrations. Ammonia and titanium will also be
in the wastewater discharge of the POU device. Heating the exhaust line from the
process pump to the POU device may be needed.
EXAMPLE 3-35:
TITANIUM NITRIDE CVD USING TITANIUM TETRACHLORIDE,
AMMONIA, AND CHLORINE TRIFLUORIDE (AS CHAMBER
CLEANING GAS). The pump exhaust line has an exhaust directional valve
that splits the exhaust to two separate exhaust lines, with one exhaust line for
deposition exhaust and the other exhaust line for chamber cleaning exhaust.
Goals determined by site personnel: Abate chlorine trifluoride at 99% or greater; remove
95% of titanium tetrachloride; and abate ammonia to below 1 ppmv before it enters
the centralized acid exhaust system.
POU device technologies selected: Two POU devices are going to used, one for
deposition exhaust and the other for chamber cleaning exhaust; an exhaust directional
valve in the process pump exhaust line will be used to switch to different POU devices.
A wet scrubbing system is used for deposition exhaust and dry technology system is
used for chamber cleaning exhaust (canister usage is estimated to be low).
Other considerations: A safety review should be conducted for the directional valve of
the process pump exhaust line. Low concentrations of hydrochloric acid may be present
in the exhaust line from the wet scrubbing system. Pitch the POU device exhaust line
toward the wet scrubbing system to allow condensate to drain back into this POU
device. Install hydrochloric acid-resistant material for the POU device exhaust line.
Ammonia and titanium will be in the wastewater discharge of the POU device.
3.3.9.2 Low-K Chemical Vapor Deposition
Low-k CVD can use silicon-containing organic compounds for deposition and PFC
gas for chamber cleaning.
58
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 3-36:
LOW-K CVD USING SILICON-CONTAINING ORGANIC
COMPOUND WITH IN SITU PFC CHAMBER CLEANING.
Goals determined by site personnel: Fab personnel have set a VOC requirement on
emissions per wafer; therefore, abatement of 90% or greater is required for siliconcontaining organic compounds. Future PFC reduction of 95% or greater may be
required, so this capability is specified for the POU device (note that the POU device
may not be operated in PFC abatement mode until it is necessary).
POU device technology selected: Thermal oxidation-wet scrubbing system, with PFC
abatement capability.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations.
EXAMPLE 3-37:
LOW-K CVD USING SILICON-CONTAINING ORGANIC
COMPOUND WITH IN SITU PFC CLEANING.
Goal determined by site personnel: No known reason for POU device determined.
POU device technology selected: None.
Other considerations: Particulate deposition in the centralized acid exhaust system
needs to be determined. If maintenance becomes a concern, installation of the POU
device can be done.
3.3.9.3 Furnace Process Using Ammonia
A furnace process that uses ammonia only (i.e., other than inert gases) can be
exhausted to the centralized ammonia exhaust system. If there is not a separate
centralized ammonia exhaust system, then a POU device is needed.
EXAMPLE 3-38:
FURNACE PROCESS USING AMMONIA.
Goal determined by site personnel: There is no separate centralized ammonia exhaust
system. Ammonia should be less than 1 ppmv before entering the centralized acid
exhaust system.
POU device technology selected: Wet scrubbing system.
Other considerations: If ammonia flow is high enough, a scrubbing solution of low pH
may be needed to get less than 1 ppmv ammonia at the POU device outlet. This would
require acid solution addition (e.g., sulfuric acid solution) to the scrubbing solution.
Ammonia will be in the wastewater discharge of the POU device.
Point-Of-Use Devices and Exhaust-Line Requirements
59
3.3.10 ALUMINUM ETCH
EXAMPLE 3-39:
ALUMINUM ETCH PROCESS USING BORON TRICHLORIDE AND
CHLORINE.
Goals determined by site personnel: There should be 98% abatement of boron trichloride, chlorine, and aluminum trichloride (etch by-product).
POU device technology selected: Wet scrubbing system.
Other considerations: Heating the exhaust line from the process pump to the POU
device may be needed. Low concentrations of hydrochloric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow condensate to drain back into the wet scrubbing system. Install hydrochloric acid-resistant material for the POU device exhaust line. Aluminum will also be
in the wastewater discharge of the POU device.
3.3.11 OXIDE, POLY, NITRIDE ETCH PROCESSES
An oxide etch process and nitride etch process generally use PFC gases. Poly etch
process can use hydrogen bromide, chlorine, and PFC gases.
EXAMPLE 3-40:
OXIDE, POLY, NITRIDE ETCH PROCESSES.
Goals determined by site personnel: Determined that centralized acid scrubber can
abate silicon tetrafluoride (etch by-product), hydrogen fluoride (etch by-product), chlorine and hydrogen bromide. No hydrogen bromide damage of centralized acid exhaust
system is expected. No abatement of PFC gases is required.
POU device technology selected: None.
Other considerations: None.
EXAMPLE 3-41:
POLY ETCH PROCESS.
Goals determined by site personnel: Hydrogen bromide damage of the centralized acid
exhaust system is expected to be a concern. No abatement of PFC gases is required.
POU device technology selected: Wet scrubbing system.
Other considerations: Low concentrations of hydrobromic acid, hydrochloric acid, and
hydrofluoric acid may be present in the exhaust line from this POU device. Pitch the
POU device exhaust line toward the POU device to allow condensate to drain back
into the wet scrubbing system. Install hydrobromic acid-, hydrochloric acid-, and
hydrofluoric acid-resistant material for the POU device exhaust line. Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations.
EXAMPLE 3-42:
PFC ABATEMENT FOR OXIDE AND NITRIDE ETCH PROCESSES.
Goals determined by site personnel: Review of site PFC emissions in relation to site
PFC abatement goals was done. Abate PFC emissions by 95% or greater for oxide
60
Semiconductor Industry: Wafer Fab Exhaust Management
etch and nitride etch processes. Prefer installing one POU device for four etch chambers. Natural gas is available in the sub-fab.
POU device technology selected: Thermal oxidation-wet scrubbing system, with higher
oxidation temperature capability for destruction of tetrafluoromethane.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations.
EXAMPLE 3-43:
PFC ABATEMENT FOR OXIDE AND NITRIDE ETCH PROCESSES.
Goals determined by site personnel: Review of site PFC emissions in relation to site
PFC abatement goals was done. Abate PFC emissions by 95% or greater for oxide
etch and nitride etch processes. Prefer installing one POU device for four etch chambers. Natural gas is not available in the subfab.
POU device technology selected: Wet scrubbing-catalytic oxidation-wet scrubbing
system. Oxidation temperature for destruction of tetrafluoromethane is much lower for
catalytic oxidation than thermal oxidation.
Other considerations: Catalyst used must be protected from exposure to silicon compounds (e.g., silicon tetrafluoride, which is a nitride etch and oxide etch by-product).
The first wet scrubbing section is used to remove silicon tetrafluoride to very low
concentrations before bringing in the catalyst. Low concentrations of hydrofluoric acid
may be present in the exhaust line from this POU device. Pitch the POU device exhaust
line toward the POU device to allow condensate to drain back into the wet scrubbing
section of the POU device. Install hydrofluoric acid-resistant material for the POU
device exhaust line. Wastewater discharge of the POU device needs to be evaluated for
fluoride concentrations.
EXAMPLE 3-44:
PFC ABATEMENT FOR OXIDE AND NITRIDE ETCH PROCESSES.
Goals determined by site personnel: Review of site PFC emissions in relation to site
PFC abatement goals was done. The results were to abate PFC emissions by 95% or
greater for oxide etch and nitride etch processes. Natural gas is not available in the
sub-fab. Space limitations exist in sub-fab. Prefer POU device technology that uses
almost no floor space.
POU device technology selected: Prepump reactor system, with hydrogen atom and
oxygen atom compound(s) fed into the POU device. Use one POU device for each
etch chamber.
Other considerations: The by-products of the etch process and of the pre-pump reactor
system will be exhausted to the centralized acid exhaust system and then to the
centralized acid scrubbers. Some of these by-products are hydrogen fluoride and silicon
tetrafluoride, which should be removed to some extent by centralized acid scrubbers.
Point-Of-Use Devices and Exhaust-Line Requirements
61
3.3.12 TUNGSTEN ETCH
EXAMPLE 3-45:
TUNGSTEN ETCH UTILIZING PFC GAS.
Goals determined by site personnel: Abate tungsten etch by-products (e.g., tungsten
hexafluoride) at 95% or greater.
POU device technology selected: Wet scrubbing system.
Other considerations: Low concentrations of hydrofluoric acid may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow condensate to drain back into the wet scrubbing section of the POU
device. Install hydrofluoric acid-resistant material for the POU device exhaust line.
Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations. Tungsten will also be in the wastewater.
3.3.13 ION IMPLANT
EXAMPLE 3-46:
ION IMPLANT USING ARSINE, PHOSPHINE, AND BORON
TRIFLUORIDE.
Goals determined by site personnel: Reduce arsine and phosphine concentrations below
site-specific personnel exposure requirements before arsine and phosphine enter the
centralized acid exhaust system. Prevent any arsenic compound deposition in the
centralized acid exhaust system.
POU device technology selected: Dry technology system (using canister).
Other considerations: Canister will require replacement. Arsenic-contaminated canister
may be hazardous waste.
3.3.14 WET BENCHES
Wet benches can have separate baths containing different acid solutions, ammonium
hydroxide-hydrogen peroxide solution or clean water. Ammonia and acid gases
should not mix in the wet bench exhaust duct(s), to prevent formation of ammonium
compounds. Ozone addition can be made to acid solution bath.
EXAMPLE 3-47:
WET BENCH WITH ONE AMMONIUM HYDROXIDE-HYDROGEN
PEROXIDE SOLUTION BATH AND TWO ACID SOLUTION BATHS.
Goal determined by site personnel: There is no centralized ammonia exhaust system.
Ammonia entering the centralized acid exhaust system should be below 1 ppmv.
POU device technology selected: Wet scrubbing system with low pH scrubbing solution
(pH between 3 and 4). Concentrated sulfuric acid solution is added to the scrubbing
solution for pH control.
Other considerations: Segregation of exhaust inside the wet bench is crucial, so that
ammonia and acid compounds do not mix in the gas phase (exhaust). The exhaust duct
containing only ammonia will be exhausted to the POU wet scrubbing system; the
62
Semiconductor Industry: Wafer Fab Exhaust Management
POU device exhaust will be connected to the centralized acid exhaust system. The
exhaust ducts containing only acid compounds will be exhausted to the centralized
acid exhaust system. Low concentrations of sulfuric acid (used for pH control in the
scrubbing solution) may be present in the exhaust line from this POU device. Pitch
the POU device exhaust line toward the POU device to allow condensate to drain back
into the wet scrubbing system. Install proper materials of construction for the POU
device exhaust line. Ammonia will be in the wastewater discharge of the POU device.
EXAMPLE 3-48:
WET BENCH WITH TWO AMMONIUM HYDROXIDE–HYDROGEN
PEROXIDE SOLUTION BATHS AND TWO ACID SOLUTION BATHS
FOR WHICH IT IS DIFFICULT TO SEGREGATE EXHAUST.
Goals determined by site personnel: The wet bench exhaust ducts are vertical downward
from the bottom of the wet bench. The wet bench has two ammonium hydroxidehydrogen peroxide solution baths, each near one or more acid solution baths. Ammonium compounds are depositing in exhaust ducts from the wet bench, and ammonia is
exhausted to the centralized acid exhaust system, forming additional ammonium compounds that are depositing in the centralized acid exhaust system and causing visible
stack emissions. There is a centralized ammonia exhaust system available if wet bench
exhaust can be segregated. This existing wet bench may be permanently damaged if
physical exhaust segregation work is performed, the total cost of this work would be
very high, and there would be significant production downtime for physical exhaust
segregation work. POU abatement is necessary for the entire wet bench exhaust.
Ammonia entering the centralized acid exhaust system should be below 1 ppmv.
POU device technology selected: Wet scrubbing system with low pH scrubbing solution
(pH between 3 and 4). Concentrated sulfuric acid solution is added to the scrubbing
solution for pH control.
Other considerations: Ammonium compounds will not be removed to any extent in the
wet scrubbing system. There will still be particulate deposition (ammonium compounds) in the vertical exhaust ducts between wet bench and the POU wet scrubbing
system (this is not an ideal solution). An exhaust duct washing system (low water flow)
should be installed to periodically wash water-soluble ammonium compounds into the
POU wet scrubbing system (the two exhaust ducts are installed to allow water to flow
vertically into the POU wet scrubbing system, with no liquid pooling in exhaust ducts).
Low concentrations of acid compounds may be present in the exhaust line from this
POU device. Pitch the POU device exhaust line toward the POU device to allow
condensate to drain back into the wet scrubbing system. Install proper materials of
construction for the POU device exhaust line. Wastewater discharge of the POU device
needs to be evaluated for fluoride concentrations, if fluoride-containing compounds
(e.g., hydrogen fluoride) are removed by the wet scrubbing system. Ammonia will be
in the wastewater discharge of the POU device.
EXAMPLE 3-49:
WET BENCH WITH BATH CONTAINING SULFURIC ACID AND
OZONE ADDED. ALSO HAS OTHER ACID SOLUTION BATHS.
Goal determined by site personnel: A wet bench bath was converted from sulfuric acidhydrogen peroxide solution to sulfuric acid solution-ozone. During the first few weeks
Point-Of-Use Devices and Exhaust-Line Requirements
63
of operation there were ozone odors reported; in addition, there was concern about
ozone and the exhaust duct material. Ozone should be abated by 99%.
POU device technology selected: Ozone destruct system
Other considerations: None.
3.3.15 SPRAY PROCESSES USING ACID SOLUTIONS AND AMMONIUM
HYDROXIDE-HYDROGEN PEROXIDE SOLUTION
The spray chamber utilizes acid solutions, clean water, and ammonium hydroxidehydrogen peroxide solution during separate spray operations on wafers.
EXAMPLE 3-50:
SPRAY PROCESS USING ACID SOLUTIONS, AMMONIUM
HYDROXIDE–HYDROGEN PEROXIDE SOLUTION, AND CLEAN
WATER WITH NO SEPARATE CENTRALIZED AMMONIA
EXHAUST SYSTEM.
Goals determined by site personnel: Ammonia in exhaust entering the centralized acid
exhaust system should be below 1 ppmv. Minimize mist carryover into the exhaust
duct coming from the spray chamber.
POU device technology selected: Wet scrubbing system using low pH scrubbing solution (pH between 3 and 4). Concentrated sulfuric acid solution is added to the scrubbing
solution for pH control.
Other considerations: The vent for the ammonium hydroxide solution storage tank
should be connected to the inlet exhaust duct to the POU wet scrubbing system. Exhaust
static pressure on the spray chamber should be between –0.5 and –0.75 inches water,
to minimize mist carryover into the exhaust (the more negative the exhaust static
pressure, the more mist will be removed from the spray chamber). Impact on wafers
and safety of personnel will be evaluated. Low concentrations of acid compounds may
be present in the exhaust line from this POU device. Pitch the POU device exhaust
line toward the POU device to allow condensate to drain back into the wet scrubbing
system. Install proper materials of construction for the POU device exhaust line.
Wastewater discharge of the POU device needs to be evaluated for fluoride concentrations, if fluoride-containing compounds (e.g., hydrogen fluoride) are removed by the
wet scrubbing system. Ammonia will be in the wastewater discharge of the POU device.
EXAMPLE 3-51:
SPRAY PROCESS USING ACID SOLUTIONS, CLEAN WATER, AND
AMMONIUM HYDROXIDE-HYDROGEN PEROXIDE SOLUTION
WITH SEPARATE CENTRALIZED ACID EXHAUST SYSTEM AND
CENTRALIZED AMMONIA EXHAUST SYSTEM.
Goals determined by site personnel: Ammonia in exhaust during spraying of ammonium
hydroxide-hydrogen peroxide solution should be exhausted to a centralized ammonia
exhaust system. Minimize mist carryover into the exhaust duct coming from the spray
chamber.
64
Semiconductor Industry: Wafer Fab Exhaust Management
POU device technology selected: None. An exhaust directional valve is installed, with
two exhaust ducts. One exhaust duct will be connected to the centralized ammonia
exhaust system and the other exhaust duct to the centralized acid exhaust system. Proper
switching of exhaust needs to be established between chemical process steps (one
chemical step is spraying ammonium hydroxide-hydrogen peroxide solution and the
other chemical steps are spraying acid solutions), so that ammonia is exhausted to the
centralized ammonia exhaust system and acid compounds are exhausted to the centralized acid exhaust system.
Other considerations: The vent of the ammonium hydroxide solution storage tank
should be connected to the centralized ammonia exhaust system. Exhaust static pressure
on the spray chamber should be between –0.5 and –0.75 inches of water, to minimize
mist carryover into the exhaust (the more negative the exhaust static pressure, the more
mist will be removed from the spray chamber). Impact on wafers and safety of personnel
should be evaluated.
EXAMPLE 3-52:
SPRAY PROCESS WITH HEATED AQUA REGIA SOLUTION. Aqua
regia solution is mix of hydrochloric acid solution and nitric acid solution.
Goals determined by site personnel: Odors have been detected on roof near centralized
acid scrubber stacks and have been determined to be from aqua regia spray process.
Odors need to be eliminated. A secondary concern is damage to the centralized acid
exhaust system due to condensation of acid mists.
POU device technology selected: Wet scrubbing system with high pH scrubbing solution. Sodium hydroxide solution is added to the scrubbing solution for pH control.
Other considerations: Exhaust static pressure on the spray chamber should be between
–0.5 and –0.75 inches of water to minimize mist carryover into the exhaust (the more
negative the exhaust static pressure, the more mist will be removed from the spray
chamber). Impact on wafers and safety of personnel should be evaluated. Low concentrations of hydrochloric acid and nitric acid may be present in the exhaust line from
this POU device. Pitch the POU device exhaust line toward the POU device to allow
condensate to drain back into the wet scrubbing system. Install proper materials of
construction for the POU device exhaust line. The rise of the centralized acid scrubber
stack exhaust should be maximized by ensuring proper stack height and stack diameter,
allowing for 3000 to 3800 feet per minute exit velocity.
3.3.16 CYLINDER AND OTHER STORAGE CONTAINER GAS-LINE
(OR VENT GAS) PURGES
Purging of gas lines from gas storage containers (e.g., cylinders) occur when gas
storage containers are changed. Nitrogen is usually used for purging lines.
EXAMPLE 3-53:
LINE PURGES OF SEVERAL HYDRIDE CYLINDERS.
Goal determined by site personnel: Install one POU device for 12 cylinder line purges
for silane, hydrogen, and phosphine, which would require 12 POU device inlets.
Hydride gases need to be abated to below site-specific personnel exposure requirements.
POU device technology selected: Thermal oxidizing system.
Point-Of-Use Devices and Exhaust-Line Requirements
65
Other considerations: The small amount of particulate created in the thermal oxidizing
system should have minimal impact on the centralized acid exhaust system.
EXAMPLE 3-54:
LINE PURGES FOR ONE CYLINDER CONTAINING ARSINE IN
HYDROGEN AND ONE CYLINDER CONTAINING PHOSPHINE IN
HYDROGEN.
Goals determined by site personnel: No arsenic particulate should contaminate centralized acid exhaust system. Arsine and phosphine need to be abated below site-specific
personnel exposure requirements. High concentrations of hydrogen in these two cylinder line purges should be safe to vent unabated. Fuel is not available in gas cylinder
storage room.
POU device technology selected: Dry technology system (canister).
Other considerations: The dry technology canister will need to be changed eventually.
The canister may be a hazardous waste.
EXAMPLE 3-55:
LINE PURGES FOR AMMONIA, CHLORINE, DICHLOROSILANE,
AND HYDROGEN CHLORIDE.
Goals determined by site personnel: Small amounts of ammonia, chlorine, dichlorosilane, and hydrogen chloride will be vented during gas cylinder changing. There is no
centralized ammonia exhaust system. These gas cylinder line purges could be vented
without a POU device to the centralized acid exhaust system.
POU device technology selected: None.
Other considerations: None.
EXAMPLE 3-56:
LINE PURGES FOR AMMONIA, CHLORINE, DICHLOROSILANE,
AND HYDROGEN CHLORIDE.
Goals determined by site personnel: Small amounts of ammonia, chlorine, dichlorosilane, and hydrogen chloride will be vented during cylinder changing. There is a
centralized ammonia exhaust system. Chlorine, dichlorosilane, and hydrogen chloride
gas cylinder line purges can be vented without a POU device to the centralized acid
exhaust system, and an ammonia gas cylinder line purge can be vented to the centralized
ammonia exhaust system.
POU device technology selected: None.
Other considerations: None.
3.3.17 METAL ORGANIC CHEMICAL VAPOR DEPOSITION
Gallium arsenide MOCVD can use arsine and gallium-containing organic compound
as deposition chemicals, and indium phosphide MOCVD can use phosphine and
indium-containing organic compound as deposition chemicals. Hydrogen is used as
a carrier gas. Gallium arsenide MOCVD will be used as an example.
66
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 3-57:
GALLIUM ARSENIDE MOCVD WITH NO ARSENIC
WASTEWATER TREATMENT PLANT AND EXHAUSTED TO
ABOVE THE ROOF (OUTSIDE).
Goals determined by site personnel: Arsine needs to be abated to below site-specific
personnel exposure requirements, and arsine removal efficiency needs to be 99% or
greater. No liquid arsenic wastewater should be generated (there is no mechanism to
treat arsenic-contaminated wastewater on-site, wastewater handling is potentially
“messy” and expensive to ship offsite for disposal). Hydrogen can be safely vented to
above roof (outside), so hydrogen does not need to be oxidized.
POU device technology selected: Dry technology system (canisters).
Other considerations: Dry canisters will require replacement and may need waste
disposal. Arsenic-contaminated canisters may be considered hazardous waste.
EXAMPLE 3-58:
GALLIUM ARSENIDE MOCVD WITH ARSENIC WASTEWATER
TREATMENT PLANT AND EXHAUSTED TO ABOVE THE ROOF
(OUTSIDE).
Goals determined by site personnel: Arsine needs to be abated to below site-specific
personnel exposure requirements, and arsine removal efficiency needs to be 99% or
greater. Liquid arsenic wastewater generated can be treated on site. Hydrogen can be
safely vented to above the roof (outside), so hydrogen does not need to be oxidized.
POU device technology selected: Chemical-dosed wet scrubbing system with metal
safety cage.
Other considerations: Low concentrations of certain chemicals may be present in the
exhaust line from this POU device. Pitch the POU device exhaust line toward the POU
device to allow condensate to drain back into the wet scrubbing system. Install proper
materials of construction for the POU device exhaust line.
EXAMPLE 3-59:
GALLIUM ARSENIDE MOCVD WITH ARSENIC WASTEWATER
TREATMENT PLANT AND EXHAUSTED TO THE CENTRALIZED
ACID EXHAUST SYSTEM.
Goals determined by site personnel: Arsine needs to be abated to below site-specific
personnel exposure requirements, and arsine removal efficiency needs to be 99% or greater.
Liquid arsenic wastewater generated can be treated on site. Hydrogen needs to be oxidized
to below 25% of the LFL before being exhausted to the centralized acid exhaust system.
POU device technology selected: Thermal oxidation-wet scrubbing system.
Other considerations: Generation of submicron arsenic particles in the thermal oxidation section will need to be reviewed to determine if these particles will go through
the wet scrubbing section and deposit in the POU device exhaust line and centralized
acid exhaust system. Pitch the POU device exhaust line toward the POU device to
allow condensate to drain back into the wet scrubbing system. Install proper materials
of construction for the POU device exhaust line.
Point-Of-Use Devices and Exhaust-Line Requirements
67
EXAMPLE 3-60:
GALLIUM ARSENIDE MOCVD WITH NO ARSENIC WASTEWATER
TREATMENT PLANT AND EXHAUSTED TO THE CENTRALIZED
ACID EXHAUST SYSTEM.
Goals determined by site personnel: Arsine needs to be abated to below site-specific
personnel exposure requirements, and arsine removal efficiency needs to be 99% or
greater. No liquid arsenic wastewater should be generated (there is no mechanism to
treat arsenic-contaminated wastewater on-site, wastewater handling is potentially
“messy” and expensive to ship off site for disposal). Hydrogen needs to be oxidized
to below 25% of the LFL before entering the centralized acid exhaust system.
POU device technology selected: Dry technology system (canister) followed by thermal
oxidizing system.
Other considerations: Canisters will require replacement and may need waste disposal.
Arsenic-contaminated canisters may be considered hazardous waste.
3.3.18 GALLIUM ARSENIDE ETCH
EXAMPLE 3-61:
GALLIUM ARSENIDE ETCH.
Goals determined by site personnel: Arsenic compounds are deposited in the centralized
acid exhaust system and create hazardous cleanup conditions. Prevent arsenic compounds
from depositing by preventing gallium arsenide etch by-products from reaching the centralized acid exhaust system. Arsenic compounds need to be abated by 98% or greater.
POU device technology selected: Dry technology system (canister) that can remove
gallium arsenide etch by-products.
Other considerations: Canisters will require replacement and may need waste disposal.
Arsenic-contaminated canisters may be considered hazardous waste. Heating of the
exhaust line between the process pump and the POU device may be helpful in keeping
gallium arsenide etch by-products from depositing.
3.4 FAB EXAMPLES OF POINT-OF-USE DEVICES
Examples below are based on situations that may be found in the semiconductor
industry. These situations are provided for the reader’s information and may be
useful while working on projects.
EXAMPLE 3-62:
A NEW INSTALLATION OF TEOS-BASED OXIDE CVD PROCESS
EQUIPMENT USING NITROGEN TRIFLUORIDE PREDEVICE CVD
CHAMBER CLEANING.
Tetraethylorthosilicate (TEOS)-based oxide CVD process equipment is sometimes
installed without a POU device, as TEOS does not always need to be abated (this
would also apply to doped oxide utilizing TEOS and organic dopants). The actual need
for a POU device for this process equipment is for the chamber cleaning utilizing a
predevice to fracture nitrogen trifluoride into monatomic fluorine and diatomic fluorine
68
Semiconductor Industry: Wafer Fab Exhaust Management
before entering the process chamber. A high concentration of fluorine comes out of
the process chamber to the process pump, and then goes to the centralized acid exhaust
system. Fluorine can impact duct material by reacting with liquid water in the duct to
form hydrofluoric acid or directly from the gas-phase reaction of fluorine with duct
material. In addition, any fluorine that reaches the centralized acid scrubber can react
in the scrubber solution (depending on conditions) to form oxygen difluoride and be
emitted from the stack. A POU thermal oxidation-wet scrubbing system that uses
natural gas, hydrogen, or water in the thermal oxidation section can be used.
EXAMPLE 3-63:
RETROFIT OF EXISTING CVD PROCESS EQUIPMENT
(WITH EXISTING POU DEVICE) WITH NITROGEN TRIFLUORIDE
PREDEVICE CVD CHAMBER CLEANING.
Existing CVD process equipment using in situ carbon-based PFC chamber cleaning
was retrofitted with nitrogen trifluoride predevice CVD chamber cleaning to reduce
PFC emissions and to improve wafer throughput. An existing POU device on the CVD
process equipment was not reviewed for compatible materials of construction or adequate fluorine removal efficiency for the high concentrations of fluorine from this
chamber cleaning. High maintenance (downtime) of the existing POU device and
fluorine effects on the centralized acid duct material occurred. Retrofitting or replacing
the POU device was needed to efficiently remove fluorine and to minimize downtime
of the POU device. A possible POU device replacement is a POU thermal oxidationwet scrubbing system that uses natural gas, hydrogen, or water in the thermal oxidation
section.
EXAMPLE 3-64:
PROPER INSTALLATION OF WET BENCH EXHAUST
SEGREGATION AND POU WET SCRUBBING SYSTEM
FOR AQUA REGIA BATH.
Odors on the roof were traced back to a heated aqua regia solution bath (a mixture of
concentrated hydrochloric acid solution and concentrated nitric acid solution), while
the aqua regia solution was being heated and processing wafers. The wet bench had
the heated aqua regia solution bath next to another acid solution bath. The wet bench
exhaust was connected to the centralized acid exhaust system and a few centralized
acid scrubbers. Wet bench exhaust segregation and POU device installation were
selected as the solution for this odor concern. The POU wet scrubbing system that was
installed is a wet scrubber with high pH scrubbing solution (with sodium hydroxide
solution addition) followed by a submicron acid mist filter. It is important to note that
the POU wet scrubbing system that was installed did not have the air flow-rate capacity
to have the entire wet bench exhausted to it. The exhaust segregation work consisted
of splitting the exhaust duct on the back of the wet bench, with one half closest to the
aqua regia solution bath exhausting to the new POU wet scrubbing system and the
other half exhausting directly to the centralized acid exhaust system. However, the
exhaust internals of the wet bench were not changed. Odors continued on roof. Further
inspection determined that the aqua regia solution bath emissions were mixing in the
internal exhaust section of the wet bench and flowing to both exhaust ducts, with one
exhaust not being abated by the POU wet scrubbing system (as mentioned previously).
Further work was done to segregate the wet bench internal exhaust section to ensure
Point-Of-Use Devices and Exhaust-Line Requirements
aqua regia bath emissions were only exhausting to the POU wet scrubbing system. For
future projects similar to this project, it was recommended that the entire wet bench
that contains only acid solutions should be exhausted to a POU device and not perform
wet bench exhaust segregation.
EXAMPLE 3-65:
PROPER INSTALLATION OF WET BENCH EXHAUST
SEGREGATION FOR AMMONIUM HYDROXIDE-HYDROGEN
PEROXIDE SOLUTION BATH FROM OTHER ACID SOLUTION
BATHS, AND INSTALLATION OF A POU WET SCRUBBING
SYSTEM.
A wet bench, containing one ammonium hydroxide-hydrogen peroxide solution bath,
three acid solution baths, and four clean water rinses (one clean water rinse bath
following each chemical bath), was causing particulate deposition in the centralized
acid exhaust system. It was determined to be ammonium compounds formed in the
wet bench exhaust. The common wet bench exhaust plenum area below the deck surface
and the area above the baths were mixing ammonia and acid compounds to form
ammonium compounds (e.g., ammonium chloride and ammonium fluoride). There was
no separate centralized ammonia exhaust system; therefore, a POU device was required
to remove ammonia before exhausting to the centralized acid exhaust system.
The wet bench plenum area was segregated so that the ammonium hydroxide-hydrogen
peroxide solution bath and corresponding clean water rinse bath had its own exhaust
duct, so this exhaust duct could be connected to a POU wet scrubbing system with
low pH scrubbing solution. Sulfuric acid solution was piped to the POU wet scrubbing
system for pH control. The remaining acid solution baths were exhausted directly to
the centralized acid exhaust system. The airflow in the area above the baths was set
so that ammonia was below 1 ppmv in the acid solution bath exhaust ducts and that
acid compounds were below 1 ppmv in the exhaust duct to the POU wet scrubbing
system (note that it is very difficult to get no ammonia and acid compounds mixing in
a wet bench containing acid solutions and ammonium hydroxide-hydrogen peroxide
solutions if there is an open area above the baths and a robot moving wafers disturbing
airflow).
EXAMPLE 3-66:
POU DEVICE INLET PLUGGING ON TUNGSTEN CVD PROCESS.
A tungsten CVD process generally uses tungsten hexafluoride and silane as deposition
gases, with a PFC chamber cleaning gas. If tungsten hexafluoride reacts with air or
water to form tungsten compounds, a POU device inlet can quickly plug. It is important
to ensure that no air or moisture mixes with tungsten hexafluoride before it is successfully removed by a POU device.
EXAMPLE 3-67:
GALLIUM ARSENIDE MOCVD CHEMICAL-DOSED POU WET
SCRUBBING SYSTEM
A gallium arsenide MOCVD process has a POU chemical-dosed wet scrubbing system
that generates arsenic-contaminated wastewater that needs to be shipped offsite for
69
70
Semiconductor Industry: Wafer Fab Exhaust Management
hazardous waste disposal. The company has been having trouble finding acceptable
hazardous waste disposal sites, and the disposal cost per gallon has risen significantly.
In addition, the POU chemical-dosed wet scrubbing system is difficult to maintain
because of liquid wastes generated and use of chemicals. The company is investigating
a POU dry technology system to remove these concerns, or to install an onsite arsenic
wastewater treatment plant to address the hazardous waste disposal concern.
EXAMPLE 3-68:
SPRAY PROCESS USING ACID SOLUTIONS AND AMMONIUM
HYDROXIDE–HYDROGEN PEROXIDE SOLUTION.
A spray process using acid solutions and ammonium hydroxide-hydrogen peroxide
solution had condensation in exhaust ducts, causing liquid pooling and damage. In
addition, particulate matter was depositing in exhaust ducts. The exhaust static pressure
on the spray chamber (bowl) ranged between –1.0 and –1.3 inches of water. High
concentrations of ammonia emitted during short ammonium hydroxide-hydrogen peroxide solution spray process was reaching the centralized acid exhaust system and
reacting with acid compounds, forming ammonium compounds. Ammonium compounds were depositing in the spray process exhaust duct and centralized acid exhaust
system, and were being emitted from the centralized acid scrubber stacks as visible
emissions. Also, the nearby chemical storage tank for ammonium hydroxide solution
emitted high concentrations of ammonia when nitrogen pressure was released (the
ammonium hydroxide solution storage tank was pressurized by nitrogen so as to feed
it to the spray process chamber when needed).
The fab did not have a separate centralized ammonia exhaust system. Therefore, a POU
wet scrubbing system was installed for the spray chamber exhaust and small exhaust
line for ammonium hydroxide solution storage tank venting. This POU wet scrubbing
system has a sulfuric acid solution feed to keep the scrubbing solution pH between 3
and 4. The exhaust static pressure on the spray chamber was changed to –0.5 to –0.75
inches of water to reduce mists from being carried out of the spray chamber, causing
condensation and ammonium compound particulate buildup in the exhaust duct (note
that this change is required as condensation and particulate buildup can still occur
between the spray chamber and the POU wet scrubbing system).
EXAMPLE 3-69:
EPI PROCESS POU WET SCRUBBING SYSTEM INCIDENT.
Maintenance had not occurred for some time on an EPI process POU wet scrubbing
system. An exhaust line connection near the inlet of the POU wet scrubbing system
began to leak air in (due to O-ring failure), mixing air with hydrogen during a deposition
step. This air contacted pyrophoric solids near the POU device inlet and sparked the
hydrogen-air mixture. The ignition disconnected the exhaust line at a connection and
a flare resulted. The plastic wet scrubber melted (no fire occurred). Nearby maintenance
personnel noticed the flare and notified manufacturing personnel, who shut down the
EPI reactor, eliminating the flare.
EXAMPLE 3-70:
USE OF POU THERMAL OXIDIZING SYSTEM ON NITRIDEOXYNITRIDE CVD PROCESSES.
Point-Of-Use Devices and Exhaust-Line Requirements
A POU thermal oxidizing system was installed on two nitride-oxynitride CVD processes (silane and ammonia as deposition gases for nitride CVD, and silane, ammonia,
and nitrous oxide as deposition gases for oxynitride CVD; both processes use PFC
chamber cleaning gas). The POU thermal oxidizing system was used to react silane
before entering the centralized acid exhaust system. Most of the ammonia from these
processes is not oxidized at the temperature of the POU thermal oxidizing system.
Ammonia from one process during the deposition step mixes and reacts with byproducts silicon tetrafluoride and hydrogen fluoride from the other process chamber
cleaning step, forming ammonium compounds; ammonium compounds deposited in
the POU thermal oxidizing system and the exhaust duct. Ammonia that has not reacted
flows to the centralized acid exhaust system, reacting with acid compounds, creating
additional ammonium compounds which deposit in the centralized acid exhaust system
and may be seen as visible emissions from the centralized acid scrubber stack. A POU
thermal oxidation-wet scrubbing system to oxidize silane and scrub ammonia was
installed to replace the POU thermal oxidizing system,. This POU technology also
removed a majority of the chamber cleaning by-products (silicon tetrafluoride and
hydrogen fluoride).
EXAMPLE 3-71:
USE OF POU PASSIVE AIR ADDITION SYSTEMS
FOR TWO PROCESSES.
Two POU passive air addition systems (using air to condition process exhaust gases)
were installed on two processes: LPCVD nitride using dichlorosilane and ammonia,
and doped oxide CVD using silane, nitrous oxide, phosphine, and a PFC chamber
cleaning gas. Testing was conducted on the exhaust duct from both POU passive air
addition systems. It was determined that dichlorosilane, ammonia, nitrous oxide, and
phosphine were diluted, not oxidized to any extent. Unreacted silane (which was below
pyrophoric concentration as it entered the POU passive air addition system from the
process pump) also was emitted from the POU device. Ammonia from the LPCVD
process was found to be reacting with acid compounds in the centralized acid exhaust
system and forming ammonium compounds, which were depositing in the centralized
acid exhaust system. Phosphine required abatement, not dilution. The POU passive air
addition system on LPCVD nitride was replaced by a POU wet scrubbing system to
remove ammonia and dichlorosilane. The POU passive air addition system on doped
oxide CVD was replaced with a POU thermal oxidizing system to oxidize silane and
phosphine; it was determined that the by-product emissions from the chamber cleaning
step did not need POU abatement and could be exhausted to the centralized acid exhaust
system and centralized acid scrubbers.
EXAMPLE 3-72:
USE OF POU THERMAL OXIDIZING SYSTEMS ON TUNGSTEN CVD.
A POU thermal oxidizing system was installed on a tungsten CVD process to oxidize
tungsten hexafluoride, silane, and hydrogen before entering a centralized acid exhaust
system. Large amounts of particulate were depositing in the exhaust duct from the
POU thermal oxidizing system. A POU thermal oxidation-wet scrubbing system was
installed to replace the POU thermal oxidizing system, and abated hydrogen, silane,
and tungsten hexafluoride. This POU technology also removed a majority of the
chamber cleaning by-products.
71
72
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 3-73:
USE OF POU THERMAL OXIDATION–WET SCRUBBING
SYSTEM ON NITRIDE–OXYNITRIDE CVD PROCESSES.
A POU thermal oxidation-wet scrubbing system was installed on the exhaust from two
nitride-oxynitride CVD process chambers (silane and ammonia as deposition gases for
nitride CVD, and silane, ammonia, and nitrous oxide as deposition gases for oxynitride
CVD). Ammonia was measured well above 1 ppmv in the POU device exhaust line.
The POU device supplier was contacted to determine why the ammonia concentration
guaranteed in the POU device exhaust line was not met. The POU device supplier
stated that the thermal oxidation section temperature was not high enough to oxidize
ammonia efficiently; therefore, ammonia needed to be scrubbed in the wet scrubbing
section. The water makeup was increased until ammonia being emitted from this POU
device was below 1 ppmv.
EXAMPLE 3-74:
EXHAUSTING SILANE-BASED CVD PROCESSES
(POLY, OXIDE, AND NITRIDE) TO A CENTRALIZED
PYROPHORIC EXHAUST SYSTEM.
A centralized pyrophoric exhaust system was installed to collect exhaust lines from
several poly, oxide, and nitride CVD processes that did not have POU devices. The
centralized pyrophoric exhaust system was plugging with particulate frequently, and
the centralized wet scrubber on the centralized pyrophoric exhaust system was having
sporadic visible emissions (determined to be ammonium compounds). There were
periodic “pops” in the centralized pyrophoric exhaust system, which was probably
from silane. To reduce maintenance, improve safety, and eliminate visible emissions,
POU thermal oxidation-wet scrubbing systems were installed on all of the processes on
the centralized pyrophoric exhaust system, eliminating the need for a centralized pyrophoric exhaust system.
EXAMPLE 3-75:
NO POU DEVICE ON ALUMINUM METAL ETCH.
An equipment engineer heat traced the exhaust lines from metal etch processes to the
centralized acid exhaust system that was causing significant particulate buildup in the
centralized acid exhaust system (if the exhaust line is heated to keep it clean, the
particulate deposition just moves to another place!). POU wet scrubbing systems were
installed on all metal etch processes. Heat trace on the exhaust line continued to be
used to keep the exhaust lines as clean as possible from process pumps to POU devices.
EXAMPLE 3-76:
POU DRY TECHNOLOGY SYSTEMS ON TUNGSTEN
CVD PROCESSES.
POU dry technology systems using canisters were installed on a few tungsten CVD
processes. The hydrogen was allowed to pass through the POU devices to the centralized acid exhaust system, even though it was above the lower flammable limit after
the process pump. A large number of canisters were used, with associated high costs
and waste disposal required. POU thermal oxidation-wet scrubbing systems were
Point-Of-Use Devices and Exhaust-Line Requirements
73
installed to replace the POU dry technology systems. These POU devices also abated
hydrogen, reduced operating costs, and eliminated canister waste disposal.
EXAMPLE 3-77:
USE OF ACID WASTEWATER NEUTRALIZATION TREATMENT
PLANT DISCHARGE AS MAKEUP WATER FOR POU THERMAL
OXIDATION-WET SCRUBBING SYSTEM.
Fab personnel decided to recycle the discharge water from the acid wastewater neutralization treatment plant to several POU thermal oxidation-wet scrubbing systems as
well as other equipment. The metal parts in the POU thermal oxidation-wet scrubbing
systems began to fail quickly due to corrosion. It was determined that the fluorine,
hydrogen fluoride, and silicon tetrafluoride from chamber cleaning were dropping
scrubbing solution pH and forming a strong acid solution with other acid compounds
contained in discharge water from the acid wastewater neutralization treatment plant.
Fab personnel went to a cleaner source of water for makeup water to POU devices.
3.5 DEVELOPING A POINT-OF-USE DEVICE AND
SURROUNDING EXHAUST-LINE STRATEGY
It is essential to develop a fab-specific or company-specific POU device and surrounding exhaust line strategy. This strategy will allow for consistent decisions,
which should translate to reduced costs, more process uptime, less maintenance, etc.
As mentioned earlier, the initial discussions should determine the reasons why POU
devices are installed and the criteria for each chemical (e.g., remove ammonia to
less than 1 ppmv before it enters the centralized acid exhaust system; reduce pyrophoric and flammable gases below 25% of the LFL).
3.5.1 DEVELOP OVERALL STRATEGY
An overall strategy is needed so as to select the best process exhaust management
and POU devices for a fab. An example below is provided so as to begin developing
an overall process exhaust management and POU device strategy.
1. Silane should be below 25% of the LFL before it enters the centralized
acid exhaust system.
2. Hydrogen should be below 25% of the LFL before it enters the centralized
acid exhaust system.
3. Ammonia should be less than 1 ppmv into a centralized acid exhaust
system from any process (including wet benches and spray processes).
4. Install a POU device on any process that will significantly impact the
centralized exhaust systems and centralized scrubbers with particulate
deposition and damage, or create odors, potential lead to personnel exposure, or produce visible emissions from the centralized scrubber stacks.
An example is fluorine: the POU device requirement can be stated using
DRE and/or POU device outlet concentration.
74
Semiconductor Industry: Wafer Fab Exhaust Management
5. Specific gases below one-half the IDLH concentration before they enter
the centralized acid exhaust system. An example of specific gases are
gases that have poor warning properties at one-half the IDLH concentration.
6. Total PFC emissions (based on global warming calculation method) are
5% or less of the total PFC usage for all new fabs. This requirement would
drive PFC reductions being reviewed for each process in a new fab, so
that the total PFC emission goal could be met.
7. Prevent any environment, health, and safety or production concerns not
mentioned above. An example is reducing process emissions for air emission regulatory requirements.
8. All POU devices that discharge wastewater need to be reviewed (before
installation) for impact on fluoride concentrations in site wastewater discharge and its impact on fluoride wastewater treatment system (if applicable).
9. Hazardous waste determination is necessary for dry canisters and any
other wastes generated, including best waste handling and disposal practices.
10. Proper process pump exhaust line connections need to be installed for
compatibility with contaminants and temperature inside the exhaust line
(example: by-product fluorine from nitrogen fluoride predevice CVD
chamber cleaning). This is due to possible positive exhaust static pressure
for a short distance after the process pump so as to prevent leaks into the
worker area, and to eliminate any leaks of air in at connections with
negative exhaust static pressure that could cause unwanted reactions.
3.5.2 EXAMPLE OF PROCESS EXHAUST MANAGEMENT AND POINT-OF-USE DEVICE
STRATEGY (THIS FAB DOES HAVE BOTH CENTRALIZED ACID EXHAUST
SYSTEM AND CENTRALIZED AMMONIA EXHAUST SYSTEM)
1. Wet benches (using ammonium hydroxide solution): segregation of
ammonia and acid compounds in the wet bench. Exhaust acid compounds
to the centralized acid exhaust system and ammonia to the centralized
ammonia exhaust system. Measure ammonia concentrations in the wet
bench exhaust duct(s) connected to the centralized acid exhaust system
to ensure ammonia is below 1 ppmv. If particulates are depositing in the
wet bench exhaust duct connected to a centralized ammonia exhaust
system, then acid compounds are getting into this exhaust duct; find the
source and stop acid compounds from entering the wet bench duct connected to the centralized ammonia exhaust system.
2. Spray processes (using ammonium hydroxide solution): connect the spray
chamber exhaust duct to an exhaust directional valve with two exhaust
ducts. When ammonium hydroxide-hydrogen peroxide solution is used in
the spray chamber, exhaust to the centralized ammonia exhaust system.
When acid solutions are used in the spray chamber, exhaust to the centralized acid exhaust system. The switching to different centralized
Point-Of-Use Devices and Exhaust-Line Requirements
exhaust systems (using the exhaust directional valve) should be done when
clean water rinses are used in the spray chamber (i.e., after chemicals are
removed from the spray chamber during clean water rinse and before the
next chemical spray). If the exhaust directional valve is not wanted by
the process engineer, install a POU wet scrubbing system with a low pH
scrubbing solution on the spray chamber exhaust duct to remove ammonia
below 1 ppmv, before exhausting to the centralized acid exhaust system.
The vent of the ammonium hydroxide solution storage tank should be
connected to the centralized ammonia exhaust system or inlet exhaust
duct to the POU wet scrubbing system. The exhaust static pressure on the
spray chamber should be set between –0.5 and –0.75 inches of water to
allow good operation of the spray chamber (to be verified by the process
engineer and checked by safety personnel) and to minimize chemical mists
from being carried into the exhaust duct. Install a POU device if needed
for acid mists.
3. Spray processes (with no ammonium hydroxide solution), including vertical tube cleaners: the exhaust static pressure on the spray chamber should
be set between –0.5 and –0.75 inches of water to allow good operation
of the spray chamber (to be verified by the process engineer and checked
by safety personnel) and to minimize chemical mists from being carried
into the exhaust duct. Install a POU device if needed for acid mists.
4. Bulk chemical dispensing (BCD) for ammonium hydroxide solution (if
ammonia concentration in the exhaust duct is above 1 ppmv): connect to
the centralized ammonia exhaust system.
5. Silane-based poly and doped poly CVD processes and silane-based oxide
and doped oxide CVD processes: use a POU thermal oxidizing system.
6. Nitride-oxynitride CVD processes: use a POU passive air addition-wet
scrubbing system with heating of the exhaust line from the process pump
to the POU device.
7. TEOS-based oxide and doped oxide CVD processes: a POU device is
only needed if particulate deposition in the centralized acid exhaust system
is a concern. Heating of the exhaust line from the process chamber to the
POU device (if used) or to the centralized acid exhaust system (if a POU
device is not used) can be used if plugging of the exhaust line is a concern.
8. Tungsten CVD processes: use a POU thermal oxidation-wet scrubbing system with heating of exhaust line from the process pump to the POU device.
9. Tungsten silicide CVD processes (using dichlorosilane): use a POU wet
scrubbing system with heating of the exhaust line from the process pump
to the POU device.
10. Nitrogen trifluoride predevice CVD chamber cleaning (due to fluorine
emissions). This may occur with CVD processes already mentioned previously; the following recommendation takes precedence over other recommendations mentioned previously: a POU thermal oxidation-wet
scrubbing system with use of natural gas, hydrogen, or water addition in
thermal oxidation section and with fluorine-, hydrogen fluoride-, and
hydrofluoric acid-resistant materials of construction in the POU device.
75
76
Semiconductor Industry: Wafer Fab Exhaust Management
11. LPCVD nitride processes: use a POU cold trap after the process pump,
followed by a POU wet scrubbing system. A cold trap may also be
installed in the exhaust line at the process exit.
12. EPI processes: use a POU wet scrubbing system. The first choice is to
exhaust the POU wet scrubbing system directly outside of the fab (for
safe venting of hydrogen). The second choice is to exhaust the POU wet
scrubbing system to a POU thermal oxidizer system to oxidize hydrogen
before it enters the centralized acid exhaust system.
13. Dry aluminum etch processes: use a POU wet scrubbing system with
heating of the exhaust line from the process pump to the POU device.
14. Dry tungsten etch processes: use a POU wet scrubbing system. Heating
of the exhaust line is only needed if the exhaust line between the process
pump and the POU device plugs in less than 6 months.
15. Ion implanter process exhausts containing hydride gases: use a POU dry
technology system (using canisters). Each canister will be considered
hazardous waste.
16. Aqua regia bath (hydrochloric acid solution-nitric acid solution): use a POU
wet scrubbing system with a high pH scrubbing solution followed by a
submicron acid mist filter. Sodium hydroxide solution will be piped to the
POU wet scrubbing system for pH adjustment of the scrubbing solution.
Oxide etch processes, nitride etch processes, poly etch processes, sputterers, and
evaporators do not need POU devices. Processes using organic compounds that are
exhausted to a centralized VOC exhaust system do not require POU devices. Wet
benches using acid solutions and clean water only will be exhausted to a centralized
acid exhaust system.
3.6 “DEVELOPMENT OPPORTUNITIES”
FOR POINT-OF-USE DEVICES
Some thoughts below are provided for POU device suppliers, process equipment
suppliers, and semiconductor fab personnel to consider.
3.6.1 MORE PROCESS TO POINT-OF-USE DEVICE INTERFACE
It would be beneficial if the process equipment could “talk” with POU devices. A
current example of this is sending a signal to the POU device when CVD chamber
cleaning is occurring, so higher temperatures can be used in the thermal oxidation
section to more efficiently destruct the PFC of interest. Some other beneficial
interfaces could be used as follows.
3.6.1.1 Water Usage
Increase water makeup to the POU device when higher concentrations of fluorine,
acid compounds, chlorine, and other contaminants are being emitted from the process
chamber. A possible scenario would be setting makeup water at 0.2 gpm during the
Point-Of-Use Devices and Exhaust-Line Requirements
77
deposition step using silane and phosphine and then increasing to 0.5 gpm water
makeup when the chamber cleaning is about to start.
3.6.1.2 Fluoride Wastewater Segregation
Some wafer fabs have low fluoride concentration wastewater discharge requirements;
therefore, segregate and treat high-concentration fluoride wastewater. The flow
capacity of the existing fluoride wastewater treatment plant can be a limiting factor
for wastewater from the POU devices with high fluoride concentrations. It would
be beneficial to be able to send only the high-concentration fluoride wastewater (e.g.,
during CVD chamber cleaning) to the fluoride wastewater treatment plant, and send
low-concentration fluoride wastewater (e.g., during idle time and deposition step)
to the acid wastewater neutralization plant. A mechanical device could be used to
switch back and forth from the acid wastewater neutralization plant to the fluoride
wastewater treatment plant, depending on the process operation or actual fluoride
wastewater concentrations (i.e., the switch could be based on the measured fluoride
wastewater concentration being discharged from the POU device).
3.6.2 UNDERSTAND COST OF OWNERSHIP
The cost of ownership of POU devices needs to be better understood. Operating
costs can be provided by the POU device supplier; however the estimated costs for
utilities, exhaust, floor space, etc. provided by POU suppliers differ so much that it
is difficult to compare them. Each fab can determine their own costs (e.g., electricity,
water, natural gas) and supply these costs to each of their POU suppliers, so the
cost of ownership can be compared.
Generally, the largest POU device issue (cost to the fab) is lost wafer throughput
that is caused directly from a POU device not operating. This is a big concern for
fabs that cannot or do not bypass exhaust around a POU device when the POU
device is not operating. For fabs that use exhaust bypass, this may only be an issue
if the POU device goes down unexpectedly and has to be manually bypassed. The
cost of lost wafer throughput should be factored somehow into the cost of ownership
of the POU device.
Truly understanding the POU device uptime and maintenance requirements is
sometimes difficult for those fabs that can bypass exhaust. Many companies have
developed data-gathering methodologies by process type, POU technology, and POU
supplier to document POU device uptime. These data drive the decision-making
process for future POU devices. Getting these data may be difficult if the POU
device supplier also does the maintenance and can bypass exhaust when work is
performed, thus not affecting process equipment (i.e., fab personnel do not know
POU device uptime information). The loss of production is not a factor because
exhaust bypass usually does not affect process equipment; however, the centralized
acid exhaust system and possibly stack emissions will be impacted.
3.6.3 BETTER-PERFORMING INLETS FOR POINT-OF-USE DEVICES
If the process exhaust contaminants do not make it into the POU device, then the
usefulness of the POU device is not fully realized. One of the main concerns is
78
Semiconductor Industry: Wafer Fab Exhaust Management
plugging POU device inlets, possibly requiring frequent maintenance and leading
to process downtime (depends on exhaust configuration). Continued development
of better-performing POU device inlets is suggested.
4
Centralized Scrubbers
4.1 INTRODUCTION
Centralized scrubbers (also called centralized wet scrubbers) are generally used at
the end of a centralized acid exhaust system and a centralized ammonia exhaust
system. There are other locations where centralized scrubbers are used, which will
be discussed in this chapter. Three types of centralized scrubbers are generally found
in the semiconductor industry:
1. Horizontal cross-flow packed-bed scrubbers: the exhaust air flows horizontally through packing while the recirculation scrubbing solution flows
downward through the packing. This scrubber type is most prevalent for
centralized scrubbers in the semiconductor industry. An advantage of this
design is lower height. Typical design is rectangular shape.
2. Vertical countercurrent packed-bed scrubbers: the exhaust air flows
upward through packing as recirculation scrubbing solution flows downward. This scrubber type is the second-most used for centralized scrubbers
in the semiconductor industry. An advantage of this design is theoretically
the best gas-to-liquid mass transfer. The best design and operation occurs
with a cylindrical shape (note: a rectangular design is not an efficient
design and creates operation concerns).
3. Vertical cocurrent packed-bed scrubbers: the exhaust air flows downward
along with the recirculation scrubbing solution. This type is a very rare
design in the semiconductor industry for centralized scrubbers. The best
design and operation occurs with a cylindrical shape (note: a rectangular
design is not an efficient design and creates operation concerns).
Since vertical cocurrent packed-bed scrubbers are not used very much in the
semiconductor industry as centralized scrubbers, the rest of this chapter will be
dedicated to horizontal cross-flow packed-bed scrubbers and vertical countercurrent
packed-bed scrubbers (this chapter only discusses packed-bed design, so will refer
to these centralized scrubbers also as horizontal cross-flow scrubbers and vertical
countercurrent scrubbers).
Centralized acid exhaust systems commonly have the following contaminants
in the airstream going into the centralized acid scrubber: hydrogen fluoride, hydrogen
chloride, chlorine, fluorine, silicon tetrafluoride, perfluorocompounds (PFCs),
hydride gases (arsine, phosphine, etc.), nitric acid, sulfuric acid, volatile organic
compounds (VOCs), ammonia, etc. (note that ammonia and VOCs should be minimized or eliminated in the centralized acid exhaust system). Inlet concentrations for
these contaminants are generally very low for centralized acid scrubbers, and inlet
concentrations vary depending on what processes are emitting to the centralized acid
79
80
Semiconductor Industry: Wafer Fab Exhaust Management
exhaust system. Hydrogen fluoride and hydrogen chloride (which are usually in the
highest inlet concentration) are generally below 5 parts per million by volume
(ppmv); inlet concentrations can be commonly found at 1 ppmv or less for hydrogen
fluoride and hydrogen chloride. Centralized acid scrubbers are generally designed
for removal of hydrogen fluoride and hydrogen chloride. This can generally be
accomplished at a scrubbing solution pH range of 7 to 8 (effects at lower and higher
pH are discussed later). It is important to note that removal efficiencies in centralized
acid scrubbers are near zero for PFC gases, silane, arsine, and phosphine. Low
removal efficiencies can be expected for nitric acid and sulfuric acid in standard
centralized acid scrubbers in the semiconductor industry. Inlet concentrations of
VOCs and ammonia into centralized acid scrubbers depend on proper segregation
of processes emitting VOCs into the centralized VOC exhaust system and processes
emitting ammonia into the centralized ammonia exhaust system. They also depend
on the use of point-of-use (POU) devices for processes emitting ammonia or processes emitting VOCs that exhaust to the centralized acid exhaust system. A small
amount of VOCs is common in the centralized acid exhaust system due to general
cleaning in the fab (e.g., isopropanol-water mixture used for cleaning surfaces that
evaporates into fab air and is pulled into a wet bench exhaust). There is usually
some removal efficiency for ammonia (“free” ammonia, not ammonium compounds)
and organic compounds in the centralized acid scrubbers. Further discussion of
ammonia and VOCs in centralized acid scrubbers is provided later in this chapter.
Centralized ammonia scrubbers commonly have inlet concentrations of ammonia
of 50 to 200 ppmv; inlet concentrations vary depending on what processes are
emitting to the centralized ammonia exhaust system. Ammonia should be the primary
compound, with near zero amounts of any other contaminants (such as acid compounds). High removal efficiencies of ammonia can be obtained if the pH of the
scrubbing solution is maintained at 5 and below. Addition of sulfuric acid solution
to control the pH of the scrubbing solution is common for centralized ammonia scrubbers. Some fabs use reclaimed sulfuric acid solution from fab processes.
Acid scrubbers for large storage tanks of concentrated acid solution (e.g., concentrated hydrochloric acid solution used for clean water production) have similar
design considerations. These acid scrubbers are commonly regulated by air regulatory agencies similarly to centralized acid scrubbers. A description of these acid
scrubbers is included in this chapter. Wet scrubbing systems for higher inlet concentrations of acid compounds, chlorine, and acid mists will also be discussed in
this chapter, as the acid scrubber is similarly designed, with the addition of a
submicron acid mist filter after the acid scrubber. Also discussed in this chapter are
centralized oxides of nitrogen scrubbing systems, which are primarily used in wafer
manufacturing facilities.
4.2 GENERAL DESIGN AND OPERATION
Many of the same design and operation information are similar for horizontal crossflow scrubbers and vertical countercurrent scrubbers. The first point to make is that
scrubbers are mass-transfer units, not cooling towers! Many scrubbers are operated
like a cooling tower: wastewater discharge (blowdown) of scrubbing solution occurs
Centralized Scrubbers
81
when conductivity increases to a set level. Scrubbers are installed to transfer gases
(in air) to the liquid phase (scrubbing solution). Scrubber solution chemistry
(i.e., fluoride concentrations, chloride concentrations, calcium concentrations, etc.)
is essential to high removal efficiency, especially when inlet airstream concentrations
are low (a few ppmv and less for acid compounds), which is the case in the
semiconductor industry.
4.2.1 GENERAL DESIGN INFORMATION
The following design parameters are suggested for designing centralized scrubbers
(including fans and stacks). It is important to note that the suppliers of centralized
scrubber may not use these criteria, so it is prudent for the future owner of the
centralized scrubber to request that the supplier use specific parameters of scrubber
design. Inlet concentrations of the centralized scrubber (from the specific fab in the
centralized exhaust system) and required removal efficiencies for each contaminant
should also be specified.
1. Recirculation rate of scrubbing solution: 6 to 10 gallons per minute
(gpm)/ft2 of wetted packing surface and 10 to 30 gpm/1000 ft3/min air
flowrate. Install proper sized pumps to get the recirculation rate required.
Install strainers on the recirculation system of the scrubbing solution to
prevent plugging of spray headers or settling in the weir design. For horizontal cross-flow scrubbers, install a spray header of scrubbing solution for
the inlet air side of the packing section to ensure it is wetted properly.
2. Makeup water rate should be continuous. If no chemical addition is used,
the recirculation rate of scrubbing solution should be a minimum of 3%.
If chemical addition is used, a minimum recirculation rate of 1% of
scrubbing solution should be used. Water chemistry of makeup water is
important and will be discussed in a later section.
3. The pH of the scrubber solution can be maintained within a range of 7
to 8 for centralized acid scrubbers and a range of 3 to 4 for centralized
ammonia scrubbers. Regulatory air permit limits need to be reviewed to
ensure these pH ranges can be used, especially for centralized acid scrubbers. If not, consider the possibility of changing the pH range to 7 to 8
in the air permit limits.
4. Air velocity through packing: 300 to 500 ft/min (note that 500 ft/min and
short packing depth [e.g., 4 feet] cause very short residence time for mass
transfer).
5. Stack exit air velocity of 3000 to 3800 ft/min. Stack heights should be as
high as possible to allow air to leave the building wake and not impact
roof and air intakes.
6. Minimize organic compounds in the centralized acid exhaust system to
reduce biogrowth.
7. Ammonia in the centralized acid exhaust system and acid compounds in
the centralized ammonia exhaust system should be undetectable.
8. Install an efficient demister section between the packing section and fans.
82
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 4-1:
DESIGN OF VERTICAL COUNTERCURRENT CENTRALIZED
ACID SCRUBBER.
With the suggested design parameters listed previously, the following vertical countercurrent packed-bed scrubber was designed for 30,000 ft3/min.
Packed-bed height: 8 feet.
Cross-section diameter: 10 feet (equates to wetted packing area of 78.5 ft2 and 382
ft/min air velocity).
Scrubbing solution recirculation rate: 470 gpm (equates to 6 gpm/ft2 and about 15.7
gpm per 1000 ft3/min).
The makeup water rate is continuous at 5 gpm as sodium hydroxide solution is available
for pH adjustment of the scrubbing solution. The pH range of the scrubbing solution
is maintained between 7 and 8 by using sodium hydroxide solution (rarely added, since
makeup water is city water at a pH of around 8).
No measurable ammonia and organic compounds should be in the centralized acid
exhaust system.
EXAMPLE 4-2:
DESIGN OF HORIZONTAL CROSSFLOW CENTRALIZED
ACID SCRUBBER.
With the suggested design parameters listed previously, the following horizontal crossflow packed-bed scrubber was designed for 30,000 ft3/min.
Packed-bed length: 6 feet.
Height is 10 feet, and width is 8 feet.
Height by width area: 80 ft2 (equates to an air velocity of 375 ft/min). Packing wetted
area: 48 ft2 (6 feet times 8 feet).
Recirculation rate for scrubbing solution: 300 gpm (equates to 6.2 gpm/ft2 and 10 gpm
per 1000 ft3/min).
The makeup water rate is continuous at 9 gpm using water only (no chemical addition).
The pH range of the scrubbing solution is maintained between 7 and 8 by using city
water only (pH of about 8) as makeup water.
No measurable ammonia and organic compounds should be in the centralized acid
exhaust system.
When specifying a centralized wet scrubber, it is essential to provide the supplier
with the inlet concentrations (maximum and average) and required removal efficiencies for the contaminants needing abatement. The maximum airflow rate and the
operational range are also important.
Many suppliers of scrubbers design and test their scrubbers at inlet contaminant
concentration of 100 to 500 ppmv. This generally works for centralized ammonia
scrubbers. However, the very low inlet concentrations of acid compounds (typically
Centralized Scrubbers
83
<1 to 5 ppmv) in the centralized acid scrubbers make it very difficult to get high removal
efficiencies (due to mass transfer difficulties and effects of scrubbing solution chemistry). Efficiency testing of removal should be conducted at the supplier’s facility using
actual contaminant concentrations at the inlet of the centralized acid scrubber and
conditions of the scrubbing solution chemistry to properly estimate the efficiencies of
contaminant removal for centralized acid scrubbers in semiconductor fabs.
EXAMPLE 4-3:
TESTING OF CENTRALIZED ACID SCRUBBER
IN A SEMICONDUCTOR FAB.
A semiconductor silicon wafer fab used design parameters for a centralized scrubber
that were suggested for new centralized acid scrubbers. It is important to note that
there is no measurable ammonia in a centralized acid exhaust system. The first scrubber
was installed and tested for removal efficiencies for hydrogen fluoride and hydrogen
chloride. The average inlet concentration for hydrogen fluoride (measured as total
soluble fluorides) was 2 ppmv with an average outlet concentration of 0.04 ppmv
(removal efficiency of 98%); and average inlet concentration of hydrogen chloride
(measured as total soluble chlorides) was 0.5 ppmv with an average outlet concentration
of 0.1 ppmv (removal efficiency of 80%). As additional similar centralized acid scrubbers were installed, removal efficiency testing was performed. It was determined from
this testing that common outlet concentrations of around 0.1 ppmv hydrogen chloride
(measured as total soluble chlorides) and around 0.04 ppmv hydrogen fluoride (measured as total soluble fluorides) were found from inlet concentrations ranging from 0.1
to 10 ppmv for each acid compound. This was determined to be the mass transfer limits
for these acid compounds for these similarly designed acid scrubbers and the makeup
water used at this fab.
4.2.1.1 Distribution of Airflow
Horizontal cross-flow scrubbers and vertical countercurrent scrubbers do have difficulty in providing uniform air distribution throughout the packing. A horizontal
cross-flow design has a very short distance from exhaust duct to packing inlet. Baffles
can be installed, but there may still be nonuniform air distribution. A vertical
countercurrent design generally has the exhaust duct entering horizontally with a
sharp turn upward into the packing. Again, baffles can be used, but uniform air
distribution is even more difficult in this situation.
Bypassing of air through or around the packing with little or no contact with
the scrubbing solution can significantly impact efficiency of removal. Some examples
of this are the following:
1. Bypassing air above the packing section of a horizontal cross-flow scrubber can occur if there is an opening at the inlet of the top side of the
packing section or if the packing level drops due to settling. An installation
of a full-length horizontal piece can be done to block any air from going
above the packing section. It is recommended to check for settling of the
packing soon after filling the packing section and periodically afterward.
Sometimes the packing is filled a little higher than needed to anticipate
84
Semiconductor Industry: Wafer Fab Exhaust Management
settling. This can be an issue if the packing level blocks the spray patterns
of the spray nozzles, causing incomplete wetting of the packing and
possibly causing the air not to contact the scrubbing solution in the
packing section itself.
2. Air not contacting the scrubbing solution in the packing section can
happen if the distribution system of the scrubbing solution for either
horizontal cross-flow or vertical countercurrent design does not fully wet
the packing section. Examples of this are nonuniform spray patterns from
spray nozzles of scrubbing solution or nonuniform wetting of packing
using a weir distribution system of scrubbing solution.
3. Air can bypass in corners of rectangular vertical countercurrent scrubbers.
It is recommended never to design a vertical countercurrent scrubber in
a rectangular shape (i.e., a cylindrical shape should be used).
4. In horizontal cross-flow scrubbers, air bypassing under the packing section
is possible if the sump level of scrubbing solution is not high enough
(i.e., does not extend above the bottom of the packing section). This can
occur by poor design of the scrubber or when a sump system of the
scrubbing solution is retrofitted without ensuring the level is high enough.
Plugging of packing can cause poor distribution of air and scrubbing solution.
Many times this plugging cannot be seen from the sides or top of the packing (i.e.,
the plugging is near the center of the packing section). Another concern with
plugging of the packing is the increase of air velocity through the packing (i.e.,
reduces the residence time for scrubbing). This can affect removal efficiency.
4.2.1.2 Distribution of Scrubbing Solution
It is essential that all packing be wetted. This assists in the best mass transfer possible
(i.e., prevents air passing through nonwetted sections of packing) for the type of
packing selected. In addition, chemical treatment for prevention and removal of
biogrowth can be most efficiently done by entire wetting of the packing (i.e., getting
chemical to the biogrowth).
The two most-used distribution systems of scrubbing solution for centralized
scrubbers in the semiconductor industry are spray nozzles and the weir distribution
system. Spray nozzles can be used for horizontal cross-flow and vertical countercurrent scrubbers. Spray nozzles allow for uniform distribution of scrubbing solution
across the packing. Spray nozzles can plug and require more maintenance than a
weir distribution system.
A weir distribution system can be used for vertical countercurrent scrubbers but
is not recommended for horizontal cross-flow scrubbers (due to the distance required
for distribution of the scrubbing solution). A weir distribution system for scrubbing
solution has low maintenance requirements and is more dependable. The concern
about a weir distribution system is that it takes some distance for the scrubbing
solution to spread out and wet all the packing (i.e., it could lose a few feet of packing
at the top for complete scrubbing use). If the weir distribution system does not wet
Centralized Scrubbers
85
all the packing area horizontally (as the scrubbing solution travels downward), some
of the air may pass through the scrubber without contacting the scrubbing solution
(i.e., partial scrubbing of the entire airstream occurs).
Horizontal cross-flow scrubbers can have a packing level on top that can drop,
which allows air to bypass through the top of the packing section. Also, the sump
level of scrubbing solution should be above the bottom of the packing section while
the horizontal cross-flow scrubber is operational (note: idle sump solution level of
the scrubber could be higher). A sheet can be installed to block air if air is bypassing
on the top or bottom. Install sheet on the inlet and outlet air sides of the packing
section, vertically past the packing about 3 to 4 inches.
A spray header should be installed on the inlet air side of the packing section
for horizontal cross-flow scrubbers. This spray header wets the front of the packing
that is usually not well wetted due to incoming air forcing scrubbing solution sprayed
from the top away from the front of the packing section.
4.2.1.3 Designing Centralized Scrubbers and Fans for Installation
Two common installation types for centralized acid scrubbers and centralized ammonia scrubbers are seen in the semiconductor industry:
1. Several centralized scrubbers are attached to one main header, which
collects the exhausts from the main ducts from the fab. It is common to
see a set of fans that pull air through a bank of centralized scrubbers. For
backup centralized scrubber capacity, one extra centralized scrubber is
installed, which is idle until one of the other centralized scrubbers needs
to be idled for maintenance.
2. One centralized scrubber is attached to a main duct from the fab. There
can be several centralized scrubbers, one for each main duct from the fab.
Generally, each centralized scrubber will have two fans and two recirculation pumps for scrubbing solution, one operating and the other as a
backup when maintenance is required.
A few regulatory agencies allow for bypassing centralized scrubbers for maintenance (i.e., uncontrolled emission to the atmosphere for a short time for maintenance). In these fabs backup centralized scrubbers or mechanical equipment may
not be installed.
4.3 GENERAL OPERATION INFORMATION
Many factors should be reviewed because the centralized scrubber is being designed
and installed to ensure high gas-to-liquid mass transfer, maximum uptime, prevention
of visible emissions, and no odor reports. Most of this section concerns centralized
acid scrubbers, as this is where most of the “development opportunities” are (note:
centralized ammonia scrubbers are generally installed and operated for an exhaust
airstream which has ammonia as its major contaminant).
86
Semiconductor Industry: Wafer Fab Exhaust Management
4.3.1 AMMONIA – CENTRALIZED ACID SCRUBBER ENEMY NO. 1
Ammonia can cause issues for centralized acid scrubbers, as well as the centralized
acid exhaust system. Most new fabs segregate ammonia away from the centralized
acid exhaust system and send ammonia-containing air to a separate centralized
ammonia exhaust system (and usually centralized ammonia scrubbers).
Why? Ammonia will react immediately with acid compounds (e.g., hydrogen
chloride, hydrogen fluoride, nitric acid, and sulfuric acid) to form ammonium compounds (ammonium chloride, ammonium fluoride, etc.). These ammonium compounds settle as particulates in the ducts, causing plugging. Ammonium compounds
will not mass transfer to any extent in standard centralized acid scrubbers. The
ammonium compounds exiting the centralized acid scrubber will be in the form of
submicron particles (formed with water vapor in the scrubber air). These particles
are generally visible coming from a centralized scrubber stack at around 1 ppmv
and higher. Many regulatory agencies have opacity requirements for stack exits (also
called visible emissions requirements). Note that the visible emissions can be sporadic, as they need both acid compounds and ammonia to form; concentrations of
ammonium compound vary in the centralized acid exhaust system depending on
what the emissions of ammonia and acid compounds result from fab processes (fab
process emissions are very variable).
Another concern is the very low efficiency of removal of ammonium compounds
in centralized acid scrubbers as it relates to meeting air regulatory limits for efficiency
of scrubber removal of acid compounds and acid compound emissions (e.g., ammonium chloride can be measured as hydrogen chloride by some sampling methods;
since very little ammonium chloride is removed in centralized acid scrubbers, measured hydrogen chloride removal efficiency would be very low). The measurement
technique (sampling method) used is also important. It is crucial to really understand
what is being sampled and how best to measure it. Do not assume that sampling
contractors understand the different aspects of sampling centralized acid scrubbers
(inlet and outlet). Spend time educating yourself, or ask someone who is educated
to properly review all sampling protocols and data to be generated before performing
testing. Many times, “bad” data have been generated that were not useful to the fab
personnel.
Three types of ammonia and acid compound scenarios can be found (with each
of its characteristics listed).
1. Ammonia rich: the inlet airstream of the centralized acid scrubber has a
higher concentration of ammonia than total acid compounds. Uncombined
ammonia can be scrubbed to some extent in the centralized acid scrubber,
which causes the pH of the scrubbing solution to rise (which could lead
to scaling of packing). Negative removal efficiencies of acid compounds
can be measured, as ammonia can react primarily with chloride and
fluoride ions (for example) in the scrubbing solution to form ammonium
compounds; this especially occurs if ammonia concentration is much
higher than the concentrations of total acid compound in the centralized
acid scrubber inlet airstream.
Centralized Scrubbers
2. Acid-ammonia neutral: concentrations of ammonia and total acid compounds are the same in the centralized acid scrubber inlet airstream.
Removal efficiencies for both ammonia and acid compounds are near zero.
3. Acid rich: concentrations of total acid compounds are higher than the
ammonia concentration in the centralized acid scrubber inlet airstream.
Acid compounds that do not form ammonium compounds should be
removed by the centralized acid scrubber to some extent.
EXAMPLE 4-4:
AMMONIA RICH.
An existing silicon wafer fab had no ammonia exhaust segregation. Wet method sampling trains (using glass sampling vessels containing liquid for scrubbing contaminants
that are soluble in solutions used) were used on the inlet and outlet of the centralized
acid scrubber. Average test results were reported to fab personnel. The inlet ammonia
concentration was 8 ppmv, and the outlet ammonia concentration was 4 ppmv. The
inlet hydrogen fluoride concentration was 1.0 ppmv, and the outlet hydrogen fluoride
concentration was 1.1 ppmv. The inlet concentration of hydrogen chloride was 0.40
ppmv, and the outlet concentration of hydrogen chloride was 0.50 ppmv. Removal
efficiencies of hydrogen fluoride and hydrogen chloride were reported as –10% and
–25%, respectively. The visible emissions seen at the stack outlet were determined to
be ammonium compounds (total of 1.6 ppmv) instead of water vapor from the centralized acid scrubber.
EXAMPLE 4-5:
ACID-AMMONIA NEUTRAL.
An existing silicon wafer fab had no ammonia exhaust segregation. Wet method sampling trains (using glass sampling vessels containing liquid for scrubbing contaminants
that are soluble in solutions used) were used on the inlet and outlet of the centralized
acid scrubber. This testing methodology sampled for total soluble fluorides, total soluble
chlorides, etc., reporting the sampling results as hydrogen fluoride, hydrogen chloride,
etc. Average test results were reported to fab personnel. The inlet ammonia concentration was 0.5 ppmv, and the outlet ammonia concentration was 0.5 ppmv. The inlet
hydrogen fluoride concentration was 0.4 ppmv, and the outlet hydrogen fluoride concentration was 0.4 ppmv. The inlet concentration of hydrogen chloride was 0.10 ppmv,
and the outlet concentration of hydrogen chloride was 0.10 ppmv. Removal efficiencies
for both hydrogen chloride and hydrogen fluoride were reported as zero. There were
no visible emissions seen at the scrubber stack. This was attributed to ammonium
compounds being 0.5 ppmv, below 1 ppmv which is typically the stack outlet concentration when visible emissions first occur.
EXAMPLE 4-6:
ACID RICH.
An existing silicon wafer fab had some ammonia exhaust segregation. Wet method
sampling trains (using glass sampling vessels containing liquid for scrubbing contaminants that are soluble in solutions used) were used on the inlet and outlet of the
centralized acid scrubber. This testing methodology sampled for total soluble fluorides,
total soluble chlorides, etc., reporting the sampling results as hydrogen fluoride, hydrogen
87
88
Semiconductor Industry: Wafer Fab Exhaust Management
chloride, etc. Average test results were reported to fab personnel. The inlet ammonia
concentration was 0.5 ppmv, and the outlet ammonia concentration was 0.5 ppmv (a
reported removal efficiency of zero). The inlet hydrogen fluoride concentration was
1.0 ppmv, and the outlet hydrogen fluoride concentration was 0.4 ppmv. The inlet
concentration of hydrogen chloride was 0.4 ppmv, and the outlet concentration of hydrogen
chloride was 0.3 ppmv. Removal efficiencies of hydrogen fluoride and hydrogen chloride
were reported as 60% and 25%, respectively. The centralized acid scrubber did not remove
a total of 0.7 ppmv of hydrogen fluoride and hydrogen chloride; 0.5 ppmv was most likely
ammonium compounds. There were no visible emissions seen at the scrubber stack. This
was attributed to ammonium compounds being 0.5 ppmv, below 1 ppmv which is typically
the stack outlet concentration when visible emissions first occur.
It has been found in the semiconductor industry that ammonia can also assist
in biogrowth. Significant biogrowth has occurred in a centralized acid scrubber with
no detection of VOCs in the centralized acid exhaust system, and with ammonia
detected in the centralized acid exhaust system and being partially scrubbed by the
centralized acid scrubber. When ammonia was significantly reduced in the centralized acid exhaust system (i.e., not going into the centralized acid scrubber), the
biogrowth was reduced.
It is important to mention that acid compounds should not be exhausted to centralized ammonia scrubbers, as ammonium compounds will form. Ammonium compounds
will have very low removal efficiency in centralized ammonia scrubbers, and stack
visible emissions will occur at around 1 ppmv ammonium compounds and above.
4.3.2 ACID MISTS
Acid mists can be formed in the centralized acid scrubber generally due to nitric
acid and hydrochloric acid (sulfuric acid can also form acid mists, but sulfuric acid
is not usually at high enough inlet concentrations to centralized acid scrubbers to
cause stack visible emissions). Acid mists at around 1 ppmv and above are usually
visible at the stack exhaust exit. It is important to note that acid mists with no
ammonia present will have visible emissions. Standard centralized acid scrubbers
are marginally efficient for nitric acid (especially at low inlet concentrations such
as a few ppmv) and can be “overwhelmed” by higher concentrations of hydrogen
chloride. Examples of sources for acid mists are solution baths and spray processes
of heated aqua regia, hot nitric acid baths, and venting of large hydrogen chloride
cylinders and tube trailers. Odors can result from these acid compounds and byproducts of heated aqua regia solution (e.g., chlorine and nitrosyl chloride). The use
of a centralized acid scrubbing system for higher inlet concentrations of acid compounds, chlorine, and acid mists is discussed later in this chapter.
EXAMPLE 4-7:
HEATED BATH OF AQUA REGIA SOLUTION.
A fab had a separate centralized ammonia exhaust system and undetectable ammonia
in the centralized acid exhaust system. A common mixture of aqua regia solution (one
part concentrated nitric acid solution and three parts concentrated hydrochloric acid
Centralized Scrubbers
solution) was used in a bath in a wet bench. This bath of aqua regia solution was heated
to 70°C. The exhaust from this bath went to the centralized acid exhaust system and
on to centralized acid scrubbers. When the bath was heating and then processing wafers,
visible emissions occurred at the centralized acid scrubber stacks for about 2 hours,
with varying intensities. Odors were noticed downwind of the centralized acid scrubber
stacks, and metal equipment and accessories on the roof were rusting. Fab personnel
designed and installed a POU wet scrubbing system operated at a pH range of 10 to 11
(with an addition system of sodium hydroxide solution) followed by a submicron acid
mist filter. Visible emissions and odors did not occur after this POU device was installed.
EXAMPLE 4-8:
HEATED BATH OF NITRIC ACID SOLUTION AND HEATED
BATH OF NITRIC ACID–SULFURIC ACID SOLUTION.
This fab had a separate centralized ammonia exhaust system and undetectable ammonia
in the centralized acid exhaust system. The fab had a bath of concentrated nitric acid
solution at 70°C and a bath with a 50/50 mixture of concentrated nitric acid solution
and concentrated sulfuric acid solution at 80°C in a wet bench. The exhaust from this
wet bench containing these baths was connected to the centralized acid exhaust system
and on to the centralized acid scrubbers. Visible emissions from the centralized acid
scrubber stacks varied during the day. The most visible emissions occurred after both
baths were replenished with new acid solutions, heated to temperature, and wafers
were introduced to the baths. Fab personnel designed and installed a POU wet scrubbing
system operated at a pH range of 10 to 11 (with an addition system of sodium hydroxide
solution), followed by a submicron acid mist filter. Wet bench exhaust was connected
to this POU device. The visible emissions from the centralized acid scrubber stacks
were eliminated.
EXAMPLE 4-9:
VERTICAL TUBE CLEANERS.
A fab had a separate centralized ammonia exhaust system and undetectable ammonia
in the centralized acid exhaust system. Sporadic visible emissions were seen at the
centralized acid scrubber stacks. Review of fab processes and times of visible emissions
correlated with the use of two vertical tube cleaners (using hydrofluoric acid solution
and nitric acid solution for furnace tube cleaning). The vertical tube cleaners sprayed
acid solutions on furnace tubes in an enclosed chamber, with exhaust slots in the back
of the enclosed chamber drawing acid mists into the centralized acid exhaust system;
the amount of acid mists being entrained increased as the exhaust static pressure became
more negative. The exhaust static pressure was set at negative 1.2 inches of water on
both vertical tube cleaners. Acid mists were being significantly entrained in the exhaust.
An additional concern was found to be the amount of acid mist condensation in the
exhaust ducts near the vertical tube cleaners. The static pressure of the exhaust was
reduced to a negative 0.60 inches of water. Safety personnel reviewed the use of the
vertical tube cleaners at this static pressure and found the vertical tube cleaners to be
safe for operation (i.e., adequate protection of workers). The operation of the two
vertical cleaners at a static pressure of the exhaust of negative 0.60 inches of water
produced no visible emissions at the centralized acid scrubber stacks and reduced acid
mist condensation in the exhaust ducts.
89
90
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 4-10:
SPRAY PROCESSES FOR ACID SOLUTION.
A fab had a separate centralized ammonia exhaust system and undetectable ammonia
in the centralized acid exhaust system. Sporadic visible emissions were seen at the
centralized acid scrubber stacks. A review of fab processes and times of visible emissions correlated with the use of four spray processes of acid solution (using different
acid solutions at varying temperatures), usually when more than one acid spray process
was in use. These spray processes were in an enclosed chamber with exhaust on one
side, pulling directly on the chamber entraining acid mists in the exhaust; the amount
of acid mists being entrained increased as the exhaust static pressure became more
negative. The exhaust static pressure ranged from negative 1.0 to 1.3 inches of water
for these four spray processes for acid solution. Acid mists were being significantly
entrained in the exhaust. An additional concern was found to be the amount of acid
mist condensation in the exhaust ducts near the spray processes for acid solution. The
static pressure of the exhaust was reduced to negative 0.70 inches of water. Safety
personnel reviewed the use of the spray processes for acid solution at this static pressure
and found the spray processes to be safe for operation (i.e., adequate protection of
workers). No wafer quality issues were found. Visible emissions from the centralized
scrubber stacks were eliminated, and acid mist condensation was reduced by the static
pressure changes of the exhaust made on the spray processes for acid solution.
EXAMPLE 4-11:
LINE VENTING OF HYDROGEN CHLORIDE
CYLINDER.
Hydrogen chloride was vented through a line during a cylinder-changing operation.
The hydrogen chloride (from this venting) flowed through an exhaust duct to the
centralized acid exhaust system (airflow rate of 60,000 ft3/min) and to centralized acid
scrubbers. A visible emission occurred at the centralized acid scrubber stacks even
though the hydrogen chloride concentration at the inlet into the centralized acid scrubbers was estimated to be only 50 ppmv, which called into question the removal
efficiency of hydrogen chloride for the centralized acid scrubbers. There was no measurable ammonia present in the centralized acid exhaust system, so ammonium chloride
was not causing the visible emissions. The gas supplier and centralized scrubber
supplier claimed it was due to hydrogen chloride mist being generated at the time of
hydrogen chloride venting, even though the relative humidity in the centralized acid
exhaust system was well below 100%. Fab personnel decided to investigate this claim
further. Inspection with a flashlight of the inlet duct to one of the centralized acid
scrubbers showed no visible acid mists. The installation of a submicron acid mist filter
(with no inlet water scrubbing section) did not remove hydrogen chloride (if it was
hydrogen chloride submicron mist, it would have been removed by this filter); visible
emissions at the centralized acid scrubber stacks still occurred. Therefore, it was
determined that hydrogen chloride as a gas was forming the submicron acid mist in
the centralized acid scrubbers. A water scrubbing section was installed before the
existing submicron acid mist filter in the exhaust duct near the hydrogen chloride
cylinder. The water-scrubbing section allowed the hydrogen chloride acid mist to form
and then be removed in the submicron acid mist filter. The visible emissions were
eliminated from the centralized acid scrubber stacks when the hydrogen chloride
cylinder line was vented.
Centralized Scrubbers
91
4.3.3 FLUORINE
Fluorine can be exhausted to a centralized acid exhaust system from many processes
(e.g., chemical vapor deposition [CVD] process chamber cleanings), especially if
there is no POU device utilized for fluorine removal. The chamber cleaning that
generally has the most fluorine emitted is the nitrogen trifluoride predevice CVD
chamber cleaning (note: this chamber cleaning is when nitrogen trifluoride is fractured into monatomic fluorine and diatomic fluorine in a device prior to flowing to
the CVD chamber). Fluorine will react to some extent with water in the air in the
centralized acid exhaust system to form hydrogen fluoride, and with liquids in ducts
to form acid solutions; these acid solutions can do significant damage to ducts,
depending on the construction materials exposed in the exhaust duct. However,
unreacted fluorine can reach the centralized acid scrubbers. Fluorine is not removed
efficiently by typical centralized acid scrubbers. In addition, many centralized acid
scrubbers are operated at pH levels above 8, with the addition of sodium hydroxide
solution. Under these conditions, oxygen difluoride can form. Both fluorine and
oxygen difluoride odors may be detected outside the fab; health effects from these
gases should also be evaluated.
EXAMPLE 4-12:
ODORS OUTSIDE FAB.
A fab had been experiencing odors (similar in characteristic to fluorine and oxygen
difluoride) in areas surrounding the fab building. The odors were traced back to the
centralized acid scrubbers. This fab did not have a centralized ammonia exhaust system,
so there were periodic visible emissions (below local air regulatory agency opacity
requirements). Fab personnel decided to review the design and operation of the centralized acid scrubber first and leave fab process review until later if odor issues still
existed. The design parameters of the centralized acid scrubbers were reviewed and
were determined to be marginal at best. The following changes were implemented:
1. The recirculation rate of the scrubbing solution was changed to meet good scrubber
design parameters of 6 to 10 gpm/ft2 of wetted packing surface and 10 to 30 gpm/1000
ft3/min airflow rate.
2. The pH of the scrubbing solution was reduced to a range of 7 to 8 from a pH that
was above 9. This was done to reduce the possible formation of oxygen difluoride
from fluorine. Sodium hydroxide solution was still added to the centralized acid
scrubbers to be able to keep the pH range between 7 and 8 while using a minimum
amount of makeup water.
3. The makeup water rate was changed to be continuous (previously makeup water was
periodically added based on conductivity of the scrubbing solution) and at 1% of
the recirculation rate of scrubbing solution.
4. The air velocity through the centralized acid scrubbers was reduced to 500 ft/min.
The odors and sporadic visible emissions continued. The fab processes and effectiveness of POU devices were reviewed to determine likely sources of ammonia and odor.
Several ammonia sources were found. The odor source was determined to be oxide
CVD processes using nitrogen trifluoride predevice chamber cleaning with no POU
92
Semiconductor Industry: Wafer Fab Exhaust Management
devices. Fab personnel decided to remove ammonia from the centralized acid exhaust
system first for three reasons:
1. Ammonium compounds were determined to be contributing to the odor found outside
the fab. The centralized acid scrubbers could not remove these ammonium compounds.
2. The fab wanted to eliminate all visible emissions from centralized acid scrubber
stacks. Even if fluorine emissions were significantly reduced to the centralized acid
scrubbers, visible emissions coming from centralized acid scrubber stacks would
still occur.
3. Ammonium compounds were depositing in the centralized acid exhaust system.
The ammonia segregation and abatement project was implemented. There were no
more visible emissions! However, odors were still being reported outside the fab, but
less often. In addition, review of the centralized acid exhaust system showed damage
to ducts. Fab personnel finally decided to install POU devices (with the ability to
efficiently remove high concentrations of fluorine) on the oxide CVD processes with
nitrogen trifluoride predevice chamber cleaning. The odor reports stopped after this
POU device project was implemented.
EXAMPLE 4-13:
DUCTWORK DAMAGE.
Fab personnel did a camera scoping and integrity evaluation of the centralized acid
exhaust system. In some areas there were ducts with significant damage. Testing was
done to find out the cause. Acid damage was the cause (primarily from hydrofluoric
acid). A process review was done for fab equipment that was exhausted upstream of
the damaged ducts. It was determined that there were several CVD processes using
nitrogen trifluoride predevice chamber cleanings without POU devices. POU devices
that can efficiently remove fluorine were installed on these CVD processes.
4.3.4 VOLATILE ORGANIC COMPOUNDS
Organic compounds should be eliminated from the centralized acid exhaust system
and centralized ammonia exhaust system as much as possible (exemptions to be
discussed later). Centralized ammonia scrubbers are generally operated at low pH
which can eliminate biogrowth; therefore, organic compounds in a centralized
ammonia exhaust system can be more forgiving as far as biogrowth is concerned.
Organic compounds are a great food source for biogrowth. Organic compounds
will also get into wastewater to some extent, depending on its mass transfer in air
to scrubbing solution and solubility in the scrubbing solution. These organic compounds can be emitted from wastewater in the wastewater treatment plant and be
discharged in the wastewater outside the facility.
Many fab sites have emission requirements for VOCs; therefore have a separate
centralized VOC exhaust system with centralized VOC control equipment. These
fab sites usually limit VOC emissions from other centralized exhaust systems. Fab
sites that do not have VOC emissions requirements may have a separate centralized
VOC exhaust system with no centralized VOC control equipment (for the few fabs
Centralized Scrubbers
93
that do not have a separate centralized VOC exhaust system, they may want to
consider it!).
Review of all processes and exhaust connections is important to ensure that
VOC-emitting processes are going to the centralized VOC exhaust system. There
are some activities (e.g., general cleaning using a mixture of isopropanol and water)
that happen in the fab area, in which organic compounds are evaporated in fab air;
this fab air flows through process exhausts (e.g., wet benches) into centralized
exhaust systems. VOC concentrations from general cleaning are typically very low
in the fab air and usually have minimal impact on biogrowth in centralized acid
scrubbers and centralized ammonia scrubbers. However, VOC emissions should be
estimated for general cleaning.
An example of an organic compound that may be commonly exhausted to a
centralized acid exhaust system or centralized ammonia exhaust system is hexamethyldisilazane (HMDS). HMDS (usually used in vapor prime on a wafer before
photoresist coating) can cause issues with some centralized VOC control equipment
by being oxidized and forming silicon dioxide. Silicon dioxide can plug the thermal
oxidizer and associated heat exchangers (if any); silicon dioxide can also poison the
catalyst in a catalytic oxidizer and plug associated heat exchangers (if any). To
prevent this from occurring, vapor prime exhaust containing HMDS can be connected to a centralized acid exhaust system or centralized ammonia exhaust system.
A centralized ammonia exhaust system would be the better of the two centralized
exhaust systems, as the HMDS may form ammonia when it reacts with water. It is
important to note that permitted VOC emissions should be reviewed to determine if
HMDS can be exhausted to non-VOC centralized exhaust systems.
EXAMPLE 4-14:
VOCS IN CENTRALIZED ACID EXHAUST SYSTEM EXAMPLE NO. 1.
A centralized acid scrubber was having biogrowth issues. VOC sampling of the inlet
airstream to the centralized acid scrubber using a hand-held monitor showed 2 ppmv
VOC measured as isobutylene. Calculations of possible VOC emissions assuming no
VOC removal efficiency of the centralized acid scrubber showed projected VOC emissions close to permitted VOC emissions. Fab personnel decided to review process and
exhaust connections to determine VOC-emitting sources. An isopropanol wafer dryer
in a wet bench was found to be exhausted to the centralized acid exhaust system; this
process exhaust was disconnected from the centralized acid exhaust system and connected to a centralized VOC exhaust system. The inlet airstream of the centralized acid
scrubber was resampled using a hand-held VOC monitor. VOC concentration was below
detection.
EXAMPLE 4-15:
VOCS IN CENTRALIZED ACID EXHAUST SYSTEM EXAMPLE NO. 2.
Periodic hand-held VOC sampling of inlet airstream to a centralized acid scrubber had
shown varying VOC concentrations. The effect of these VOC concentrations appeared
to be biogrowth in the centralized acid scrubber and projected VOC emissions close
to permitted VOC emissions. A team was established to review all processes for VOC
emissions, including a fab-wide review of process exhaust connections. The results of
94
Semiconductor Industry: Wafer Fab Exhaust Management
this study showed that the CVD processes of tetraethylorthosilicate (TEOS)-based
oxide without POU devices and HMDS from vapor prime operations were the VOCemitting sources. It was important to keep operation exhausts of HMDS vapor prime
on the centralized acid exhaust system, since HMDS was causing issues with centralized VOC control equipment, there was no centralized ammonia exhaust system, and
HMDS emissions should not be exhausted to centralized general or heat exhaust system.
The team decided to install POU devices on CVD processes of TEOS-based oxide.
EXAMPLE 4-16:
VOCS IN CENTRALIZED AMMONIA EXHAUST SYSTEM.
A fab site conducted a yearly VOC monitoring program on all centralized exhaust
systems, to determine if there were any VOC exhaust connections that were made to
centralized exhaust systems other than the centralized VOC exhaust system. A measurement of 2 ppmv measured as isobutylene was found on the inlet to the centralized
ammonia scrubber. No biogrowth was found in the centralized ammonia scrubber
(scrubbing solution was kept at a pH range of 3 to 4). Assuming no VOC removal
efficiency in the centralized ammonia scrubber, the estimated VOC emissions were
within permitted VOC emissions for the fab site. Fab personnel still wanted to pursue
where the VOC emissions were coming from. The VOC sources were found to be
HMDS vapor prime operations. The vapor prime operations were recently exhausted
to a centralized ammonia exhaust system due to HMDS issues with the centralized
VOC control equipment.
4.3.5 SOURCE OF MAKEUP WATER
Make-up water quality (source) is very important for centralized acid scrubbers.
Centralized ammonia scrubbers usually operate at low pH (e.g., in the range of 3 to
4), so are less sensitive to water quality. However, water quality still should be
reviewed before its use in centralized ammonia scrubbers. The following discussion
will concentrate on centralized acid scrubbers. The makeup water chemistry (quality)
of scrubbing solution is very important to ensure good mass transfer from gas phase
to liquid phase for acid compounds and for scrubber uptime. An example is water
with high calcium concentration (e.g., city water or reverse osmosis reject) at a pH
above 8 can lead to increased scaling of packing and mist eliminator. Another
example is use of water with high concentrations of fluorides (e.g., fab reclaimed
water or discharge water from an acid wastewater neutralization plant) can limit
hydrogen fluoride mass transfer from the gas phase to the liquid phase. Use of water
(e.g., reclaimed water) with high concentrations of ammonia can cause ammonia to
move from the liquid phase to the gas phase, resulting in the formation of ammonium
compounds (i.e., visible emissions).
EXAMPLE 4-17:
USE OF RECLAIMED WATER AS MAKEUP WATER
FOR CENTRALIZED SCRUBBERS.
A fab-wide water reclamation or recycling program was initiated and completed. Water
was reclaimed from wafer rinse-water processes and used for centralized acid scrubbers
and centralized ammonia scrubber makeup water. Soon after the reclaimed water was
Centralized Scrubbers
used as scrubber makeup water, there were reports of visible emissions from centralized
acid scrubber stacks (it is important to note that the fab had no measurable ammonia
in the centralized acid exhaust system, as the ammonia was properly segregated to a
centralized ammonia exhaust system). Fab personnel conducted ammonia sampling on
inlet airstreams to the centralized acid scrubbers, and ammonia was undetectable (below
1 ppmv ammonia). They next reviewed processes to determine if visible emissions
were acid mist; no source was found. After further discussion, it was decided that
maybe the outlets of the centralized acid scrubbers should be sampled. Results from
this sampling showed ammonium compounds were the source of visible emissions.
There was no ammonia coming into the centralized acid scrubbers, but ammonia was
coming out of the centralized acid scrubbers! It was thought that the source of ammonia
might be the reclaimed water. The reclaimed water was tested, and ammonia was found
in the reclaimed water. Calculations were done using the concentration of ammonia in
the reclaimed water and makeup water rate. A similar mass rate of ammonia was found
for the makeup water input to the centralized acid scrubbers as compared to the
ammonia emissions of the centralized acid scrubbers. The reclaimed water was the
ammonia source. Further investigation determined that the rinse water from wafers that
had just contacted ammonium hydroxide-hydrogen peroxide solution was the source
of ammonia in the reclaimed water. This rinse water with ammonia was removed from
the water reclamation system, and the visible emissions were eliminated.
EXAMPLE 4-18:
REVERSE OSMOSIS REJECTED WATER USED AS CENTRALIZED
SCRUBBER MAKEUP WATER.
Facilities personnel decided to use first-pass reverse osmosis (RO) rejected water as
makeup water for the centralized scrubbers as part of the water conservation program.
The centralized acid scrubbers were designated for the use of the first-pass RO reject
water. The centralized acid scrubbers were operated at a pH range of 9 to 10 for the
scrubbing solution. No scrubber review of the impact on the use of first-pass RO reject
water was done. Scaling of packing occurred quickly causing a shutdown of the
centralized acid scrubbers. The site’s air quality permit required the scrubbing solution
to operate at a pH above 8. Fab personnel requested a trial test using RO reject water
as makeup water at a scrubbing solution pH range of 6 to 7, to determine if this makeup
water source could be used without significant impact on scrubber packing. The trial
test was approved by the local air regulatory agency with the stipulation that efficiency
testing of hydrogen chloride and hydrogen fluoride removal would be conducted during
the first 2 weeks of the trial test, to ensure the removal efficiency of 90% in the air
permit would be met at a scrubbing solution pH range of 6 to 7. The testing was
conducted, with hydrogen chloride and hydrogen fluoride removal efficiencies both
above 90%. Scaling of centralized acid scrubber packing was greatly reduced at the
pH range of 6 to 7.
EXAMPLE 4-19:
NEUTRALIZATION PLANT DISCHARGE
OF ACID WASTEWATER USED AS MAKEUP WATER.
A fab wanted to use some of the discharge water from the site’s acid wastewater
neutralization plant, which was discharged from the facility and flowed to the city’s
wastewater treatment plant. The first trial use of this discharge water was as makeup
95
96
Semiconductor Industry: Wafer Fab Exhaust Management
water to the centralized acid scrubbers. This makeup water source was utilized with
no additional chemicals to the scrubbing solution (i.e., no sodium hydroxide solution
use). There was no measurable ammonia in the centralized acid exhaust system. The
operation of the centralized acid scrubbers went well, except for the makeup water’s
aggressive impact on the recirculation pumps. The yearly efficiency testing of acid
compound removal was conducted for the local air regulatory agency. The results
showed that there was similar removal efficiency for hydrogen chloride from the
previous year’s testing; however there was a large drop in removal efficiency for
hydrogen fluoride. It was determined that the higher fluoride concentrations in the
makeup water (from the discharge of the acid wastewater neutralization plant) were
affecting removal efficiency of hydrogen fluoride.
The use of first-pass RO reject water, discharge water from the acid wastewater
neutralization plant, or reclaimed water may be satisfactory for operation of centralized ammonia scrubbers (scrubbing solution usually at a low pH) and for high
removal efficiencies of ammonia. Additional equipment maintenance (e.g., scrubbing
solution recirculation pumps) may be required.
4.3.6
PH OF SCRUBBING SOLUTION
The pH of the scrubbing solution in centralized scrubbers can affect removal efficiencies, for example, ammonia, hydrogen fluoride, fluorine, and chlorine. Removal
efficiency of hydrogen chloride is less dependent on scrubbing solution pH. Since
the inlet air concentrations of chlorine and fluorine are usually very low for centralized acid scrubbers, pH levels for centralized acid scrubber scrubbing solution are
usually set for hydrogen fluoride. Since centralized ammonia scrubbers are for ammonia
only, desired removal efficiency of ammonia sets the scrubbing solution pH levels. If
excess ammonia (i.e., ammonia in higher concentrations than acid compounds) is in
the inlet air of the centralized acid scrubber, then the pH of the scrubbing solution will
most likely rise when ammonia is absorbed in scrubbing solution.
Continuous pH monitoring is a good way to track what is happening with the
scrubbing solution in centralized scrubbers. Another way is to track chemical usage
as pH is monitored and controlled; one example is the use of sulfuric acid for pH
control in centralized ammonia scrubbers.
The pH of scrubbing solution changes as it absorbs acid compounds, ammonia,
and other specific contaminants while traveling through the packing. The pH of the
scrubbing solution in the packing will be lower than the actual measured pH levels
in the scrubbing solution sump when acid compounds are scrubbed in centralized
acid scrubbers. The pH of the scrubbing solution in the packing when ammonia is
scrubbed will be higher than the actual measured pH levels in the scrubber solution
sump in centralized ammonia scrubbers. For example:
1. Centralized acid scrubbers: a typical minimum scrubbing solution pH that
is used for scrubbing hydrogen fluoride is 5. Due to dropping scrubbing
solution pH in packing section as acid compounds are absorbed, a minimum
scrubbing solution sump pH of 7 is used to ensure good removal efficiency
Centralized Scrubbers
for hydrogen fluoride. Sodium hydroxide solution addition to scrubbing
solution sump can be used if water source for makeup water cannot keep
pH above 7.
2. Centralized ammonia scrubbers: a typical maximum scrubbing solution
pH that is used for scrubbing ammonia is 5. Since scrubbing solution pH
increases in the scrubber packing as ammonia is scrubbed, the use of a
scrubbing solution pH range of 3 to 4 in the scrubbing solution sump is
common. Chemical addition (e.g., concentrated sulfuric acid solution) is
typically used to control pH of the scrubbing solution
EXAMPLE 4-20:
HIGH PH SWINGS OF CENTRALIZED ACID SCRUBBER
(ALONG WITH VISIBLE EMISSIONS).
Two centralized acid scrubbers had been experiencing periodic higher swings in pH
of scrubbing solution (e.g., pH baseline was 7.8 with pH spikes to 8.5). Some of these
pH spikes occurred at the same time as visible stack emissions. Ammonia was the
likely source of both occurrences. The source of the ammonia was determined to be
spray processes using ammonium hydroxide-hydrogen peroxide solution.
Why are the visible emissions from centralized acid scrubber stacks occurring only
some of the time when scrubbing solution pH goes up? Ammonia needs to mix with
acid compounds to form ammonium compounds, which form submicron particles in
the scrubber that contribute to visible emissions. If ammonia concentration is higher
than total acid compounds and ammonium compounds that are formed are below 1
ppmv, then the pH of the scrubbing solution will rise, and there will be no visible
emissions at the centralized acid scrubber stacks. If ammonia and total acid compounds
are same concentration, or if total acid compounds are at a higher concentration than
ammonia, with ammonium compounds formed above 1 ppmv, then there could be
visible emissions at the centralized acid scrubber stacks with no pH rise in the scrubbing
solution (a scrubbing solution pH drop can occur when total acid compounds are at a
higher concentration than ammonia). Based on these situations, one cannot assume
that a visible emission and an increase in pH of the scrubber solution will occur at the
same time when processes are emitting ammonia.
EXAMPLE 4-21:
LOW PH SWINGS IN SCRUBBING SOLUTION OF CENTRALIZED
ACID SCRUBBER.
A centralized acid scrubber was operating at a scrubbing solution pH range of 7 to 8
with continuous flow rate of makeup water and no chemical addition. There were
episodes where the pH dropped below 7 to as low as 4. The minimum allowable pH
of the scrubbing solution on the air permit was 5, so corrective action was required.
A feed system of sodium hydroxide solution was installed to add sodium hydroxide
solution when the pH of the scrubbing solution dropped below 7 in the scrubber solution
sump and stopped addition of sodium hydroxide solution at pH of 8 in the scrubbing
solution.
97
98
Semiconductor Industry: Wafer Fab Exhaust Management
4.3.7 BIOGROWTH AND SCALING
Biogrowth and scaling are a challenge for centralized acid scrubbers. Usually centralized ammonia scrubbers do not have biogrowth and scaling issues due to low
pH of the scrubbing solution operation. Packing and plugging of the mist eliminator
on centralized acid scrubbers can occur due to scaling or biogrowth. It is common
to see both biogrowth and scaling occurring together. Good distribution of scrubbing
solution in the packing is crucial to minimize scaling and biogrowth. Poor wetted
packing can be starting points for scale and biogrowth buildup. Examples of where
and how slightly wet areas occur are the inlet face of a horizontal cross-flow scrubber
without face sprays, a poor distribution system of scrubbing solution, and underdesigned recirculation rate of scrubbing solution.
A common scale is calcium carbonate, which commonly occurs at higher pH
levels of scrubbing solution (e.g., 9 and above) when makeup water of high calcium
concentration is used. Operating at a pH range of 7 to 8 in scrubbing solution that
uses makeup water with nonscaling concentrations of calcium at a proper continuous
flow rate can be a solution (i.e., review calcium concentrations in the supply of
makeup water to the centralized acid scrubber). First-pass RO rejected water is
almost always an issue.
Biogrowth is enhanced by a food source such as ammonia and organic compounds. Biocide is commonly used to control biogrowth. Good distribution of
scrubbing solution (i.e., good wetting of all packing to get biocide to the biogrowth)
is crucial to controlling biogrowth.
EXAMPLE 4-22:
BIOGROWTH AND SCALING OF CENTRALIZED
ACID SCRUBBERS.
Facility personnel were having difficulty providing proper negative static pressure to
the exhaust (not enough) in the centralized acid exhaust system to the fab. The pressure
drop (scrubber inlet to fan inlet) across each centralized horizontal cross-flow acid
scrubber had been increasing, which was the cause of the exhaust issue to the fab.
Visible inspection of the packing was conducted, which showed that the packing had
biogrowth and scale. This was confirmed with analysis of the material on the packing.
The centralized acid scrubbers were taken down one at a time to replace packing, which
was partially plugged. The interesting development was that each scrubber’s packing
was not plugged the same and that the pressure drop across the scrubber was not
reduced much after the packing was replaced.
Facility personnel decided to look more closely at the mist eliminator on each centralized acid scrubber, which is between the packing and the fan. The mist eliminator was
almost completely plugged with scale and biogrowth; the mist eliminator had never
been replaced or cleaned. Because of the poor conditions of the mist eliminators, they
were all replaced. Proper negative static pressure of the exhaust in the centralized acid
exhaust system returned to what the fab needed.
How can this scale and biogrowth be prevented? Why was each packing condition for
biogrowth and scale different? Biocide had been consistently used for years with
varying results. The distribution system for the scrubbing solution was then reviewed
for each centralized acid scrubber. The lower the recirculation flow rate of scrubbing
Centralized Scrubbers
99
solution, the more the packing was plugged. All the centralized acid scrubbers were
operating at a recirculation flow rate below the minimum of good design for scrubbing
solution. In addition, face sprays of packing at the scrubber inlet were used with very
little flow rate of scrubbing solution. Recirculation flow rates of scrubbing solution
were increased to the minimum flow rates of good design (note: the recirculation system
for scrubbing solution was reviewed to make sure each centralized acid scrubber could
operate at its higher recirculation flow rate for scrubbing solution). Biocide continued
to be used. The scaling and biogrowth of the packing were greatly reduced. The
preventive maintenance program was revised for each centralized acid scrubber, which
included cleaning of the mist eliminators.
4.3.8 PREVENTIVE MAINTENANCE
Preventive maintenance for centralized scrubbers is crucial. Good scrubber design
and operation necessitates less maintenance. However maintenance is still required!
Many fabs are constructed in a way to allow for one centralized scrubber to be down
for preventive maintenance during normal fab operation. Some fabs have all of their
centralized scrubbers operating but have redundant fans and recirculation pumps for
scrubbing solution so as to conduct maintenance on one pump or one fan while the
other is operating; this type of centralized scrubber setup usually requires yearly
downtime for internal maintenance of the scrubber. Safety interlocks are important
when doing maintenance on these backup mechanical systems.
4.3.9 WASTEWATER DISCHARGE FROM CENTRALIZED SCRUBBERS
It is important to review the constituents in the wastewater being discharged from
centralized scrubbers. An example is fluoride concentrations in wastewater discharge
of the centralized acid scrubber. This wastewater discharge may have to be treated
for fluoride concentrations before discharging it outside the facility. If centralized
acid scrubbers are made more efficient for hydrogen fluoride, then the fluoride
concentrations in wastewater discharge would increase. Examples of making a
centralized acid scrubber more efficient would be removing ammonia from the
centralized acid exhaust system or making scrubber modifications that would
increase removal efficiency of hydrogen fluoride. Another way that fluoride concentrations could increase in the wastewater discharge of the centralized acid scrubber
is an increase in hydrogen fluoride, silicon tetrafluoride, and fluorine emissions from
fab processes (this assumes there is no ammonia to react with these contaminants
to form ammonium compounds).
4.4 ACID SCRUBBERS FOR LARGE STORAGE TANKS
OF CONCENTRATED ACID SOLUTION
Acid scrubbers can be used for large storage tanks containing solutions of concentrated hydrochloric acid for production of clean water supply to the fab, and for
large storage tanks containing solutions of concentrated hydrofluoric acid or concentrated nitric acid used in the wafer manufacturing facility. The filling of these
tanks is the primary emission event. High-efficiency scrubbers are generally used
100
Semiconductor Industry: Wafer Fab Exhaust Management
on the exhaust from these tanks to treat high concentrations of acid compound during
filling of the tank. It is important to note that the exhaust duct from these tanks
should not be “hard connected” (i.e., there is no way for outside air to be pulled in)
to these storage tanks when a fan is used; if the exhaust duct is hard connected, the
fan will cause excessive negative static pressure of the exhaust on the storage tank
and increase emissions (evaporation) from the tank. Good design of the exhaust duct
will reduce loss of acid solution (reducing emissions and costs).
EXAMPLE 4-23:
LARGE STORAGE TANK OF CONCENTRATED HYDROCHLORIC
ACID SOLUTION.
Odors were detected around the large solutions tank of concentrated hydrochloric acid
when it was filled from a tanker by pressuring the tanker with air, forcing the solution
of concentrated hydrochloric acid into the storage tank with a several thousand-gallon
capacity. The tank vent (stack) allowed hydrogen chloride in air to be emitted upward
just above the tank (there was no fan). A pumping method (instead of using pressurized
air) for filling the storage tank with concentrated hydrochloric acid solution from the
tanker was evaluated, and it was decided not to implement it. A high-efficiency countercurrent wet scrubber was installed with makeup water and no chemical addition;
there was monitoring of the pH of the scrubbing solution. A fan was installed on the
outlet of the wet scrubber with a stack that discharged 50 feet above ground level. The
exhaust duct was hard connected from the storage tank to the wet scrubber inlet. The
efficiency of hydrogen chloride removal was tested during filling and was greater than
99%; the scrubbing solution pH dropped to 2 during filling of the storage tank with
the solution of concentrated hydrochloric acid. No odors were detected. The pH range
of the scrubbing solution was found to be between 4 and 5 during nonfilling operation
(almost all the time). Why was the scrubbing solution pH so low? It was determined
that the hard connection of the exhaust duct from the storage tank to the scrubber inlet
was causing excessive emissions of hydrogen chloride (evaporation of concentrated
hydrochloric acid solution). The amount of solution of concentrated hydrochloric acid
used per week was more than before the wet scrubber and fan system were installed,
which was good confirmation of higher emissions from the storage tank of hydrogen
chloride. An exhaust duct “T”, designed with proper capture during higher airflow and
higher hydrogen chloride emissions during filling of the storage tank, was installed
above the storage tank on the exhaust duct to allow air to flow in. After the exhaust
duct T was installed, the pH of the scrubbing solution in the wet scrubber operated
between 7 and 8 during nonfilling operations, and the amount used per week of
concentrated hydrochloric acid solution dropped to a level similar to what was used
before the wet scrubber was installed.
4.5 CENTRALIZED ABATEMENT SYSTEMS FOR HIGHER INLET
CONCENTRATIONS OF ACID COMPOUNDS, CHLORINE,
AND ACID MISTS
As mentioned before, acid mists above 1 ppmv can be visible at stack discharge
from centralized wet scrubbers (without any ammonia present). It may be beneficial
to segregate and exhaust the processes that cause higher inlet concentrations of acid
Centralized Scrubbers
101
compounds (that create stack visible emissions from centralized acid scrubbers) and
high concentrations of gases like chlorine in a separate centralized exhaust system,
especially if there are enough of these processes to justify another centralized exhaust
system in the fab. A centralized abatement system suggested for this situation is a
vertical countercurrent packed-bed scrubber operated at high pH for the scrubbing
solution (using sodium hydroxide solution addition), followed by a submicron filter
for acid mist. The actual pH range for the scrubbing solution is determined by what
is being removed (e.g., chlorine). Operation at high pH of the scrubbing solution
could lead to scaling of packing, so quality of makeup water should be reviewed.
EXAMPLE 4-24:
INSTALLATION OF CENTRALIZED ABATEMENT SYSTEM FOR
HIGHER INLET CONCENTRATIONS OF ACID COMPOUNDS,
CHLORINE, AND ACID MISTS.
A large fab building containing a few individual fabs had numerous centralized acid
scrubbers, many of which had stack visible emissions. Odors were commonly detected
on the building roof, and there were occasional fab evacuations (air intakes entrain air
containing odors). Ammonia had been segregated from the centralized acid exhaust
system and put into a separate centralized ammonia exhaust system with its own
dedicated centralized ammonia scrubber (with pH control of the scrubbing solution).
Some of the facility’s personnel had thought the stack visible emissions were from the
water vapor from the centralized acid scrubbers; however, the visible stack emissions
varied in intensity during the same meteorological conditions and time of day (i.e., if
the visible stack emissions were from water vapor from the centralized acid scrubbers,
the emissions would be the same under similar meteorological conditions and time of day).
A task force was established to determine the processes contributing to the odors and
visible stack emissions. The odor was described as chlorine-like. Sampling of the stacks
of the centralized acid scrubber and technical information found determined that the
visible stack emissions were most likely nitric acid mist and hydrochloric acid mist
(above a total of 1 ppmv at the outlets of the centralized acid scrubber stack). The
review of the chlorine-using processes showed that all chlorine-using processes had
appropriate POU devices that abated chlorine efficiently. The source of chlorine and
possibly similar contaminants were needed to be found; these could be a process byproduct.
All of the individual fabs’ processes were then reviewed for chlorine as a by-product
and acid compounds that could contribute to odors and visible acid mists. Estimates
of emissions were calculated for processes found as possible sources, to determine
how much each individual process contributed to the odors and visible emissions.
Technical information reviewed showed that heated aqua regia solution can generate
chlorine and nitrosyl chloride as process by-products. The following processes in the
individual fabs were found to be contributing to the odors and visible emissions.
1. Four baths of aqua regia solution at either 60°C or 70°C. Two of these baths were
in large wet benches which had other acid solution baths in them. It was decided to
exhaust the entire wet bench to whatever abatement system was determined, as the
age of the wet bench made it difficult to do physical exhaust separation (i.e., permanent damage would occur to the wet bench during physical exhaust segregation).
102
Semiconductor Industry: Wafer Fab Exhaust Management
2. There was one spray process of heated aqua regia solution.
3. Two baths of concentrated nitric acid solution were operated at 70°C. One of these
baths was in a small wet bench, and the other bath was in a large wet bench with
other acid solution baths. It was decided to exhaust this large wet bench to a new
abatement system, due to the age of the wet bench and difficulty in physically
separating exhaust.
4. There were two spray processes of acid solution that used heated concentrated nitric
acid solution.
The total airflow rate for the above processes was approximately 5000 ft3/min. A
determination was made that future processes of these types could be used. In addition,
a centralized exhaust system and one centralized abatement system would be used
instead of numerous POU devices, so as to accommodate future processes, to use no
fab or subfab floor space, and to better exhaust large wet benches. The centralized
abatement system installed was a vertical countercurrent packed-bed scrubber operated
with scrubbing solution at pH between 10 and 11 followed by a large submicron acid
mist filter (almost the same size as the vertical countercurrent scrubber). The size of
this centralized abatement system was 10,000 ft3/min, to accommodate future processes. The odor reports and visible emissions were eliminated by this installation.
4.6 CENTRALIZED SCRUBBING SYSTEM FOR OXIDES
OF NITROGEN
This centralized scrubbing system is designed to remove oxides of nitrogen, usually
from wafer chemical thinning in a wafer manufacturing facility. The main reasons
for abatement are that nitrogen dioxide is generally orange in color (above certain
concentrations) when leaving the stack, and there may be air regulatory emission
limitations for oxides of nitrogen. Nitric acid and hydrogen fluoride are usually also
in the exhaust air from wafer chemical thinning processes. One important aspect of
designing this scrubbing system is how much nitric oxide is in the airstream.
Sampling of the exhaust stream from the wafer chemical thinning processes can
determine the concentrations of nitric oxide and nitrogen dioxide. If there is a
sufficient amount of nitric oxide, then another scrubbing stage is built in (usually
the first scrubbing stage) to convert nitric oxide to nitrogen dioxide, so the nitrogen
dioxide can be scrubbed in the next stages. One way to determine if nitric oxide
needs abatement is if the overall removal efficiency for oxides of nitrogen would
require removal of nitric oxide. Hydrogen sulfide can be generated in the nitrogen
dioxide scrubbing stage, so another (final) stage can be installed to remove hydrogen
sulfide.
EXAMPLE 4-25:
DETERMINING IF NITRIC OXIDE NEEDS ABATEMENT.
Sampling of the three wafer chemical thinning processes was conducted using continuous monitoring that can distinguish between nitric oxide and nitrogen dioxide. Eight
hours of continuous sampling provided the following average concentrations: 10 ppmv
nitric oxide and 90 ppmv nitrogen dioxide. The minimum removal efficiency of oxides
Centralized Scrubbers
103
of nitrogen required by the local air regulatory agency was 95%. Therefore, nitric oxide
needed abatement to reach a minimum of 95% removal efficiency of oxides of nitrogen.
The centralized scrubbing system was installed with four stages:
Stage 1 converts nitric oxide to nitrogen dioxide by strong chemical oxidation.
Stages 2 and 3 use a scrubbing solution of high pH to scrub nitrogen dioxide.
Stage 4 uses a scrubbing solution of high pH (with the addition of sodium hydroxide
solution) to scrub hydrogen sulfide.
It is important to note that wastewater from certain oxides of nitrogen scrubbing
systems (chemistry dependent) can release hydrogen sulfide when the pH is dropped
close to neutral and below (e.g., if the pH of wastewater is adjusted downward from
a high pH, hydrogen sulfide may be released). This situation should be considered
when designing a scrubbing system for oxides of nitrogen.
4.7 SPECIFYING A CENTRALIZED WET SCRUBBER
As mentioned earlier, it is important for the facility personnel to specify the best
centralized wet scrubber for their specific application. It should not be assumed that
the scrubber supplier will supply what is needed. Four examples of specifications
are listed later for use in developing facility-specific centralized wet scrubbers or
centralized wet scrubbing systems; additional requirements may be needed based
on facility and company requirements. Note that the size (airflow rate) of the
scrubbing system needs to be determined correctly (i.e., it is not good to exhaust
35,000 ft3/min through a scrubber designed for 30,000 ft3/min!). The maximum rate
of airflow needed and the range of operational rate of airflow should be specified.
4.7.1 CENTRALIZED ACID SCRUBBERS FOR FAB AND ACID SCRUBBER
FOR LARGE STORAGE TANK OF ACID SOLUTION
These two types of acid scrubbers can generally have similar specifications. Installation of each acid scrubber most likely will be different. A horizontal cross-flow
packed-bed scrubber or vertical countercurrent packed-bed scrubber can be used
(use of a vertical countercurrent packed-bed scrubber for a large storage tank for
acid solution (e.g., concentrated hydrochloric acid solution) is recommended, especially if pressurized air is used to fill the storage tank with acid solution). Some
items are listed next to consider when specifying requirements of an acid scrubber
to suppliers.
1. Maximum rate of airflow (e.g., 30,000 ft3/min) and range of operational
rate of airflow (e.g., 18,000 to 30,000 ft3/min) for each centralized acid
scrubber. Maximum rate of airflow (e.g., 3000 ft3/min) and range of
operational rate of airflow (e.g., 1500 to 3000 ft3/min) for the acid scrubber
for the large storage tank of concentrated hydrochloric acid solution.
Ensure that it is constructed large enough to include future process
exhausts (i.e., specify some additional airflow capacity if needed).
104
Semiconductor Industry: Wafer Fab Exhaust Management
2. Average and maximum inlet concentrations of acid compounds for which
the facility wants to design the acid scrubber. An example for centralized
acid scrubber is: inlet concentrations of hydrogen chloride and hydrogen
fluoride will each be 1 ppmv average and 5 ppmv maximum. Specify
removal efficiencies required with corresponding inlet concentrations
(e.g., inlet concentrations of 95% at 1 ppmv hydrogen fluoride, and 95%
at 1 ppmv hydrogen chloride). Average concentration is used instead of
maximum concentration, as it is more difficult to remove 1 ppmv at 95%
removal efficiency. An example of an acid scrubber for a large storage
tank for concentrated hydrochloric acid solution would be 5 ppmv average
concentration for hydrogen chloride and 1000 ppmv for maximum hydrogen chloride concentration (short duration during filling). In this case,
facility personnel may require 99.5% removal efficiency at 1000 ppmv.
It is important to note that the outlet concentration at these conditions (if
they actually occurred) would be 5 ppmv, which as hydrochloric acid mist
would be a visible stack emission.
3. Recirculation rate of scrubbing solution: 6 to 10 gpm/ft2 of wetted packing
surface and 10 to 30 gpm/1000 ft3/min rate of airflow. Install proper-sized
pumps to get the required recirculation rate of scrubbing solution (include
a backup pump with automatic switching if needed). Install strainers on
the recirculation system of scrubbing solution to prevent plugging of the
spray headers or settling in weir design. For horizontal cross-flow scrubbers, install a spray header for scrubbing solution for inlet air side of the
packing section to ensure it is wetted properly. The horizontal cross-flow
scrubber should utilize spray nozzles. Specify a distribution system for
scrubbing solution if a vertical countercurrent scrubber is used: nozzles
or weirs.
4. Rate of makeup water should be continuous. If there is no chemical
addition, the rate of makeup water should be a minimum of 3% of the
recirculation rate of scrubbing solution. If chemical addition is used, a
minimum of 1% recirculation rate of scrubbing solution can be used for
the rate of makeup water. Provide the chemistry of makeup water to the
scrubber suppliers and request that the scrubber suppliers explain how it
will affect the packing, mist eliminator, etc.
5. The pH of the scrubber solution should be maintained between 7 and 8.
If a chemical addition system is required, specify the solution of base to
be used and how it will be supplied to the acid scrubber. Have scrubber
suppliers provide estimated chemical usage.
6. Air velocity through packing: 300 to 500 ft/min. Ask what type of packing
will be used. The packing depth for a horizontal cross-flow scrubber and
packing height for a vertical countercurrent scrubber are crucial. Have
scrubber suppliers provide this information. Suggest investigating more
than 4 ft of packing, especially if the scrubber is designed to a maximum
of 500 ft/min air velocity through packing.
Centralized Scrubbers
105
7. Exit velocity of stack exhaust of 3000 to 3800 ft/min. State what the stack
heights will be (as high as possible to allow for air to leave the building
wake and not impact fab air intakes). Request air regulatory stack ports
for sampling to be installed, with proper straight-duct, no-disturbance
distances before and after ports to ensure approval by local air regulatory
agency if compliance testing is required. Provide safe access to ports (e.g.,
ladder, platform, and railings).
8. Install efficient demister section between packing section and fans.
9. Ductwork between scrubber and fans is required.
10. Request information on construction materials for everything. Hydrogen
fluoride-resistant materials should be requested if hydrogen fluoride will
be in the airstream into the scrubbing system.
11. References should be provided for similarly designed acid scrubbers with
similar inlet concentrations and maximum rate of airflow.
12. A preventive maintenance schedule is requested.
13. Yearly operating cost should be provided. It would be beneficial for facility
personnel to provide actual utility costs and other costs (e.g., costs for
makeup water, electricity, wastewater treatment, labor, chemicals used if
applicable).
14. Ask about installation cost if it is to be conducted by scrubber supplier.
If not to be done by scrubber supplier, ask for scrubber supplier to provide
a final inspection and startup assistance, including written signoff on
installation before startup.
15. Total purchase cost including shipping should be provided.
16. What spare parts are needed? What are their costs? Which of these spare
parts should be considered critical?
17. Warranties are needed, with any issues fixed by the scrubber supplier at
their cost. Examples are removal efficiencies and equipment warranties.
18. Who will provide local maintenance support 24 hours a day, 7 days a
week?
19. Operations and maintenance manuals are needed.
20. Training of onsite personnel is required.
21. What is the time from purchase order until the equipment reaches the
facility for installation?
22. Removal efficiency (inlet and outlet) testing: how would the scrubber
supplier like to be involved? It is important to know the scrubber supplier’s
involvement, as the scrubber supplier will be asked to fix the acid scrubber
if the guaranteed removal efficiencies are not met. This testing is usually
coordinated by the facility personnel (usually done by their testing contractor), but it is good to have the scrubber supplier approve the test
methods and contractor.
23. Specify direct drive fans or variable frequency (speed) drive fans to scrubber suppliers. State whether a backup fan is required, with automatic
switching if the exhaust is lost.
106
Semiconductor Industry: Wafer Fab Exhaust Management
24. Specify instrumentation needed (e.g., pH monitoring, pressure drop, outputs to facilities management system) to scrubber suppliers.
25. Provide information to scrubber suppliers on available utilities, mechanical requirements, and electrical requirements.
26. Provide company requirements on environmental, health, and safety to
scrubber suppliers.
4.7.2 CENTRALIZED AMMONIA SCRUBBER FOR FAB
A horizontal cross-flow packed-bed scrubber or vertical countercurrent packed-bed
scrubber can be used. Some items to consider when specifying a centralized ammonia
scrubber to suppliers are listed next.
1. Maximum rate of airflow (e.g., 20,000 ft3/min) and operational range of
rate of airflow (e.g., 12,000 to 20,000 ft3/min). Ensure that it is constructed
large enough to include future process exhausts (i.e., specify some additional capacity of airflow if needed).
2. Average and maximum inlet concentrations of ammonia for which the
facility wants to design the centralized ammonia scrubber. An example
for a centralized ammonia scrubber would be ammonia inlet concentrations of 50 ppmv average and 200 ppmv maximum. Specify removal
efficiency required with corresponding inlet concentration (e.g., 95% at
200 ppmv ammonia inlet concentration). The maximum inlet concentration is used to ensure high removal efficiencies at the maximum inlet
concentration of ammonia to prevent any ammonia odors.
3. Recirculation rate scrubbing solution: 6 to 10 gpm/ft2 of wetted packing
surface and 10 to 30 gpm/1000 ft3/min airflow rate. Install proper-sized
pumps to get the required recirculation rate of scrubbing solution (include
a backup pump with automatic switching if needed). Install strainers on
the recirculation system for the scrubbing solution to prevent plugging of
spray headers or settling in the weir design. For horizontal cross-flow
scrubbers, install spray header for scrubbing solution for the inlet air side
of the packing section to ensure it is wetted properly. A horizontal crossflow scrubber should utilize spray nozzles. Specify a distribution system
for the scrubbing solution if a vertical countercurrent scrubber is used:
nozzles or weirs.
4. Rate of makeup water should be continuous. Since chemical addition will
be used to control a low pH of the scrubbing solution, a minimum of 1%
rate of recirculation of the scrubbing solution can be used for the rate of
makeup water. Provide chemistry of makeup water to scrubber suppliers
and request that the scrubber suppliers explain how it will affect the
packing, mist eliminator, etc. (this is less crucial for operation at low pH
of the scrubbing solution).
5. The pH of the scrubber solution should be maintained between 3 and 4.
This will require a system of chemical addition using an acid solution
(e.g., concentrated sulfuric acid solution) which has a very low vapor
Centralized Scrubbers
107
pressure (since any acid compound emitted will react with ammonia and
form ammonium compounds). Specify the acid solution to be used and
how it will be supplied to the centralized ammonia scrubber. Have scrubber suppliers provide estimated chemical usage.
6. Air velocity through the packing: 300 to 500 ft/min. Ask what type of
packing will be used. Packing depth for a horizontal cross-flow scrubber
and packing height for a vertical countercurrent scrubber are crucial. Have
scrubber suppliers provide this information. Suggest investigating more
than 4 ft of packing, especially if the scrubber is designed to allow a
maximum air velocity of 500 ft/min through the packing.
7. Exit velocity of stack exhaust of 3000 to 3800 ft/min. State what the stack
heights will be (as high as possible to allow for air to leave the building
wake and not impact fab air intakes). Request that air regulatory stack
ports be installed for sampling, with proper straight-duct, no-disturbance
distances before and after ports to ensure approval of the local air regulatory agency if compliance testing is required. Provide safe access to
ports (e.g., ladder, platform, and railings).
8. Install an efficient demister section between the packing section and fans.
9. Provide ductwork between scrubber and fans.
10. Request information on construction materials for everything.
11. References should be provided for similarly designed ammonia scrubbers
with similar inlet concentrations and maximum rate of airflow.
12. A preventive maintenance schedule is requested.
13. Yearly operating cost should be provided. It would be beneficial for facility
personnel to provide actual utility costs and other costs (e.g., costs for
makeup water, electricity, acid solution used, wastewater treatment, and
labor).
14. Ask for the installation cost if the equipment is to be installed by the
scrubber supplier. If it is not to be done by the scrubber supplier, ask the
scrubber supplier to provide a final inspection and startup assistance,
including written signoff on installation before startup.
15. The total purchase cost including shipping should be provided.
16. What spare parts are needed? What are their costs? Which of these spare
parts should be considered critical?
17. Warranties are needed, with any issues fixed by the scrubber supplier at
their cost. Examples are removal efficiency and equipment warranties.
18. Who will provide local maintenance support 24 hours a day, 7 days a
week?
19. Operations and maintenance manuals are requested.
20. Training of on-site personnel is required.
21. What will be the time from purchase order until the equipment reaches
the facility for installation?
22. Removal efficiency (inlet and outlet) testing: how would the scrubber
supplier like to be involved? It is important to know the scrubber supplier’s
involvement, as the scrubber supplier will be asked to fix the centralized
ammonia scrubber if the guaranteed removal efficiencies are not met. This
108
Semiconductor Industry: Wafer Fab Exhaust Management
testing is usually coordinated by the facility personnel (usually done by
their testing contractor), but it is good to have the scrubber supplier
approve the test methods and contractor.
23. Specify the direct drive or variable frequency (speed) drive fans to scrubber suppliers. State whether a backup fan is required, with automatic
switching if exhaust is lost.
24. Specify the instrumentation needed (e.g., pH monitoring, pressure drop,
outputs to facility’s management system) to scrubber suppliers.
25. Provide information to scrubber suppliers on available utilities, mechanical requirements, and electrical requirements.
26. Provide company requirements on environmental, health, and safety to
scrubber suppliers.
4.7.3 CENTRALIZED ACID SCRUBBING SYSTEM FOR HIGH INLET
CONCENTRATIONS OF ACID COMPOUNDS, ACID MISTS,
AND CHLORINE
A vertical countercurrent packed-bed scrubber followed by a submicron filter for
acid mist can be used for this application. Some items to consider when specifying
this centralized acid scrubbing system to suppliers are listed next.
1. Maximum rate of airflow (e.g., 10,000 ft3/min) and operational range of
rate of airflow (e.g., 6000 to 10,000 ft3/min). Ensure that it is constructed
large enough to include future process exhausts (i.e., specify some additional airflow capacity if needed).
2. Average and maximum inlet concentrations of the primary acid compounds, acid mists, and chlorine for which the facility wants to design
the scrubbing system. An example is: inlet concentrations of hydrogen
chloride will be 100 ppmv average and 1000 ppmv maximum; inlet
concentrations of nitric acid will be 20 ppmv average and 100 ppmv
maximum; and inlet concentrations of chlorine will be 20 ppmv average
and 100 ppmv maximum. Specify the removal efficiencies that are
required with the corresponding inlet concentrations (e.g., removal efficiency of 99.5% at 1000 ppmv hydrogen chloride, 95% at 100 ppmv nitric
acid, and 95% at 100 ppmv chlorine). There could be other contaminants
needing abatement, such as hydrogen fluoride and nitrosyl chloride; if so,
develop the average concentration, maximum concentration, and removal
efficiency for each of these contaminants. Specify that there be no visible
emissions from the stacks of the centralized scrubbing system.
3. Provide a submicron filter for acid mist after the vertical countercurrent
packed-bed scrubber. No visible emissions should be allowed from the
stacks of the centralized scrubbing system stacks.
4. Recirculation rate of scrubbing solution: 6 to 10 gpm/ft2 of wetted packing
surface and 10 to 30 gpm/1000 ft3/min rate of airflow. Install proper-sized
pumps to get the required recirculation rate of scrubbing solution (include
Centralized Scrubbers
109
a backup pump with automatic switching if needed). Install strainers on
the recirculation system of the scrubbing solution to prevent plugging of
the spray headers or settling in the weir design. Specify the distribution
system of the scrubbing solution distribution system: nozzles or weirs.
5. The rate of makeup water should be continuous. Since chemical addition
is used, a minimum of 1% recirculation rate of scrubbing solution recirculation rate can be used for the rate of makeup water. Provide the
scrubbing system suppliers with the chemistry of the makeup water and
request that they explain how it will affect the packing, the mist eliminator,
etc. (this is crucial due to the high pH of the scrubbing solution operation).
6. The pH of the scrubber solution should be maintained between 10 and
11. This will require chemical addition. Specify the base solution (e.g.,
sodium hydroxide solution) and how it will be supplied to the centralized
scrubbing system. Ask the scrubbing system suppliers to provide the
estimated chemical usage.
7. Air velocity through the packing: 300 to 500 ft/min. Ask what type of
packing will be used. The packing height for a vertical countercurrent
scrubber is crucial. Have the scrubbing system suppliers provide this
information. Suggest investigating more than 8 ft of packing, especially
if the scrubber is designed to a maximum of 500 ft/min air velocity through
the packing.
8. Velocity of exhaust at the stack exit should be 3000 to 3800 ft/min. State
what the stack heights will be (as high as possible to allow air to leave
the building wake and not impact fab air intakes). Request that air regulatory stack ports for sampling be installed, with proper straight-duct, nodisturbance distances before and after ports to ensure approval of local
air regulatory agency if compliance testing is required. Provide safe access
to ports (e.g., ladder, platform, and railings).
9. Install an efficient demister section between the packing section and the
submicron filter for acid mist.
10. Provide ductwork between the scrubbing system and fans.
11. Request information on construction materials for everything. Hydrogen
fluoride-resistant materials should be requested if hydrogen fluoride will
be in the airstream going into the scrubbing system.
12. References should be provided for similar scrubbing systems with similar
inlet concentrations and maximum rate of airflow.
13. A preventive maintenance schedule is requested.
14. Yearly operating cost should be provided. It would be beneficial for facility
personnel to provide actual utility costs and other costs (e.g., costs for
makeup water, electricity, wastewater treatment, chemicals used, labor).
15. Ask for the installation cost if the equipment is to be installed by the
supplier of the scrubbing system. If it is not to be done by the scrubbing
system supplier, ask the scrubbing system supplier to provide a final
inspection and startup assistance, including written signoff on the installation before startup.
16. The total purchase cost including shipping should be provided.
110
Semiconductor Industry: Wafer Fab Exhaust Management
17. What spare parts are needed? What are their costs? Which of these spare
parts should be considered critical?
18. Warranties are needed, with any issues fixed by the supplier of the scrubbing system at their cost. Examples are removal efficiencies and equipment warranties.
19. Who will provide local maintenance support 24 hours a day, 7 days a week?
20. Operations and maintenance manuals should be requested.
21. Training of onsite personnel is required.
22. What will be the time from purchase order until the equipment reaches
the facility for installation?
23. Removal efficiency (inlet or outlet) testing: how would the supplier of the
scrubbing system like to be involved? It is important to know the scrubbing
system supplier’s involvement, as the scrubbing system supplier will be
asked to fix the centralized scrubbing system if the guaranteed removal
efficiencies are not met or if there are visible stack emissions. This testing
is usually done by the facility personnel (usually by their testing contractor), but it is good to have a scrubbing system supplier approve the test
methods and contractor.
24. Specify direct drive fans or variable frequency (speed) drive fans to the
scrubbing system suppliers. State whether a backup fan is required, with
automatic switching if exhaust is lost.
25. Provide information on available utilities, mechanical requirements, and
electrical requirements to scrubbing system suppliers.
26. Provide company environmental, health, and safety requirements to scrubbing system suppliers.
27. Specify instrumentation needed (e.g., pH monitoring, pressure drop, outputs to facility’s management system) to scrubbing system suppliers.
4.7.4 CENTRALIZED SCRUBBING SYSTEM FOR OXIDES OF NITROGEN
A vertical countercurrent packed-bed scrubber design should be used. A few scrubber
stages will probably be required, with each stage having a purpose. Some items are
listed next to consider when specifying to suppliers a centralized scrubbing system
for oxides of nitrogen. It is important to note that a submicron filter for acid mist
may need to be added after the scrubber stages if acid mists (e.g., nitric acid mist)
need to be abated due to visible stack emissions attributable to acid mists.
1. Maximum rate of airflow (e.g., 10,000 ft3/min) and operational range of
rate of airflow (e.g., 6000 to 10,000 ft3/min). Ensure that it is constructed
large enough to include future process exhausts (i.e., specify some additional airflow capacity if needed).
2. Average and maximum inlet concentrations of oxides of nitrogen (including the split between nitric oxide and nitrogen dioxide) for which the
facility wants to design the scrubbing system. An example for a centralized
scrubbing system for oxides of nitrogen is: inlet concentrations of nitric
oxide will be 10 ppmv average and 50 ppmv maximum, and of nitrogen
Centralized Scrubbers
111
dioxide will be 90 ppmv average and 450 ppmv maximum. Specify
required removal efficiencies with corresponding inlet concentrations
(e.g., 99% removal efficiency of oxides of nitrogen for 500 ppmv maximum and 95% for 100 ppmv average). It is also important to specify inlet
average and maximum concentrations of hydrogen fluoride and nitric acid,
with the required removal efficiencies. Concentration of nitric acid mist
above 1 ppmv at the stack outlet most likely will appear as visible emissions.
3. No visible stack emissions are allowed, which could occur from nitrogen
dioxide (orange) or nitric acid mist (white).
4. The recirculation rate of scrubbing solution: 6 to 10 gpm/ft2 of wetted
packing surface and 10 to 30 gpm/1000 ft3/min rate of airflow. Install
proper-sized pumps to get the required recirculation rate of scrubbing
solution (include a backup pump with automatic switching if needed).
Install strainers on the recirculation system of scrubbing solution to prevent plugging of spray headers or settling in the weir design. Specify the
distribution system for the scrubbing solution: nozzles or weirs.
5. The rate of makeup water should be continuous. Since chemical addition
is used, a minimum of 1% recirculation rate of scrubbing solution can be
used for the rate of makeup water. Provide suppliers of the scrubbing
system with the chemistry of the makeup water and request that they
explain how it will affect the packing, mist eliminator, etc.
6. Scrubbing system suppliers should recommend chemicals to be fed, how
the chemicals will be fed, and from where, and should recommend the
pH range of the scrubbing solution for each stage. Ask the scrubbing
system suppliers to provide estimated chemical usage for each chemical
used.
7. Air velocity through the packing: 300 to 500 ft/min. Ask what type of
packing will be used. The packing height for a vertical countercurrent
scrubber stage is crucial. Have scrubbing system suppliers provide this
information, with support information if a maximum of 500 ft/min air
velocity through the packing is used.
8. Exhaust velocity at the stack exit should be 3000 to 3800 ft/min. State
what the stack heights will be (as high as possible to allow for air to leave
the building wake and not impact building air intakes). Request that air
regulatory stack ports for sampling be installed, with proper straight-duct,
no-disturbance distances before and after ports to ensure approval of local
air regulatory agency if compliance testing is required. Provide safe access
to ports (e.g., ladder, platform, and railings).
9. Install an efficient demister section between packing stages, and between
the final stage and fan.
10. Provide ductwork between the scrubbing system and fan.
11. Request information on construction materials for everything. Hydrogen
fluoride-resistant materials should be requested if hydrogen fluoride will
be in the airstream into the scrubbing system.
12. References should be provided for similar scrubbing systems with similar
inlet concentrations and maximum rate of airflow.
112
Semiconductor Industry: Wafer Fab Exhaust Management
13. A preventive maintenance schedule is needed.
14. The yearly operating cost should be provided. It would be beneficial for
facility personnel to provide actual utility costs and other costs (e.g., costs
of makeup water, electricity, all chemicals used, wastewater treatment,
and labor).
15. Ask for the installation cost if the equipment is to be installed by the
supplier of the scrubbing system. If it is not to be done by the scrubbing
system supplier, ask for the supplier to provide a final inspection and
startup assistance, including written signoff on the installation before
startup.
16. The total purchase cost including shipping should be provided.
17. What spare parts are needed? What are their costs? Which of these spare
parts should be considered critical?
18. Warranties are needed, with any issues fixed by the scrubbing system
supplier at their cost. Examples are removal efficiencies and equipment
warranties.
19. Who will provide local maintenance support 24 hours a day, 7 days a
week?
20. Operations and maintenance manuals are needed.
21. Training of onsite personnel is required.
22. What will be the time from purchase order until the equipment reaches
the facility for installation?
23. Removal efficiency (inlet or outlet) testing: how would the scrubbing
system supplier like to be involved? It is important to know the supplier’s
involvement, as the supplier will be asked to fix the centralized scrubbing
system if the guaranteed removal efficiencies are not met or if there are
visible stack emissions. This testing is usually done by the facility personnel (usually by their testing contractor), but it is good to have the
scrubbing system supplier approve test methods and contractor.
24. Determine if a submicron filter for acid mist after the scrubber stages is
needed for abatement.
25. Specify direct drive fans or variable frequency (speed) drive fans to the
scrubbing system suppliers. State whether a backup fan is required, with
automatic switching if exhaust is lost.
26. Provide information to scrubbing system suppliers on available utilities,
mechanical requirements, and electrical requirements.
27. Provide company environmental, health, and safety requirements to scrubbing system suppliers.
28. Specify what instrumentation is needed (e.g., pH monitoring, pressure
drop, outputs to facilities management system) to scrubbing system suppliers.
5
Centralized Equipment
to Control Volatile
Organic Compounds
5.1 INTRODUCTION
Centralized equipment to control volatile organic compounds (VOCs) is generally
installed at fabs because of local air regulatory requirements to reduce VOC emissions. Centralized equipment to control VOCs is usually preferred (rather than having
numerous point-of-use [POU] devices) as the VOC emissions from processes can
be safely exhausted and abated collectively. Fabs typically have one up to a few
centralized equipment units to control VOCs when VOC emission control is needed.
The rate of airflow of centralized equipment to control VOCs is generally between
5000 to 60,000 ft3/min. The VOC concentrations at the inlet can range between 20
and 600 parts per million by volume (ppmv) total VOCs measured as methane. The
design of centralized equipment to control VOCs needs to account for a high rate
of airflow and low VOC concentrations at the inlet, as well as the individual organic
compounds (e.g., methanol, isopropanol, xylenes). Low VOC concentrations at the
inlet have an effect on removal efficiency of VOCs for centralized equipment to
control VOCs in the following ways.
1. Many equipment technologies for performance of VOC control (removal
efficiency) depend on VOC concentration at the inlet.
2. The measurement of VOC concentrations at the outlet may be difficult if
they are very low. If VOC concentration at the inlet is low, then it may
be difficult to show high removal efficiency of VOCs. For example, if the
average inlet concentration of VOCs is 50 ppmv total VOC measured as
methane and the average outlet concentration can only be measured accurately at 5 ppmv total VOC measured as methane, then the best removal
efficiency of VOCs that can be shown is 90%. Therefore, it is suggested
that air-permit conditions reflect removal efficiency of VOCs or VOC
concentration at the outlet for determination of compliance (e.g., centralized control equipment for VOCs should have 95% removal efficiency for
VOCs or outlet concentration less than 20 ppmv total VOCs measured as
methane).
3. Some equipment technologies to control VOCs perform differently with
specific organic compounds (e.g., VOCs of low molecular weight).
4. The inlet concentration of each organic compound entering the centralized
control equipment for VOCs typically changes. This variation tends to
impact the performance of centralized control equipment.
113
114
Semiconductor Industry: Wafer Fab Exhaust Management
5. The continuous variations in total VOC concentrations at the inlet make
it more difficult to establish average total removal efficiency of VOCs,
especially when VOC concentrations at the inlet are low.
Several different centralized equipment technologies to control VOCs have been
or are being used in the semiconductor industry. The next section describes these
technologies. Each equipment technology is presented with similar text and examples
for the use by the reader (i.e., so the reader need only read the section for the
technology in which they are interested). The selection of these equipment technologies to control VOCs can depend on the following:
1. Maximum rate of airflow and operational range of the rate of airflow, in
cubic feet per minute
2. Average and maximum inlet concentrations for each organic compound
and for total VOCs
3. Removal efficiencies required for individual organic compounds and for
total VOCs
4. Possible by-products created (e.g., carbon monoxide, oxides of nitrogen,
and silicon dioxide)
5. Available utilities (electricity, natural gas, etc.)
6. Safety considerations (e.g., below a specified percentage of lower flammable limit [LFL] in inlet exhaust air to the centralized control equipment
for VOCs; preventing carbon fires)
7. Location of installation and size of VOC control equipment
8. Regulatory agency requirements, which could include air, water, and
waste. Determine if a bypass to the atmosphere is allowed for the centralized equipment to control VOCs (design of VOC control equipment
can change due to this).
9. Company preferences
10. Waste-disposal options and wastewater treatment availability
11. Available local maintenance support and spare parts
12. Purchase, shipping, installation, and operating costs
There may be other selection criteria. Any one of these selection criteria could
eliminate one possible equipment technology or supplier. Examples of selection
criteria will be presented after discussion of centralized equipment technologies to
control VOCs.
5.2 CENTRALIZED EQUIPMENT TECHNOLOGIES TO CONTROL
VOLATILE ORGANIC COMPOUNDS
Centralized equipment technologies to control VOCs can be broken into four major
categories: oxidation systems; capture-oxidation systems; capture-recovery systems;
and other systems. Some typical types with advantages and disadvantages of each
category are provided next.
Centralized Equipment to Control Volatile Organic Compounds
115
5.2.1 CENTRALIZED OXIDATION SYSTEMS
The primary oxidation systems used as centralized equipment to control VOCs in
the semiconductor industry are regenerative thermal oxidation systems and recuperative catalytic oxidation systems. These use whole-airstream oxidation (i.e., the
entire airstream is heated to a set temperature at a particular residence time). Both
of these systems are used to retain a majority of the heat to preheat incoming
airstream to the oxidation section; this is also called reuse of heat. One disadvantage
is the VOC concentrations from many semiconductor fabs are very low, so these
whole-airstream oxidation systems are not able to best utilize heat from oxidation
of organic compounds so as to reduce use of fuel. An advantage of oxidation systems
is that no liquid or solid waste is generated during normal operation.
Whole-airstream oxidation without heat-recovery technology can be used but is
very costly. This equipment technology to control VOCs is rare for centralized
equipment in the semiconductor industry. Due to this, whole-airstream oxidation
without heat-recovery technology is not discussed.
5.2.1.1 Regenerative Thermal Oxidation Systems
This centralized equipment technology to control VOCs uses two or more sections
with heat-adsorbing material (media) in it. The following example is for a twosection system of regenerative thermal oxidation for simplicity. One media section
(call it the first media section) is used to preheat the incoming airstream while the
second media section is being heated by the exiting airstream of the thermal oxidizer
(thermal oxidation is occurring between the two sections). When the second media
section is heated up to a set temperature, valves switch direction of the exhaust,
allowing the second media section now to be the inlet air preheated section and the
first media section then to be the heat-adsorbing section. Switching of the valves
happens quickly (these valves can switch every few minutes). VOC outlet concentrations can spike during the valve switching. Another design for regenerative thermal
oxidation uses a system of rotating exhaust diversion instead of valves to control
airflow of the inlet between media sections (heat adsorption and heat desorption).
Heat recovery is generally 90 to 95% for regenerative thermal oxidation systems.
The thermal oxidation temperature is generally between 1350 and 1500°F.
An advantage of thermal oxidation is that it is a known technology. Once the
thermal oxidation temperature is set and oxidation is optimized, the removal efficiency of VOCs is relatively steady at similar inlet VOC concentrations. The thermal
oxidation temperature of the regenerative thermal oxidation system can be used for
compliance with the air-permit condition for VOC removal efficiency (after testing
the removal efficiency of VOCs has been conducted at that thermal oxidation temperature to demonstrate the actual VOC removal efficiency).
EXAMPLE 5-1:
AIR-PERMIT REQUIREMENT FOR REMOVAL EFFICIENCY
OF VOCS BY CENTRALIZED REGENERATIVE THERMAL
OXIDATION SYSTEM
116
Semiconductor Industry: Wafer Fab Exhaust Management
A fab installed a regenerative thermal oxidation system for centralized equipment to
control VOCs that was operated at 1400°F. An inlet-outlet compliance test was conducted with the local air regulatory agency. The removal efficiency for VOCs was 95%
at the inlet concentration of 200 ppmv total VOCs measured as methane. Continuous
monitoring of the thermal oxidation temperature is the only air-permit condition to
show compliance with VOC removal efficiency of 95%.
Natural gas (or another fuel) is necessary for thermal oxidation (combustion) in
the regenerative thermal oxidation systems. By-products of thermal oxidation (carbon monoxide, oxides of nitrogen, and silicon dioxide) need to be evaluated and
most likely included in the air permit. Carbon monoxide emissions come from the
incomplete oxidation of both the fuel and the incoming organic compounds. It is
essential to get the accurate emissions for carbon monoxide from the regenerative
thermal oxidation system supplier, as some equipment suppliers only report the
carbon monoxide emissions from the thermal oxidation of the fuel. It is not unusual
to have the actual carbon monoxide emissions three to five times higher than carbon
monoxide emissions just from fuel oxidation itself (i.e., carbon monoxide emissions
are higher for incomplete oxidation of incoming organic compounds than incomplete
oxidation of fuel). As a general rule, carbon monoxide concentrations increase as
thermal oxidation temperature decreases with everything else staying the same.
Emissions of oxides of nitrogen come from oxidation of air and fuel at a certain
temperature, and from oxidation of any nitrogen-containing organic compounds.
Examples of nitrogen-containing organic compounds are hexamethyldisilazane
(HMDS) and n-methyl pyrrolidone (NMP). Suppliers of VOC control equipment
may not include emissions of oxides of nitrogen from nitrogen-containing organic
compounds unless it is requested. Low oxides-of-nitrogen burners may be available
from equipment suppliers; determine the impact of these burners on carbon monoxide emissions.
EXAMPLE 5-2:
EMISSIONS OF CARBON MONOXIDE AND OXIDES OF NITROGEN
FROM REGENERATIVE THERMAL OXIDATION SYSTEM.
A facility purchased a regenerative thermal oxidation system with a stated VOC removal
efficiency, carbon monoxide emission rate, and emission rate for oxides of nitrogen
from the supplier of VOC control equipment. An air permit was filed with and issued
by the local air regulatory agency with VOC removal efficiency, VOC emission rate,
carbon monoxide emission rate, and emission rate of oxides of nitrogen similar to what
was provided by the supplier of the regenerative thermal oxidation system. After
installation, an air regulatory compliance test was conducted for VOC removal efficiency, VOC emissions, carbon monoxide emissions, and oxides of nitrogen emissions.
The VOC removal efficiency and VOC emissions passed the compliance test. Carbon
monoxide emissions and oxides of nitrogen emissions were above the stated amounts
in the air permit. A meeting between facility personnel and the equipment supplier
determined that the carbon monoxide emission rate and oxides of nitrogen emission
rate provided by the equipment supplier were only for the fuel oxidation. Carbon
monoxide emissions from incomplete oxidation of organic compounds and emissions
of oxides of nitrogen from oxidation of NMP were not included in the information
Centralized Equipment to Control Volatile Organic Compounds
117
from the supplier. The air permit was refiled with the local air regulatory agency with
the higher emission rates for carbon monoxide and oxides of nitrogen. Fortunately,
these higher emission rates did not make the entire site a major source for carbon
monoxide or oxides of nitrogen.
Silicon dioxide is another possible by-product if silicon-containing organic compounds (e.g., HMDS from photocoater vapor prime operation) are emitted to the
regenerative thermal oxidation system. Silicon dioxide can plug heat-adsorbing
media of the regenerative thermal oxidation, causing an eventual shutdown of the
unit. In addition, removal of this plugged media can be very difficult. It is suggested
that processes emitting silicon-containing organic compounds be exhausted to
another centralized exhaust system (either centralized ammonia exhaust system or
centralized acid exhaust system), if the VOC emission limit on the air permit allows
for it. Tetraethylorthosilicate (TEOS) is another silicon-containing organic compound that can be used in the fab; however, the processes using TEOS are usually
exhausted to a centralized acid exhaust system. It would be beneficial to determine
where processes emitting TEOS and other silicon-containing organic compounds
are exhausting to, so as to ensure no effects on the regenerative thermal oxidation
system.
5.2.1.2 Recuperative Catalytic Oxidation Systems
This centralized equipment technology to control VOCs has a catalytic oxidation
section (containing a burner followed by a catalyst) followed by a heat exchanger,
that reuses some of the heat for preheating exhaust air containing organic compounds
before the air enters the catalytic oxidation section. The inlet airstream entering the
catalyst is usually heated to between 600 and 700°F; the catalyst allows for oxidation
of the organic compounds at a much lower temperature. The oxidation of organic
compounds in the catalyst causes the temperature to rise (exothermic reaction). Heat
recovery in the recuperative catalytic oxidation system is generally 50 to 75%. Higher
catalyst outlet temperature allows for the opportunity for more heat recovery (and
possibly using less fuel).
The inlet catalyst temperature can be measured continuously to show compliance
with air-permit conditions for VOC removal efficiency (after a regulatory compliance
test for VOC removal efficiency is performed successfully). Catalyst activity can
degrade over time due to catalyst poisoning, causing VOC removal efficiency to also
be reduced; it is important to avoid certain contaminants (e.g., silicon compounds)
that can poison the catalyst. The temperature increase across the catalyst section can
be measured to show that oxidation of organic compounds is occurring. This temperature difference would be difficult to use as a measure of catalyst performance,
as the VOC concentrations into the centralized recuperative catalytic oxidation
system usually vary greatly due to variable process VOC emissions (i.e., the temperature across the catalyst would vary with VOC concentrations at the inlet).
Therefore, a modest decrease in catalyst performance may be difficult to determine
by the temperature difference of the inlet-outlet catalyst. A periodic check of VOC
removal efficiency or VOC outlet concentration is a possible way to watch catalyst
performance; a portable VOC monitor can be used.
118
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 5-3:
AIR-PERMIT REQUIREMENT FOR REMOVAL EFFICIENCY
OF VOCS BY CENTRALIZED RECUPERATIVE CATALYTIC
OXIDATION SYSTEM.
A fab installed a recuperative catalytic oxidation system for centralized equipment to
control VOCs and was operated at 700°F at the inlet to the catalyst section. An inletoutlet compliance test was conducted with the local air regulatory agency. The site
environmental staff took portable VOC measurements at the same time to compare
with continuous VOC measurements conducted for the compliance test. This comparison was to be helpful for the future in-house use of a portable VOC monitor. The VOC
removal efficiency was 95% at an inlet concentration of 200 ppmv total VOC measured
as methane. Continuous monitoring of the inlet temperature to the catalyst section was
the only air permit condition to show compliance with the VOC removal efficiency
requirement of 95%. Environmental personnel continue to conduct a weekly inlet-outlet
test using a portable VOC monitor to watch VOC removal efficiency.
Natural gas (or another fuel) is necessary for oxidation (combustion) in the
catalytic oxidizer. By-products of oxidation (carbon monoxide, oxides of nitrogen,
and silicon dioxide) need to be evaluated and most likely included on an air permit.
Silicon dioxide generation in the burner before the catalyst section could seriously
impact the catalytic activity, so this should be avoided. Carbon monoxide emissions
are generated from the incomplete oxidation of both the fuel and the incoming
organic compounds. It is essential to get the accurate emissions for carbon monoxide
from the supplier of the recuperative catalytic oxidation system, as some suppliers
of VOC control equipment only report the carbon monoxide emissions from the
oxidation of the fuel. It is not unusual to have the actual carbon monoxide emissions
much higher than the carbon monoxide emissions just from fuel oxidation itself (i.e.,
carbon monoxide emissions can be higher for incomplete oxidation of incoming
organic compounds than incomplete oxidation of fuel).
Emissions for oxides of nitrogen come from oxidation of air and fuel at a certain
temperature and from oxidation of any nitrogen-containing organic compounds.
Examples of nitrogen-containing organic compounds are hexamethyldisilazane
(HMDS) and n-methyl pyrrolidone (NMP). Suppliers of VOC control equipment
may not include data for emissions for oxides of nitrogen from nitrogen-containing
organic compounds unless they are requested.
EXAMPLE 5-4:
EMISSIONS FROM CENTRALIZED RECUPERATIVE CATALYTIC
OXIDATION SYSTEM FOR CARBON MONOXIDE AND OXIDES
OF NITROGEN.
A facility purchased a recuperative catalytic oxidation system for centralized equipment
to control VOCs with a stated VOC removal efficiency, carbon monoxide emission rate,
and emission rate for oxides of nitrogen from the supplier of the VOC control equipment. An air permit was filed with and issued by the local air regulatory agency with
VOC removal efficiency, VOC emission rate, carbon monoxide emission rate, and
emission rate of oxides of nitrogen similar to what was provided by the supplier of the
recuperative catalytic oxidation system. After installation, an air-regulatory compliance
Centralized Equipment to Control Volatile Organic Compounds
119
test was conducted for VOC removal efficiency, VOC emissions, and emissions of
carbon monoxide and oxides of nitrogen. The VOC removal efficiency and VOC
emissions passed the compliance test. The emissions of carbon monoxide and oxides
of nitrogen were above the stated amounts in the air permit. A meeting between facility
personnel and the supplier of the VOC control equipment determined that the emission
rates of carbon monoxide and oxides of nitrogen provided by the supplier were only
for the fuel oxidation. Data for carbon monoxide emissions from incomplete oxidation of
organic compounds and oxides of nitrogen emissions from oxidation of NMP were not
included in the information from the supplier of the recuperative catalytic oxidation system.
The air permit was refiled with the local air-regulatory agency with higher emission rates
for carbon monoxide and oxides of nitrogen. Fortunately, these higher emission rates did
not make the entire site a major source for carbon monoxide or oxides of nitrogen.
Silicon dioxide is another possible by-product if silicon-containing organic compounds (e.g., HMDS from a photo-coater vapor prime operation) are emitted to the
recuperative catalytic oxidation system. Silicon dioxide can poison the catalyst,
causing loss of removal efficiency of VOCs. The catalyst is usually very expensive
to replace. Silicon dioxide can also plug the heat exchanger after the catalytic
oxidizer, causing an eventual shutdown of the unit. Cleaning of the heat exchanger
usually is very difficult; plugging could lead to replacement of the heat exchanger.
It is suggested that processes emitting silicon-containing organic compounds be
exhausted to another centralized exhaust system (either a centralized ammonia
exhaust system or a centralized acid exhaust system), if the VOC emission limit on
the air permit allows for it. TEOS is another silicon-containing organic compound
that can be used in the fab; however, the processes using TEOS are usually exhausted
to a centralized acid exhaust system. It would be beneficial to determine where
processes emitting TEOS and other silicon-containing organic compounds are
exhausting to so as to ensure no effects on the recuperative catalytic oxidation system.
5.2.2 CENTRALIZED CAPTURE-OXIDATION SYSTEMS
This centralized equipment technology for control of VOCs uses adsorption media
(e.g., zeolite, carbon, resin) to capture organic compounds and then desorbs the
organic compounds in a much lower airflow rate to an oxidation system. Captureoxidation systems are ideal for many semiconductor fabs as these systems are
designed to concentrate organic compounds from an exhaust system with a high
airflow rate and low VOC concentrations at the inlet (e.g., centralized VOC exhaust
system), and then oxidize with a much lower airflow rate with much higher VOC
concentrations. The higher VOC concentrations at the inlet to the oxidation system
will allow for better use of the heat generated when organic compounds are oxidized,
reducing the use of fuel. Another advantage of capture-oxidation systems may be
lower levels of emissions from carbon monoxide and oxides of nitrogen. Another
advantage of capture-oxidation systems is no liquid or solid waste is generated during
normal operation. A disadvantage is the capture portion of these systems usually
has difficulty with low-molecular-weight organic compounds like methanol. Using
special adsorbing media, a larger amount of adsorbing media, or putting adsorbing
units in a series can improve the capture (removal efficiency) of low-molecularweight organic compounds.
120
Semiconductor Industry: Wafer Fab Exhaust Management
The following centralized capture-oxidation systems for VOCs will be discussed,
which represents the majority of the centralized VOC capture-oxidation systems in
the semiconductor industry.
1. Rotor-concentrator, recuperative thermal oxidation system
2. Rotor-concentrator, recuperative catalytic oxidation system
3. Fluidized-bed, thermal oxidation system
Fluidized-bed, catalytic oxidation systems; fixed-bed, thermal oxidation systems; and fixed-bed, catalytic oxidation systems will not be discussed, as these
centralized equipment technologies for control of VOCs are rare in the semiconductor industry. Similar technology and issues can be applied to these VOC control
equipment from the three capture-oxidation systems that are actually discussed.
5.2.2.1 Rotor-Concentrator, Recuperative Thermal Oxidation Systems
This centralized VOC capture-oxidation system has a rotor-concentrator (a wheel
which rotates slowly) made of zeolite or other adsorbing media that captures the
organic compounds from the inlet airstream. Most of the outlet airstream from the
rotor-concentrator wheel goes to the atmosphere. A small portion of the outlet
airstream from the rotor-concentrator wheel is used as desorbing air, which is heated
by a heat exchanger and passes back through a small section of the rotor-concentrator
wheel to desorb the organic compounds. This desorption air (containing desorbed
organic compounds) enters the thermal oxidizer and is heated to generally between
1350 and 1500°F. The exit air from the thermal oxidizer passes through two heat
exchangers before being emitted to the atmosphere. One heat exchanger is used to
preheat desorption air containing organic compounds (i.e., after desorbing organic
compounds from the rotor-concentrator wheel) before entering the thermal oxidizer.
The other heat exchanger is used to heat the desorption air before it enters the rotorconcentrator wheel.
The removal efficiency of VOCs for the rotor-concentrator wheel is very important. If the rotor-concentrator wheel is not adsorbing properly, then the unadsorbed
organic compounds will be emitted to the atmosphere. The condition of the adsorption media on the rotor-concentrator wheel, VOC-laden air leakage around seals,
improper rotating wheel speed (including stopped), and inefficient desorption of
organic compounds from rotor-concentrator wheel are some of the situations that
cause lower removal efficiency of VOCs for the rotor-concentrator wheel.
Another way that the adsorption media of the rotor-concentrator wheel can lose
VOC removal efficiency is the permanent condensation of high-molecular-weight
organic compounds (e.g., heated photoresist stripper) on the rotor-concentrator
wheel, decreasing its adsorbing ability. Installation of a stationary nonregenerated
(passive) carbon bed in the airstream prior to the rotor-concentrator wheel can
remove these high-molecular-weight organic compounds (i.e., prevent these highmolecular-weight organic compounds from reaching the rotor-concentrator wheel).
The second advantage of the stationary nonregenerated carbon bed is that it can
decrease the maximum concentrations of VOCs at the inlet and increase the minimum
Centralized Equipment to Control Volatile Organic Compounds
121
VOC concentrations at the inlet (i.e., adsorbs when inlet VOC concentrations are
high and desorbs when inlet VOC concentrations are low). This is an advantage as
the adsorbing material in the rotor-concentrator wheel will most likely perform better
with less deviation in VOC concentration at the inlet have lower maximum and
higher minimum concentrations of VOCs at the inlet. This carbon bed should be
replaced when it becomes “loaded” with high-molecular-weight organic compounds
and no longer performs. It is important to consider fire protection in the stationary
nonregenerated carbon bed.
For air-permitting consideration, monitoring of the rotor-concentrator wheel speed
and temperature of desorption air should be considered parametric monitoring. Inhouse periodic monitoring of the VOC concentration in the air of the rotor-concentrator wheel outlet using a portable VOC monitor is worth considering, ensuring
there is not degradation of the rotor-concentrator wheel resulting in decreased
removal efficiency of VOCs over time. Visible inspection of the rotor-concentrator
wheel and seals should be conducted during normal preventive maintenance.
An advantage of the thermal oxidizer (oxidizes the concentrated organic compounds in the desorption airstream from the rotor-concentrator wheel) is that it is a
known technology. Once the thermal oxidation temperature is set and oxidation is
optimized, the VOC removal efficiency is relatively steady at similar VOC concentrations at the inlet. Continuous monitoring of thermal oxidation temperature can
also be used to show compliance for removal efficiency of VOCs required in the air
permit (after testing of removal efficiency of VOCs has been conducted at that
thermal oxidation temperature to demonstrate the actual VOC removal efficiency).
EXAMPLE 5-5:
REQUIREMENT FOR REMOVAL EFFICIENCY OF VOCS BY
CENTRALIZED ROTOR-CONCENTRATOR, RECUPERATIVE
THERMAL OXIDATION SYSTEM.
A fab installed a rotor-concentrator, recuperative thermal oxidation system for centralized equipment to control VOCs, and the thermal oxidizer was operated at 1400°F. An
inlet-outlet compliance test was conducted with the local air regulatory agency. The
site environmental staff took portable VOC measurements at the same time to compare
with continuous VOC measurements conducted during the compliance test. This comparison was to be helpful in future in-house use of the portable VOC monitor. The
VOC removal efficiency was 95% at the inlet concentration of 200 ppmv total VOC
measured as methane. Continuous monitoring of the oxidation temperature in the
thermal oxidizer was required on the air permit to show compliance with the requirement of 95% removal efficiency of VOCs. The air permit also required continuous
monitoring of the rotor-concentrator wheel speed and desorbed air temperature. The
environmental personnel continue to conduct an in-house weekly inlet-outlet test to
determine VOC removal efficiency for the rotor-concentrator wheel.
Natural gas (or another fuel) is necessary for oxidation (combustion) in the
thermal oxidizer of the rotor-concentrator, recuperative thermal oxidation system.
By-products of oxidation (carbon monoxide, oxides of nitrogen, and silicon dioxide)
need to be evaluated and most likely included in the air permit. Carbon monoxide
emissions come from the incomplete oxidation of both the fuel and the incoming
122
Semiconductor Industry: Wafer Fab Exhaust Management
organic compounds. It is essential to get accurate emissions data from the control
equipment supplier for carbon monoxide, as some suppliers only report the carbon
monoxide emissions from the oxidation of the fuel. It is not unusual to have total
carbon monoxide emissions three to five times higher than carbon monoxide emissions just from fuel oxidation itself (i.e., carbon monoxide emissions are higher for
incomplete oxidation of incoming organic compounds than incomplete oxidation of
fuel). As a general rule, carbon monoxide concentrations increase as oxidation
temperature decreases with everything else staying the same.
Emissions of oxides of nitrogen come from oxidation of air and fuel at a certain
temperature, and from oxidation of any nitrogen-containing organic compounds.
Examples of nitrogen-containing organic compounds are HMDS and NMP. Suppliers
of VOC control equipment may not include emission data for oxides of nitrogen
from nitrogen-containing organic compounds unless data are requested. Low oxidesof-nitrogen burners may be available from suppliers of VOC control equipment;
determine the impact of these burners on carbon monoxide emissions.
EXAMPLE 5-6:
EMISSIONS OF CARBON MONOXIDE AND OXIDES OF NITROGEN
FROM CENTRALIZED ROTOR-CONCENTRATOR,
RECUPERATIVE THERMAL OXIDATION SYSTEM.
A facility purchased a rotor-concentrator, recuperative thermal oxidation system for
centralized equipment to control VOCs, with a stated VOC removal efficiency, carbon
monoxide emission rate, and oxides of nitrogen emission rate from the equipment
supplier. An air permit was filed with and issued by the local air regulatory agency
with VOC removal efficiency, VOC emission rate, carbon monoxide emission rate, and
oxides of nitrogen emission rate similar to what were provided by the VOC control
equipment supplier. After installation, an air regulatory compliance test was conducted
for VOC removal efficiency, VOC emissions, carbon monoxide emissions, and oxides
of nitrogen emissions. The removal efficiency and emissions of VOCs passed the
compliance test. Emissions of carbon monoxide and oxides of nitrogen were above the
stated amounts in the air permit. A meeting between facility personnel and the equipment supplier determined that the emission rates for carbon monoxide and oxides of
nitrogen that were provided by the equipment supplier were only for the fuel oxidation
in the thermal oxidizer. Carbon monoxide emissions from incomplete oxidation of
organic compounds and oxides of nitrogen emissions from oxidation of NMP were not
included in the supplier’s information. The air permit was refiled with the local air
regulatory agency with higher emission rates for carbon monoxide and oxides of
nitrogen. Fortunately, these higher emission rates did not make the entire site a major
source for carbon monoxide or oxides of nitrogen.
Silicon dioxide is another possible by-product if silicon-containing organic compounds (e.g., HMDS from a photo-coater vapor prime operation) are emitted to the
rotor-concentrator, recuperative thermal oxidation system and desorbed to the thermal oxidizer. Silicon dioxide can plug the heat exchangers after the thermal oxidizer,
causing an eventual shutdown of the unit. Cleaning of the heat exchangers usually
is very difficult; plugging could lead to replacement of heat exchangers. Exhausting
the processes that emit silicon-containing organic compounds to another centralized
Centralized Equipment to Control Volatile Organic Compounds
123
exhaust system (either centralized ammonia exhaust system or centralized acid
exhaust system) is suggested, if the VOC emission limit on the air permit allows for
it. TEOS is another silicon-containing organic compound that can be used in the
fab; however, the processes using TEOS are usually exhausted to a centralized acid
exhaust system. It would be beneficial to determine where processes emitting TEOS
and other silicon-containing organic compounds are exhausting to so as to ensure
no effects on the VOC control equipment.
5.2.2.2 Rotor-Concentrator Recuperative Catalytic Oxidation Systems
This centralized VOC capture-oxidation system has a rotor-concentrator (a wheel
which rotates slowly) made of zeolite or other adsorbing media that captures the
organic compounds from the inlet airstream. Most of this outlet airstream from the
rotor-concentrator wheel goes to the atmosphere. A small portion of the outlet
airstream from the rotor-concentrator wheel is used as desorbing air, which is heated
by a heat exchanger and passes back through a small section of the rotor-concentrator
wheel to desorb the organic compounds. This desorption air (containing desorbed
organic compounds) enters the catalytic oxidizer (burner followed by a catalyst) and
is generally heated to between 600 and 700°F before it enters the catalyst. The exit
air from the catalytic oxidizer passes through a heat exchanger, which is used to
heat desorption air before it enters the rotor-concentrator wheel to remove organic
compounds. A second heat exchanger may be used to preheat desorption air containing organic compounds (i.e., after desorbing organic compounds in the rotorconcentrator wheel) before entering the catalytic oxidizer; however, the use of this
second heat exchanger depends on available heat from the catalytic oxidizer (i.e., is
there enough heat left over after the desorption air heat exchanger) to make it
worthwhile to use two heat exchangers.
The removal efficiency for VOCs of the rotor-concentrator wheel is very important. If the rotor-concentrator wheel is not adsorbing properly, then the unadsorbed
organic compounds will be emitted to the atmosphere. Condition of the adsorption
medium on the rotor-concentrator wheel, VOC-laden air leaking around seals,
improper rotating wheel speed (including stopped), and inefficient desorption of
organic compounds from the rotor-concentrator wheel are some of the situations
that cause lower removal efficiency of VOCs for the rotor-concentrator wheel.
Another way that the rotor-concentrator wheel adsorption media can lose
removal efficiency of VOCs is the permanent condensation of high-molecular-weight
organic compounds (e.g., heated photoresist stripper) on the rotor-concentrator
wheel, decreasing its adsorbing ability. Installation of a stationary nonregenerated
(passive) carbon bed in the airstream prior to the rotor-concentrator wheel can
remove high-molecular-weight organic compounds (i.e., prevent these organic compounds from reaching the rotor-concentrator wheel). The second advantage of the
stationary nonregenerated carbon bed is that it can decrease the maximum and
increase the minimum VOC concentrations at the inlet (i.e., adsorbs at the inlet when
VOC concentrations are high and desorbs when VOC concentrations are low). This
is an advantage as the adsorbing material in the rotor-concentrator wheel will most
likely perform better with less deviation in inlet VOC concentration, lower maximum
124
Semiconductor Industry: Wafer Fab Exhaust Management
inlet concentration, and higher minimum inlet VOC concentration. This carbon bed
should be replaced when it becomes “loaded” with high-molecular-weight organic
compounds and no longer performs. It is important to consider fire protection in the
stationary nonregenerated carbon bed.
For air-permitting purposes, monitoring of the rotor-concentrator wheel speed
and temperature of desorption air should be considered as parametric monitoring.
In-house periodic monitoring of the VOC concentration in the rotor-concentrator
wheel outlet air using a portable VOC monitor is worth considering, ensuring there
is not degradation of the removal efficiency of VOCs in the rotor-concentrator wheel
VOC over time. Visible inspection of the rotor-concentrator wheel and seals should
be conducted during normal preventive maintenance.
For the catalytic oxidation section, the inlet catalyst temperature can be continuously measured to also show compliance with VOC removal efficiency in the air
permit conditions (after regulatory compliance testing of the removal efficiency of
VOCs is performed successfully). Catalyst activity can degrade over time due to
catalyst poisoning, causing removal efficiency of VOCs to be reduced; it is important
to avoid contaminants (e.g., silicon compounds) that can poison the catalyst. The
temperature increase across the catalyst section can be measured to show that
oxidation of organic compounds is occurring. This temperature difference would be
difficult to use as a measure of catalyst performance, as the VOC concentrations at
the inlet usually vary to the catalyst section from the rotor-concentrator wheel, due
to variable VOC emissions from fab processes (i.e., the temperature across the
catalyst varies with variable VOC concentrations at the inlet). Therefore, a modest
decrease in catalyst performance may be difficult to determine by the difference in
catalyst temperature. A periodic check of the removal efficiency of VOCs or VOC
concentration at the outlet by using a portable VOC monitor is a possible way to
watch catalyst performance.
EXAMPLE 5-7:
AIR-PERMIT REQUIREMENT FOR REMOVAL EFFICIENCY
OF VOCS BY CENTRALIZED ROTOR-CONCENTRATOR,
RECUPERATIVE CATALYTIC OXIDATION SYSTEM.
A fab installed a rotor-concentrator, recuperative catalytic oxidation system for centralized control equipment of VOCs and was operated at a 700°F inlet air temperature
to the catalyst section. An inlet-outlet compliance test was conducted with the local
air regulatory agency. The site environmental staff took portable VOC measurements
at the same time to compare them with continuous VOC measurements conducted
during the compliance test. This comparison would prove helpful in the future in-house
use of portable VOC monitor. The removal efficiency of VOCs was 95% at the inlet
concentration of 200 ppmv total VOC measured as methane. Continuous monitoring
of the inlet temperature to the catalyst section was required in the air permit to show
compliance with the requirement of removal efficiency of VOCs of 95%. The air permit
also required continuous monitoring of the speed of the rotor-concentrator wheel and
the temperature of desorption air. The environmental personnel conducted in-house
weekly inlet-outlet testing to watch the removal efficiency for VOCs of the entire rotorconcentrator, recuperative catalytic oxidation system. If higher than expected VOC
Centralized Equipment to Control Volatile Organic Compounds
125
emissions were found, the rotor-concentrator airstream at the outlet and the catalytic
oxidizer airstream at the outlet would be measured separately for VOC concentrations
to determine the source of higher VOC emissions.
Natural gas (or another fuel) is necessary for oxidation (combustion) in the
catalytic oxidizer. By-products of oxidation (carbon monoxide, oxides of nitrogen,
and silicon dioxide) need to be evaluated and most likely included in an air permit.
Generation of silicon dioxide in the burner before the catalyst section could seriously
impact the catalyst activity, so this should be avoided. Carbon monoxide emissions
are generated from the incomplete oxidation of both the fuel and the incoming
organic compounds. It is essential to get accurate emission data for carbon monoxide
from the control equipment supplier, as some suppliers only report the carbon
monoxide emissions from the oxidation of the fuel. It is not unusual to have the
actual emissions of carbon monoxide be much higher than those just from fuel
oxidation itself (i.e., carbon monoxide emissions can be higher for incomplete
oxidation of incoming organic compounds than incomplete oxidation of fuel).
Emissions of oxides of nitrogen come from oxidation of air and fuel at a certain
temperature and from oxidation of any nitrogen-containing organic compounds.
Examples of nitrogen-containing organic compounds are HMDS and NMP. Suppliers
of equipment to control VOCs may not include data for emissions of oxides of
nitrogen from nitrogen-containing organic compounds unless data are requested.
EXAMPLE 5-8:
EMISSIONS OF CARBON MONOXIDE AND OXIDES OF NITROGEN
FROM CENTRALIZED ROTOR-CONCENTRATOR,
RECUPERATIVE CATALYTIC OXIDATION SYSTEM.
A facility purchased a rotor-concentrator, recuperative catalytic oxidation system for
centralized equipment to control VOCs with a stated VOC removal efficiency, carbon
monoxide emission rate, and emission rate of oxides of nitrogen from the equipment
supplier. An air permit was filed with and issued by the local air regulatory agency
with VOC removal efficiency, VOC emission rate, carbon monoxide emission rate, and
emission rate of oxides of nitrogen similar to what was provided by the equipment
supplier. After installation, an air-regulatory compliance test was conducted for VOC
removal efficiency, VOC emissions, carbon monoxide emissions, and emissions of
oxides of nitrogen. The VOC removal efficiency and VOC emissions passed the compliance test. Emissions for carbon monoxide and oxides of nitrogen were above the
stated amounts in the air permit. A meeting between facility personnel and the equipment supplier determined that the emission rates of carbon monoxide and oxides of
nitrogen provided by the control equipment supplier were only for the fuel oxidation.
Carbon monoxide emissions from incomplete oxidation of organic compounds and
oxides of nitrogen emissions from oxidation of NMP were not included in the specifications for VOC control equipment. The air permit was refiled with the local air
regulatory agency for higher emission rates of carbon monoxide and oxides of nitrogen.
Fortunately, these higher emission rates did not make the entire site a major source for
carbon monoxide or oxides of nitrogen.
Silicon dioxide is another possible by-product if silicon-containing organic compound (e.g., HMDS from a photo-coater vapor prime operation) are desorbed from
126
Semiconductor Industry: Wafer Fab Exhaust Management
the rotor-concentrator wheel and then emitted to the catalytic oxidizer. Silicon
dioxide can poison the catalyst, causing loss of removal efficiency of VOCs. The
catalyst is usually very expensive to replace. Silicon dioxide can also plug the heat
exchangers after the catalytic oxidizer, causing an eventual shutdown of the unit.
Cleaning of the heat exchangers usually is very difficult; plugging could lead to their
replacement. It is suggested that processes emitting silicon-containing organic compounds be exhausted to another centralized exhaust system (either a centralized
ammonia exhaust system or a centralized acid exhaust system), if the VOC emission
limit on air permit allows for it. TEOS is another silicon-containing organic compound that can be used in the fab; however, the processes using TEOS are usually
exhausted to a centralized acid exhaust system. It would be beneficial to determine
where processes emitting TEOS and other silicon-containing organic compounds
are exhausting to so as to ensure no effects on the catalytic oxidizer and heat
exchangers.
5.2.2.3 Fluidized-Bed, Thermal Oxidation Systems
This centralized VOC capture and oxidation system has a fluidized bed of adsorbing
material that captures the organic compounds from the inlet airstream. The outlet
airstream from the fluidized bed goes to the atmosphere. An example of one methodology to desorb the organic compounds from the adsorbing material is presented
here. Heated carrier gas desorbs the organic compounds from the adsorbing material
in a separate chamber. This desorption carrier gas (containing desorbed organic
compounds) enters the thermal oxidizer and is generally heated to between 1350
and 1500°F. The adsorbing material can be made of combustible material; if it is,
a safety review should be conducted for possible fires caused by certain organic
compounds adsorbed on this combustible material from the centralized VOC exhaust
system. Fire protection should be installed as necessary.
The removal efficiency of VOCs from the fluidized bed is very important. If the
adsorption material in the fluidized bed is not adsorbing properly, then the unadsorbed
organic compounds will be emitted to the atmosphere. The condition of the adsorption
material and inefficient desorption of organic compounds from adsorption material are
some of the situations that could cause lower removal efficiency for VOCs.
Another way that the adsorption material in the fluidized-bed can lose removal
efficiency of VOCs is that the permanent adsorption of high-molecular-weight
organic compounds (e.g., heated photoresist stripper) takes place on the adsorption
material (i.e., cannot be effectively desorbed), decreasing its adsorbing ability. Installation of a stationary nonregenerated (passive) carbon bed in the airstream prior to
the fluidized bed can remove high-molecular-weight organic compounds (i.e., prevent these organic compounds from reaching the fluidized bed). The second advantage of the stationary nonregenerated carbon bed is that it can decrease the maximum
and increase the minimum of the VOC concentration at the inlet (i.e., adsorbs when
inlet VOC concentrations are high and desorbs when inlet VOC concentrations are
low). This is an advantage as the adsorbing material of the fluidized bed will most
likely perform better with less deviation in VOC concentration at the inlet, and with
lower maximum and higher minimum VOC concentration at the inlet. This carbon
Centralized Equipment to Control Volatile Organic Compounds
127
bed should be replaced when it becomes “loaded” with high-molecular-weight
organic compounds and no longer performs. It is important to consider fire protection
in the stationary nonregenerated carbon bed.
For air-permitting purposes, monitoring of the fluidized bed conditions to ensure
that adsorption material is contacting VOC-laden air and that temperature of desorption carrier gas in the desorption chamber should be considered as parametric
monitoring. In-house periodic monitoring of the VOC concentration in the outlet air
of the fluidized bed using a portable VOC monitor is worth considering, ensuring
there is not degradation over time of the adsorption material in the fluidized bed or
there are no issues with the fluidized bed. Visible inspection of the fluidized bed
should be conducted during normal operation if possible.
An advantage of a thermal oxidizer (which oxidizes the carrier gas stream
containing organic compounds from the fluidized bed) is it is a known technology.
Once the thermal oxidation temperature is set and oxidation is optimized, the removal
efficiency of VOCs is relatively steady at similar VOC concentrations at the inlet.
Continuous monitoring of the thermal oxidation temperature can also be used to
show compliance with the efficiency requirement in the air permit for VOC removal
(after efficiency testing has been conducted at that thermal oxidation temperature to
demonstrate the actual removal efficiency of VOCs).
EXAMPLE 5-9:
REQUIREMENT OF REMOVAL EFFICIENCY OF VOCS FOR
CENTRALIZED FLUIDIZED-BED, THERMAL OXIDATION
SYSTEM.
A fab installed a fluidized-bed, thermal oxidation system for centralized equipment to
control VOCs; the thermal oxidizer was operated at 1400°F. An inlet-outlet compliance
test was conducted with the local air regulatory agency. The site environmental staff
took portable VOC measurements at the same time to compare with continuous VOC
measurements conducted for the compliance test. This comparison would be helpful
in the future in-house use of the portable VOC monitor. The VOC removal efficiency
was 95% at an inlet concentration of 200 ppmv of total VOCs measured as methane.
Continuous monitoring of the oxidation temperature of the thermal oxidizer was
required on the air permit to show compliance with a removal efficiency requirement
of 95% for VOCs. The air permit also required continuous measurement of the temperature of the desorption chamber carrier gas, flow of fluidized-bed adsorption material, and periodic visual inspections of the fluidized bed operation. The environmental
personnel continue to conduct an in-house weekly inlet-outlet test to determine removal
efficiency of VOCs by the fluidized bed.
Natural gas (or another fuel) is necessary for oxidation (combustion) in the
thermal oxidizer of the fluidized-bed, thermal oxidation system. By-products of
oxidation (carbon monoxide, oxides of nitrogen, and silicon dioxide) need to be
evaluated and most likely included on an air permit. Carbon monoxide emissions
come from the incomplete oxidation of both the fuel and the incoming organic
compounds. It is essential to get accurate emissions data for carbon monoxide from
the supplier of the equipment to control VOCs, as some suppliers only report the
carbon monoxide emissions from the oxidation of the fuel. It is not unusual to have
128
Semiconductor Industry: Wafer Fab Exhaust Management
the actual carbon monoxide emissions three to five times higher than carbon monoxide emissions just from fuel oxidation itself (i.e., carbon monoxide emissions are
higher for incomplete oxidation of incoming organic compounds than incomplete
oxidation of fuel). As a general rule, carbon monoxide concentrations increase as
oxidation temperature decreases, with everything else staying the same.
Emissions of oxides of nitrogen come from oxidation of air and fuel at a certain
temperature, and from oxidation of any nitrogen-containing organic compounds.
Examples of nitrogen-containing organic compounds are HMDS and NMP. Suppliers
of the equipment may not include emissions data on oxides of nitrogen from nitrogen-containing organic compounds unless data are requested. Low-oxides-of-nitrogen burners may be available from suppliers of VOC control equipment; determine
the impact of these burners on carbon monoxide emissions.
EXAMPLE 5-10:
EMISSIONS OF CARBON MONOXIDE AND OXIDES
OF NITROGEN FROM CENTRALIZED FLUIDIZED-BED,
THERMAL OXIDATION SYSTEM.
A facility purchased a fluidized-bed, thermal oxidation system with a stated VOC
removal efficiency, carbon monoxide emission rate, and emission rate of oxides of
nitrogen from the supplier of VOC control equipment. An air permit was filed with
and issued by the local air regulatory agency with VOC removal efficiency, VOC
emission rate, carbon monoxide emission rate, and emission rate of oxides of nitrogen
similar to what was provided by the equipment supplier. After installation, an airregulatory compliance test was conducted for removal efficiency of VOCs, VOC emissions, carbon monoxide emissions, and emissions of oxides of nitrogen. Results for
the VOC removal efficiency and VOC emissions passed the compliance test. Emissions
of carbon monoxide and oxides of nitrogen were above the stated amounts in the air
permit. A meeting between facility personnel and the supplier of the equipment to
control VOCs determined that the emission rates of carbon monoxide and oxides of
nitrogen provided by the supplier were only for the fuel oxidation in the thermal
oxidizer. Data on the carbon monoxide emissions from incomplete oxidation of organic
compounds and emissions of oxides of nitrogen from oxidation of NMP were not
included in the information from the equipment supplier. The air permit was refiled
with the local air regulatory agency with higher emission rates for carbon monoxide
and oxides of nitrogen. Fortunately, these higher emission rates did not make the entire
site a major source for carbon monoxide or oxides of nitrogen.
Silicon dioxide is another possible by-product if silicon-containing organic compounds (e.g., HMDS from a photo-coater vapor prime operation) are emitted to the
fluidized-bed, thermal oxidation system and desorbed to the thermal oxidizer. Silicon
dioxide may deposit in the thermal oxidizer, causing an eventual shutdown of the
unit. It is suggested that the processes emitting silicon-containing organic compounds
be exhausted to another centralized exhaust system (either centralized ammonia
exhaust system or centralized acid exhaust system), if the VOC emission limit on
the air permit allows for it. TEOS is another silicon-containing organic compound
that can be used in the fab; however, the processes using TEOS are usually exhausted
to the centralized acid exhaust system. It would be beneficial to determine where
Centralized Equipment to Control Volatile Organic Compounds
129
the processes emitting TEOS and other silicon-containing organic compounds are
exhausting to so as to ensure no effects on the centralized equipment for VOC control.
5.2.3 CENTRALIZED CAPTURE AND RECOVERY SYSTEMS
Centralized capture and recovery systems utilize an adsorption material for capturing
organic compounds in the inlet airstream and then desorb the adsorption material
typically with a hot carrier gas or steam; the organic compounds are then condensed,
collected, and can be disposed of or reused (note: the reuse of these liquid organic
compounds for semiconductor manufacturing processes is not common; these liquid
organic compounds can be sent off-site for incineration [heat recovery], for example).
The capture portion of this VOC control equipment may have difficulty in removing
low-molecular-weight organic compounds. One advantage of capture and recovery
systems is the insignificant emissions of carbon monoxide and oxides of nitrogen.
One potential disadvantage is the generation of liquid streams during the recovery
of organic compounds that needs to be dealt with. This technology of VOC control
equipment is rarely used for centralized control equipment for VOCs in the semiconductor industry. Some examples of centralized capture and recovery systems are
briefly described next.
5.2.3.1 Systems of Fixed-Bed Carbon with Steam Regeneration
This centralized equipment to control VOCs uses fixed-bed carbon vessels to adsorb
the organic compounds from incoming airstream. The carbon beds are regenerated
with steam, and the VOC-laden steam is condensed into a liquid. There is usually
one carbon bed in the regeneration phase, while the other carbon beds are in
adsorption phase. This liquid stream (consisting mostly of organic compounds and
water) is usually sent to one or more distillation columns to separate into two
different liquid streams: water with organic compound contamination and organic
compounds with water contamination. The water with organic compound contamination may be sent to wastewater treatment of organic compounds or discharged
without organic-compound treatment off-site (if this wastewater discharge is allowed
to be sent off-site without treatment). The organic compounds with water contamination may be sent off-site for incineration (the water content will determine whether
it can be used for heat recovery; it will probably be more expensive due to the water
content). The organic compounds adsorbed in the carbon vessels should be reviewed
for possible carbon fires.
5.2.3.2 Fixed-Bed Systems and Recovery of Liquid Organic Compounds
This centralized equipment to control VOCs adsorbs organic compounds from the
incoming airstream in a fixed bed filled with adsorbent material; the airstream can
be desorbed by heated carrier gas (if steam is used, see the previous section). This
VOC-laden carrier gas is condensed in a heat exchanger (condenser), and the liquid
organic compounds can be sent off-site for incineration (heat recovery). If the
adsorbent material is combustible, fire safety should be reviewed.
130
Semiconductor Industry: Wafer Fab Exhaust Management
5.2.3.3 Fluidized-Bed Systems and Recovery of Liquid
Organic Compounds
This centralized equipment to control VOCs adsorbs organic compounds from the
incoming airstream in a fluidized bed using adsorbent material; the airstream can
be desorbed by heated carrier gas or steam. The VOC-laden carrier gas or steam is
condensed in a heat exchanger (condenser), and the liquid organic compounds can
be sent off-site for incineration (heat recovery). Separation of water and organic
compounds may be required if steam is used. If the adsorbent material is combustible,
fire safety should be reviewed.
5.2.4 OTHER CENTRALIZED EQUIPMENT SYSTEMS FOR CONTROL
OF VOLATILE ORGANIC COMPOUNDS
Fixed-bed carbon vessels with no regeneration systems can be used for low VOC
emissions from the fab. The carbon will need to be replaced once the organic
compounds break through the carbon (i.e., carbon becomes loaded with organic
compounds). The VOC-laden carbon may be able to be regenerated and reused
(e.g., carbon vessels sent to an off-site facility for carbon regeneration and subsequent reuse). Sometimes fixed-bed carbon vessels are used as a short-term backup
when the primary centralized equipment to control VOCs is not operating due to
equipment malfunction or lack of preventive maintenance. Each organic compound
adsorbed into the carbon vessel needs to be reviewed for the possibility of generating
a fire with the type of carbon used.
Centralized equipment technology to control VOCs that reuses heat to generate
electricity (turbine) or generate steam or hot water (boiler) has been discussed in
the semiconductor industry. These types of VOC control equipment may be investigated more in the future as the semiconductor industry strives to reduce energy.
A biofilter system is a type of centralized equipment technology that is rare in
the semiconductor industry. This technology utilizes bio-organisms to remove and
destroy organic compounds. This equipment to control VOCs can be used as a system
using centralized whole-airstream equipment or in conjunction with a centralized
capture-desorption system.
5.3 EXAMPLES OF SELECTION CRITERIA FOR CENTRALIZED
EQUIPMENT TO CONTROL VOLATILE ORGANIC
COMPOUNDS
As presented earlier, there are criteria that can be used for selecting centralized
equipment to control VOCs. Some examples with explanation are provided next.
1. Maximum rate of airflow and operational range of airflow rate. The rate
of airflow is very important for the physical design of equipment to control
VOCs. It can also impact operating cost (i.e., the more the air, the more
the fuel to heat it). Setting the right capacity of the rate of airflow is
crucial. It may be prudent to put in a 10 to 20% safety factor in addition
Centralized Equipment to Control Volatile Organic Compounds
131
to maximum rate of airflow determined from fab processes that emit
organic compounds. It is important to note that the purchase price of
equipment that has a larger rate of airflow is not linear (e.g., equipment
to control VOCs at 30,000 ft3/min is not 50% more expensive than control
equipment at 20,000 ft3/min for similar VOC concentrations at the inlet;
maybe a purchase price of 10 to 20% more, for example). The operation
of the equipment selected to control VOCs should be evaluated before it
is purchased to make sure it can be operated at a lower or much lower
rate of airflow.
EXAMPLE 5-11:
CENTRALIZED EQUIPMENT TO CONTROL VOCS
IS UNDERDESIGNED FOR AIRFLOW RATE FOR A NEW FAB.
A wafer fab was being built and the VOC-emitting processes had been initially determined by production personnel. The maximum anticipated airflow rate in the centralized exhaust system for VOC-emitting processes was 20,000 ft3/min. The centralized
equipment selected to control VOCs was a regenerative thermal oxidation system. Fab
personnel decided to purchase a regenerative thermal oxidation system with a rated
capacity of 20,000 ft3/min (even though a 30,000-ft3/min unit was not much more).
When the fab building was built, the VOC control equipment arrived and was installed.
Process equipment selection and purchase were changing while this was occurring. It
was now determined that the total airflow rate for VOC-emitting processes had grown
to 30,000 ft3/min; also, it was determined that additional equipment for VOC-emitting
processes could be installed in a year to further increase wafer production! A second
order was placed for VOC control equipment at 20,000 ft3/min (for a total equipment
capacity of 40,000 ft3/min) and would delay the startup of some of the VOC-emitting
processes (i.e., a fab ramp to full capacity was delayed). Installation of this second
regenerative thermal oxidation system was difficult and very costly.
EXAMPLE 5-12:
CENTRALIZED EQUIPMENT TO CONTROL VOCS IS
UNDERDESIGNED FOR AIRFLOW RATE FOR AN EXISTING
FAB AND FAB EXPANSION.
An existing fab building was required to install centralized equipment to control VOCs
by the local air regulatory agency. The fab decided to order a rotor-concentrator, thermal
oxidation system for 20,000 ft3/min for its current operations. This control equipment
was installed and began operation at maximum capacity of airflow rate. Company
management notified the fab personnel that it needed to increase its wafer throughput
by 50% (note: this had been decided 6 months before as long-term company strategy
but was not communicated to fab personnel; company management that approved the
purchase of the newly installed centralized equipment were “not in the loop”). A new
rotor-concentrator wheel was purchased and major equipment modifications had to be
made on the newly installed rotor-concentrator, thermal oxidation system that totaled
hundreds of thousands of U.S. dollars. Due to local air regulations, a fab shutdown
was required to do these modifications and had to be done before the fab wafer project
to increase throughput could be implemented. This loss of wafer production during fab
shutdown was a much higher cost than equipment modifications.
132
Semiconductor Industry: Wafer Fab Exhaust Management
2. Average and maximum inlet concentrations for each organic compound
and total VOC. Inlet concentrations for each organic compound need to
be estimated or measured to select the centralized equipment technology
required to control VOCs, and to design it properly. Average and maximum
inlet concentrations for each organic compound and for total VOCs are
needed. These inlet concentrations of VOCs can be used to estimate VOC
removal efficiencies and determine if there will be a safety concern.
EXAMPLE 5-13:
SELECTION OF CENTRALIZED EQUIPMENT TO CONTROL VOCS
BASED ONLY ON TOTAL VOC CONCENTRATIONS AT INLET.
An existing fab needed centralized equipment to control VOCs for a 30,000 ft3/min
airstream containing an average concentration of 200 ppmv total VOCs at the inlet
measured as methane, a maximum inlet total concentration of 600 ppmv total VOCs
measured as methane, and a total removal efficiency of 96% for VOCs. No individual
organic compound concentrations were specified. A rotor-concentrator, thermal oxidation system was purchased and installed. VOC testing of this control equipment showed
an average total VOC removal efficiency of 92%. Review of the individual organic
compounds provided an inlet concentration range of 20 to 60 ppmv of methanol. The
rotor-concentrator could only remove approximately 50% of the methanol. A regenerative thermal oxidation system (replacing rotor-concentrator, thermal oxidation system)
was installed to be able to achieve 96% total VOC removal efficiency.
EXAMPLE 5-14:
SPECIFY CENTRALIZED VOC CONTROL EQUIPMENT
FOR NEW FAB.
A new fab to be built needed centralized equipment to control VOCs for a 30,000
ft3/min airstream containing an average VOC concentration of 100 ppmv total VOCs
at the inlet measured as methane, a maximum VOC concentration of 300 ppmv total
VOCs at the inlet measured as methane, and a total VOC removal efficiency of 95%.
Inlet concentrations (average and maximum) of individual organic compounds were
specified based on projected VOC-emitting processes. A fluidized-bed, thermal oxidation system was purchased and installed. The fab process equipment was installed and
operation began. After 2 months of fab operation, a fire started in the fluidized bed
(which used combustible adsorbent material) and permanently damaged the VOC
control equipment. An investigation determined that ketone compounds and similar
organic compounds were being used (emitted) in the fab; these organic compounds
were not listed as being used in the VOC-emitting processes when the centralized
control equipment was specified. A rotor-concentrator, thermal oxidation system was
installed to replace the fluidized-bed, thermal oxidation system.
3. VOC removal efficiency required.
The total VOC removal efficiency should be specified. A specific VOC
removal efficiency can be required by the local air regulatory agency so
as to meet VOC emission limits or other regulatory requirements. A few
of the common organic compounds used in the semiconductor industry
Centralized Equipment to Control Volatile Organic Compounds
133
may be regulated separately or as part of another category (e.g., hazardous
air pollutants). It may be important to specify removal efficiencies of
individual organic compounds to the equipment supplier.
EXAMPLE 5-15:
ONE ORGANIC COMPOUND NEEDING HIGHER REMOVAL
EFFICIENCY.
An existing fab was required to install centralized equipment to control VOCs due to
local air regulations that had been recently changed. The VOC control equipment had
to reduce total VOC emissions by 90% to meet the total emission limit for VOCs
specified in the local air regulations. Air-dispersion modeling was done on the average
and maximum emission rates of each individual organic compound to compare with
local regulatory agency ambient air guidelines. It was determined that one of the organic
compounds had to be abated to 95% to meet the local regulatory agency ambient air
guideline for that organic compound. Fab personnel specified to equipment suppliers
that a total VOC removal efficiency of 95% and an individual organic compound
removal efficiency of 98% was necessary (for the organic compound to meet the
ambient air guideline). The higher VOC removal efficiencies were specified to ensure
that the local air regulation total VOC emission limit and the ambient air guideline for
the specific organic compound were met. Centralized equipment technology for VOC
control was selected and installed.
4. Possible by-products created (e.g., carbon monoxide, oxides of nitrogen,
and silicon dioxide).
Carbon monoxide emissions and oxides of nitrogen emissions are usually
regulated by the local air regulatory agency to some extent. Silicon dioxide
as a particulate can also be regulated. In addition, silicon dioxide created
in certain centralized control equipment for VOCs can cause plugging and
downtime; silicon dioxide can poison the catalyst of the VOC control
equipment technology and can be determined by limitations on these byproduct emissions. Thermal oxidizers and catalytic oxidizers need to be
reviewed for emissions of carbon monoxide, oxides of nitrogen, and
silicon dioxide. Carbon monoxide is generated by incomplete oxidation
of fuel and incomplete oxidation of incoming organic compounds. Oxides
of nitrogen are generated by oxidation of fuel and oxidation of nitrogencontaining organic compounds. Silicon dioxide is generated by oxidation
of silicon-containing organic compounds (note: generation of silicon dioxide can be minimized by minimizing silicon-bearing organic compounds
from entering the centralized VOC control equipment).
EXAMPLE 5-16:
LARGE MANUFACTURING SITE WITH EXISTING HIGH
EMISSIONS OF CARBON MONOXIDE AND OXIDES OF NITROGEN.
A large manufacturing site was trying to stay below emission limits for a major source
of carbon monoxide and oxides of nitrogen. The addition of any type of oxidation
134
Semiconductor Industry: Wafer Fab Exhaust Management
system for centralized VOC control equipment would cause emissions to exceed major
thresholds source for carbon monoxide and oxides of nitrogen. Fab personnel selected
a fluidized-bed system for recovery of liquid organic compounds for the centralized
VOC control equipment. A fire safety system was installed to detect any fires and
protect the VOC control equipment.
EXAMPLE 5-17:
FAB SITE WITH LIMITATIONS ON EMISSIONS OF OXIDES
OF NITROGEN.
An existing fab had to expand the current capacity of its centralized VOC control
equipment. The fab site needed to be careful not to increase emissions of oxides of
nitrogen enough to exceed the emission limit for them as a major source. The emissions
of carbon monoxide were not so much of a concern. The fab selected a rotor-concentrator, thermal oxidation system, with a low-oxides-of-nitrogen burner, for centralized
equipment to control VOCs.
5. Available utilities (electricity, natural gas, etc.).
It is important to ensure the proper utilities are available to operate the
centralized equipment to control VOCs. Installation of a natural-gas-fired
thermal oxidizer or catalytic oxidizer when natural gas is not readily
available can be an issue! Design and operation of a thermal oxidizer or
catalytic oxidizer with other fuel sources such as propane, No. 2 fuel oil,
etc. need to be completely evaluated. Specifications of available electricity
need to be communicated to the equipment supplier. If recovery of organic
compounds is needed, then the following operations may need to be
accomplished that require utilities: heating of carrier gas to desorb organic
compounds from adsorbing material and cooling of hot carrier gas in a
condenser; or generation of steam for regeneration of adsorbing material,
subsequent cooling in a condenser and subsequent separation of water
and organic compounds.
6. Safety considerations (e.g., LFL in inlet exhaust air, carbon fires).
It is important to ensure that the inlet VOC concentrations to the centralized VOC control equipment do not reach the LFL, especially into a
thermal oxidizer or catalytic oxidizer. It is suggested that an LFL detection
system be installed on the inlet to the VOC control equipment, to allow
for safe shutdown of the equipment if a predetermined percentage of LFL
is reached. Many LFL detection systems on the inlet airstream to centralized equipment to control VOCs are set to 25 or 50% of the LFL for
shutdown of VOC control equipment. In some cases a lower percentage
of LFL (e.g., 10%) is also used, which notifies facility personnel of a
possible abnormal condition (i.e., higher than expected maximum VOC
concentration at the inlet), but does not shut down VOC control equipment
until a higher percentage of LFL is reached. A selection of the type of
control equipment technology could depend on the inlet concentrations
of VOCs vs. LFL concentration.
Centralized Equipment to Control Volatile Organic Compounds
135
An example of this could be that a regenerative thermal oxidation
system is selected over a rotor-concentrator, thermal oxidation system,
due to very high inlet concentrations of VOCs (i.e., close to 10% of the
LFL at maximum VOC concentrations at the inlet). Concentrating the
organic compounds using a rotor-concentrator wheel would increase the
VOC concentrations to possibly unsafe levels before this concentrated
airstream flows into the thermal oxidizer.
Fires in certain combustible VOC-adsorbing material (e.g., carbon) can
start without an ignition source, usually when there is no airflow through
combustible VOC-adsorbing material containing certain organic compounds (e.g., methyl ethyl ketone). Detailed safety design should be
conducted for certain equipment to control VOCs so as to minimize the
possibility of a fire and to have appropriate fire protection.
7. Location of installation and size of centralized equipment to control
VOCs.
The size (e.g., weight and footprint) of the centralized equipment to
control VOCs and the location where it will be installed should be evaluated, as these could be selection criteria for the type of VOC control
equipment.
8. Regulatory agency requirements, which could include those for air, water,
and waste. Determine if a bypass to the atmosphere of the centralized
equipment to control VOCs is allowed (design of control equipment can
change due to this). All regulatory agency requirements should be
reviewed for impact on centralized VOC control equipment to be selected.
Some local air regulatory agencies may have determined what the best
available technology is for abating VOC to a stated removal efficiency
(e.g., a thermal oxidation system may be required as best-available control
equipment technology; fab personnel may then select a regenerative thermal oxidation system). It is important to note that many local air regulatory
agencies have performance requirements rather than a determined technology (e.g., a performance requirement for centralized equipment to
control VOCs could be 95% removal efficiency or less than 20 ppmv total
VOC concentration at the outlet measured as methane).
Local air regulatory agencies may not allow centralized VOC control
equipment to bypass to the atmosphere for any reason. Some reasons for
bypass are scheduled preventive maintenance and VOC control equipment
failure due to malfunction. In some cases the downtime could be days if
the spare parts are not readily available and if the malfunction occurs
during a weekend or holiday time. Sometimes local maintenance support
is also not available. A selection of the control equipment technology may
be based on whether it has built-in redundancy (e.g., a spare carbon vessel)
or the VOC control equipment with the highest uptime and soonest to
repair. Backup control equipment may be used in locations where a bypass
is not allowed by the local air regulatory agency. Backup fan capacity is
usually installed so that airflow to the fab can be constantly operated.
136
Semiconductor Industry: Wafer Fab Exhaust Management
EXAMPLE 5-18:
BYPASSING OF CENTRALIZED EQUIPMENT TO CONTROL VOCS
NOT ALLOWED BY LOCAL AIR REGULATORY AGENCY.
A fab being constructed was required to install centralized VOC control equipment.
Review of the air regulations and discussions with personnel of the local air regulatory
agency showed that no bypassing of the VOC-laden airstream (inlet airstream to
centralized VOC control equipment) to the atmosphere from the fab was allowed. Two
carbon vessels (with no carbon regeneration system) were installed into which the
VOC-laden airstream could be bypassed when the primary centralized VOC control
equipment was not operating. The organic compounds were reviewed for possible
carbon fires, as there would be long time periods when there was no air flowing through
the carbon vessels; carbon fires appeared to be unlikely due to current organic compounds used. However, a fire protection system was installed in the carbon vessels in
case there was a fire or if there was a change in organic compounds emitted, which
could increase the possibility of a fire. Other operation methods were developed in
case organic compounds at high risk for fire began to be used.
Capture-recovery equipment technology to control VOCs usually generates a liquid stream containing organic compounds, which could be considered a hazardous waste. The storage, transportation, and final fate of
this liquid stream are most likely regulated.
A wastewater stream containing organic compounds can be generated
when using a system of fixed-bed carbon or fluidized bed with steam
regeneration (as well as a liquid stream containing primarily organic
compounds discussed earlier). This wastewater stream may need treatment
for organic compounds before discharge off-site. Overseeing wastewater
discharges from manufacturing sites by the regulatory agency should be
contacted about wastewater discharge requirements.
9. Company preferences. Company personnel do establish what they feel is
the best centralized equipment technology to control VOCs for their company’s fabs. In many cases this can be beneficial as the fabs may have
similar individual organic compounds and total VOC concentrations in
the centralized VOC exhaust system. However, there may be situations
where different centralized equipment technologies to control VOCs may
be prudent. Lessons learned from the centralized equipment used to control VOCs in the existing fab should be utilized for the next fab’s centralized equipment purchase, installation, and operation to control VOCs.
10. Waste disposal options and wastewater treatment availability. These two
items need to be evaluated to determine if some of the centralized equipment technologies to control VOCs can be used. If liquid waste generation
cannot be stored, transported, and/or disposed of in a particular country, then
an organic compound recovery-type equipment technology should not be
considered. If VOC-containing wastewater cannot be discharged outside of
the fab site or cannot be treated on site, then maybe VOC control equipment
using adsorption material with steam regeneration should be eliminated from
consideration. Cost for waste disposal or wastewater treatment may be very
Centralized Equipment to Control Volatile Organic Compounds
137
high, eliminating certain equipment technologies to control VOCs from
consideration.
11. Available local maintenance support and replacement parts. Replacement
parts should be evaluated for each type of centralized equipment technology to control VOCs. Replacement parts not being available when needed
could possibly cause significant centralized equipment downtime and
maybe lost production. An example of an expensive replacement part is
replacing the catalyst in a catalytic oxidizer. The possibility of the catalyst
being poisoned (e.g., from silicon compounds) or damaged (e.g., due to
high temperature excursion) should be discussed with the supplier of the
VOC control equipment and evaluated based on inlet organic compounds,
actual concentrations, temperature monitoring, safety interlocks, etc.
Another expensive replacement part is a rotor-concentrator wheel.
Replacement of fluidized-bed adsorption material and fixed-bed adsorption material should be evaluated also.
Available local maintenance support and available spare parts should
be evaluated for each equipment supplier once the equipment technology
to control VOCs is selected and proposals are solicited. This is even more
crucial if bypassing the centralized VOC control equipment is not allowed
by the local air regulatory agency and there is no equipment backup.
Therefore, downtime of centralized VOC control equipment can translate
to a loss of production.
EXAMPLE 5-19:
CRITICAL SPARE PART NOT AVAILABLE.
On Friday afternoon the centralized VOC control equipment went down due to an
electronic board failure. Local maintenance personnel of the VOC control equipment
were called in and determined that a new electronic board was required. A spare
electronic board was not stocked locally and the office of the electronic board supplier
was closed for the weekend. The air permit allowed for a maximum 24-hour bypass.
The fab was shut down on Saturday after 24 hours. On Monday morning, the electronic
board supplier was called and requested to send the electronic board; the electronic
board was not available at the electronic board manufacturer!
12. Purchase, shipping, installation, and operating costs. Purchase, shipping,
installation, and operating costs should be detailed for evaluation of each
centralized equipment technology to control VOCs. It is recommended
that the purchase price not be the only item evaluated, as the long-term
operating costs could significantly impact the total cost to the company.
Lost wafer production may have to be factored in if a bypass of centralized
VOC control equipment is not allowed. Ask the supplier of the VOC
control equipment to include shipping and installation costs so they can
be evaluated also (note: fab personnel may hire their own local contractors
to install VOC control equipment, but comparing installation costs is
worthwhile). If fab personnel decide to have someone else install the VOC
control equipment instead of the supplier, it is important to have the
138
Semiconductor Industry: Wafer Fab Exhaust Management
supplier review the installation and state in writing that the installation is
correct and safe.
5.4 LOCATION OF FANS
The location of one or more fans can determine if there is a possibility of fugitive
VOC to the outside air. Fans of the centralized VOC exhaust system that exhaust
air to the centralized equipment to control VOCs will have positive static pressure
(i.e., positive pressure to the outside air) for the exhaust air containing organic
compounds that have not been abated yet, possibly allowing for organic compounds
in the air to leak out if there are any openings. If the exhaust fans of the centralized
VOC control system are located past the centralized VOC control equipment, the
VOC control equipment will be on the suction side of the fan, and any openings
should cause air to leak in, rather than organic compounds in air to leak out. Another
configuration is fans before and after the centralized VOC control equipment. In this
case, check for positive static pressure areas of the exhaust in the duct to predict
where organic compounds in air might leak out.
Some centralized equipment to control VOCs may have additional exhaust ducts
with positive static pressure, which should also be evaluated for possible leaks of
VOCs to outside air. Examples of these are desorption exhaust ducts from rotorconcentrator wheels, fluidized beds, and fixed beds; and the steam exhaust ducts
after desorbing fixed-bed carbon beds (or other adsorption systems).
Hand-held VOC monitoring can help identify VOC leaks. Organic compound
odors in the area surrounding the centralized VOC control equipment are another
indication of VOC leaks.
5.5 TESTING OF CENTRALIZED EQUIPMENT TO CONTROL
VOLATILE ORGANIC COMPOUNDS
Testing and analytical methods should be evaluated before conducting any measurement of rate of airflow, total VOCs, individual organic compounds, carbon monoxide,
and oxides of nitrogen. Testing can be very expensive and may generate useless data
if not planned out properly. If the testing is done for an air regulatory agency, make
sure the air regulatory agency approves the test protocol before the testing is done.
5.6 SPECIFICATION TO SUPPLIER OF CENTRALIZED EQUIPMENT
TO CONTROL VOLATILE ORGANIC COMPOUNDS
Provide detailed information to suppliers of centralized equipment to control VOCs
regarding what you want, and ask for specific information to be submitted in the
request for proposal by these suppliers. Some items to consider are listed next.
1. Specify maximum rate of airflow (e.g., 30,000 ft3/min) and operational
range of the rate of airflow (e.g., 18,000 to 30,000 ft3/min). Ensure that
Centralized Equipment to Control Volatile Organic Compounds
139
it is large enough and include future process exhausts (i.e., specify some
additional capacity of the rate of airflow if needed).
2. Average and maximum inlet concentrations of individual organic compounds and total VOCs for which the facility wants to design the centralized control equipment. Specify the removal efficiency required with
corresponding inlet concentration (e.g., 95% removal efficiency at 200
ppmv total VOCs measured as methane at the inlet and 98% removal
efficiency at 500 ppmv total VOCs measured as methane at the inlet).
Also removal efficiency of individual organic compounds should be stated
if necessary (e.g., removal efficiencies of 90% at 50 ppmv methanol at
the inlet and 95% at 100 ppmv methanol at the inlet). The outlet concentrations are purposely the same for both inlet total VOC concentrations
and the same for both methanol inlet concentrations; this is a possible
technical approach to use to ensure maximum emissions of VOCs at the
outlet. The inlet mass rates can be listed instead of inlet concentrations
with corresponding required VOC removal efficiencies.
3. Communicate to the suppliers of the centralized equipment to control
VOCs about any nitrogen-containing organic compounds and siliconcontaining organic compounds that could cause generation of oxides of
nitrogen and silicon dioxide (as oxidation by-products), respectively. This
requirement is mainly for thermal oxidizers and catalytic oxidizers.
4. Ask for emissions rates of carbon monoxide, oxides of nitrogen, and
silicon dioxide (can be considered particulate). State that these emissions
rates should include fuel burning and organic compounds oxidized. This
requirement is mainly for thermal oxidizers and catalytic oxidizers.
5. An exit velocity of exhaust of 3000 to 3800 ft/min is required at the stack.
State what the stack heights should be (as high as possible to allow for
air to leave the building wake and not impact fab air intakes). Request air
regulatory stack ports for sampling be installed, with proper straight-duct,
no-disturbance distances before and after ports to ensure local air regulatory agency approval if compliance testing will ever be required. Provide
safe access to ports (e.g., ladder, platform, and railings).
6. Specify direct drive or variable frequency (speed) drive fans to suppliers
of the equipment to control VOCs. State whether a backup fan is required,
with automatic switching if the exhaust is lost. Request whether the fan
should be installed before or after the centralized control equipment.
7. Provide information on available utilities such as natural gas, electricity,
etc. to suppliers of VOC control equipment.
8. Provide company mechanical requirements and electrical requirements to
suppliers of VOC control equipment.
9. Provide company environmental, health, and safety requirements to suppliers of VOC control equipment.
10. List all instrumentation needed from suppliers of equipment to control
VOCs (e.g., pressure drop, outputs to facilities management system, etc.).
This may depend on the control equipment technology selected.
140
Semiconductor Industry: Wafer Fab Exhaust Management
11. Ductwork between the VOC control equipment and fans should be provided by equipment suppliers.
12. Request information on construction materials for everything critical,
including all of the surfaces exposed to the airstream containing organic
compounds.
13. Note references for similar centralized equipment to control VOCs that
was already installed and operating with similar inlet concentrations (total
VOC and individual organic compounds of interest) and maximum rate
of airflow.
14. A preventive maintenance schedule is needed.
15. A yearly operating cost should be provided. It would be beneficial for
facility personnel to provide actual utilities costs and other costs (e.g.,
costs of natural gas, electricity, labor, waste disposal costs, etc.) to suppliers of VOC control equipment, so as to have a good comparison
between all of the VOC control equipment suppliers.
16. Request installation cost if it is to be done by the supplier of the VOC
control equipment. If not done by the equipment supplier, ask for the
supplier to provide a final inspection and startup assistance, including
written signoff on installation before the startup.
17. The total purchase cost including shipping should be provided.
18. Warranties are needed, with any issues fixed by the equipment supplier
at the supplier’s cost. VOC removal efficiencies are one guarantee that
should be requested. Uptime should be another.
19. Who will provide local maintenance support 24 hours a day, 7 days a
week?
20. Operations and maintenance manuals are needed.
21. Training of on-site personnel is required.
22. What will be the time from the purchase order until it reaches the facility
for installation?
23. What spare parts are needed? What are their costs? Which of these spare
parts should be considered critical?
24. List any waste or wastewater that would be generated from the centralized
VOC control equipment.
25. Removal efficiency (inlet and outlet) testing: how would VOC control
equipment supplier like to be involved? It is important to know the equipment supplier’s involvement, as the supplier will be asked to fix their VOC
control equipment if the removal efficiencies guaranteed for VOCs are
not met. This testing is usually coordinated by the facility personnel
(usually conducted by their testing contractor), but it is good to have the
equipment supplier’s approval of test methods and testing contractor.
6
Emergency Releases
6.1 INTRODUCTION
Gas storage vessels have the possibility of releasing their stored gas unexpectedly
(called emergency release, accidental release, or catastrophic release) due to operator
error, regulator damage, valve malfunction, fire, or other scenarios. Possible emergency release scenarios for certain stored gases should be reviewed for impact on
the surrounding area and for regulatory requirements. Emergency releases of stored
gases should be reviewed to determine if the concentration of the gas into the
atmosphere is above any applicable requirements (e.g., code, company). One requirement that may apply is one-half the level immediately dangerous to life and health
(IDLH) at the stack discharge, which will be used in this chapter for examples of
engineering solutions using emergency release.
Examples of stored gases to review are ammonia, arsine, chlorine, chlorine
trifluoride, diborane, dichlorosilane, hydrogen chloride, phosphine, and silane. Silane
is reviewed primarily for safety purposes as it is a pyrophoric gas and not usually
reviewed for concentration of stack discharge. Hydrogen as a flammable gas may
also need to be considered. It is important that the storage of all pressurized gases
be reviewed for environmental, health, and safety requirements.
Venting during the changing of gas storage vessels should be reviewed for need
for abatement. The chapters on point-of-use (POU) devices and centralized scrubbers
provide some examples of abatement for line venting that occurs as part of the
change-out of gas storage vessels.
6.2 CONTROLLING RELEASES FROM GAS CYLINDERS
OR OTHER VESSELS
Gases typically are stored in cylinders of varying sizes and tube trailers. Cylinders
for toxic and hazardous gases are usually stored in exhausted gas cabinets. Exhausted
containment can be used on tube trailers on possible emergency release points;
sometimes tube trailers are in exhausted buildings. The concentration of each gas
in the cylinder or other vessel should be included in the review; many gases are not
100%. The maximum release rate of the gas under worse-case conditions is reviewed.
Maximum release rate could be the gas stored at its highest pressure being released
through an opening unrestricted to the outside of the storage vessel. Sometimes
temperature increase (maybe due to a fire) of the storage vessel needs to be taken
into account for the maximum release rate. The following sections described some
of the ways that the emergency release rate can be reduced at the storage vessel.
141
142
Semiconductor Industry: Wafer Fab Exhaust Management
6.2.1 RESTRICTED FLOW ORIFICE
A restricted flow orifice (RFO) can be installed on the storage vessel to reduce the
flow of the gas under pressure. RFOs are common for gas cylinders and tube trailers
in the semiconductor industry. It is suggested that the fab personnel work with the
fab gas supplier to review the use of RFOs for each gas storage application. Review
the RFO lifetime especially for corrosive gases. RFO may be required for some gases.
6.2.2 GAS STORAGE AT LOW PRESSURE OR SUBATMOSPHERIC PRESSURE
Technology exists for some gases to be stored at low pressures or at pressures below
atmosphere (note: gases at subatmospheric pressure could become low-pressure
gases due to ambient temperature increase, fire heating the storage vessel, or higher
altitudes). The release rates can be significantly reduced compared to high-pressure
gas storage.
6.3 SILANE EMERGENCY RELEASE
Silane emergency release is being discussed separately as it usually does not fall
into the category of one-half IDLH stack discharge (this category will be discussed
in a later section). Silane is a pyrophoric gas that will oxidize on its own (i.e., no
ignition source) at certain concentrations in air. High air velocity is pulled around
the gas-line connections to ensure that any silane that leaks will be well ventilated
and will not accumulate. Accumulation of silane can cause a buildup of silane in
air, which can cause an explosion.
One engineering strategy is to exhaust the silane gas cabinet or gas containment
to a dedicated exhaust system that has a fan and a stack. The exhaust duct is made
of noncombustible material. This allows for any silane leak that causes a fire to burn
in a controlled fashion until the valve can be closed, stopping the flow of silane
feeding the fire.
6.4 REDUCTION OF EMERGENCY RELEASE
OF CONCENTRATIONS OF STACK DISCHARGE
There are two methodologies mainly employed to reduce the concentrations of stack
discharge of gases released unexpectedly. One control is to further dilute the gas
with air to below one-half IDLH, and the other is to install abatement to reduce
concentration to below one-half IDLH.
6.4.1 DILUTION USED FOR EMERGENCY RELEASE
Air can be added to the exhaust air of the gas storage vessel to reduce an emergency
release to below one-half IDLH. Ways to do this are to physically add air to the
exhaust system or to use stacks that pull air into the stack exit location to dilute the
stack exhaust air.
Emergency Releases
143
EXAMPLE 6-1:
DILUTION USED FOR EMERGENCY RELEASE.
A gas cylinder contains gas A. This gas has an IDLH of 12 ppmv. The maximum
release rate of gas A is 0.1 ft3/min. The airflow rate from the gas cylinder cabinets is
10,000 ft3/min. The calculated concentration of gas A at the stack discharge is 10 ppmv
at 10,000 ft3/min. Air is added to this exhaust system to make the total airflow rate of
20,000 ft3/min, to ensure that the emergency release rate of gas A will be below onehalf IDLH of 6 ppmv. The gas concentration of the simulated emergency release for
gas A is 5 ppmv, which is below one-half IDLH for gas A.
6.4.2 ABATEMENT USED FOR EMERGENCY RELEASE
Airflow rates are generally thousands of cubic feet per minute for an exhaust system
for gas storage containment. Therefore, POU devices cannot be used; centralized
abatement system types and sizes are more applicable. Different technologies for
emergency release abatement can be used, depending on the gas being abated. Three
common abatement technologies are:
1. Dry resin system (using a prefilter for particulates)
2. Wet scrubber using water as the scrubbing solution
3. Wet scrubber using water as the scrubbing solution, followed by a submicron filter for acid mist
Chemical-dosed wet scrubbers can be used, but are more difficult to operate and
more costly. It is important to remember that these emergency release abatement
systems may never be used for actual gas removal, so purchase and operation of a
well-designed system needs to be reviewed.
6.4.2.1 Dry Resin Abatement System for Emergency Release
Dry resin abatement systems can be used to abate gases that readily adsorb and react
with the material on the resin. The design should include the gas to be abated, the
amount of gas to be abated, the inlet concentration of the gas, the exhaust air
temperature, the relative humidity of the exhaust air, exhaust airflow rate, and linear
air velocity through the resin. A particulate filter is commonly installed before the
resin bed to remove particulates so they do not plug the face of the resin bed. The
exhaust air can be passed through the resin bed constantly, so that if a gas release
happens, it can abate the gas. This is important as sensing of a gas leak to turn on
the abatement device is not needed.
The spent resin will need to be replaced if the resin is exposed to a significant
amount of the gas. Yearly (or some other periodic frequency) checking of the resin
should be conducted to ensure the resin is active to remove gas. It is recommended
that change-out of any gas vessel venting go to a separate POU device or another
exhaust system, as it will use some amount of resin (even though it may be a small
amount). Many gases can be removed by a dry resin abatement system; however it
144
Semiconductor Industry: Wafer Fab Exhaust Management
may take large amounts of resin to accomplish removal of an entire release of a gas
vessel, so purchase cost may be much higher than those of other abatement technologies.
Arsine and phosphine are typical gases that are abated by a dry resin abatement
systems (wet scrubbers using water only are inefficient for arsine and phosphine
removal). Diborane can also be abated by dry resin, but may also be abated by a
wet scrubber using water only.
EXAMPLE 6-2:
DRY RESIN ABATEMENT SYSTEM USED
FOR EMERGENCY RELEASE.
A gas cylinder containing gas B is simulated for an emergency release. The IDLH for
gas B is 4 ppmv. The airflow rate for the exhaust system is 2000 ft3/min. The maximum
release rate is 0.1 ft3/min for gas B. The calculated concentration of gas B at the stack
discharge is 50 ppmv, which is 25 times higher than the one-half IDLH of 2 ppmv for
gas B. Abatement is selected to remove gas B in case of an emergency release. Gas B
can be removed by dry resin, but is not removed well in a wet scrubber. A dry resin
abatement system is installed. The guaranteed outlet concentration of gas B for a full
gas cylinder release is well below one-half IDLH.
6.4.2.2 Wet Scrubber for Emergency Release Using Water
as Scrubbing Solution
Gases that are water soluble may be efficiently removed by a wet scrubber using
water as the scrubbing solution. If the gas is water soluble and can form a submicron
acid mist in the wet scrubber (an example is hydrogen chloride), the design team
should consider a wet scrubber followed by a submicron acid mist filter (discussed
in the next section). The design of the wet scrubber should include the gas to be
abated, the amount of gas to be abated, the inlet concentration of the gas, and the
exhaust rate of airflow. A packed-bed vertical countercurrent scrubber design is
suggested, using the centralized scrubber design parameters listed in Chapter 14.
Two scenarios next are examples for the operation of wet scrubber using water as
the scrubbing solution for an emergency release.
Scenario 1: operate the wet scrubber all the time (i.e., scrubbing solution is
distributed to the packing continuously). This would allow for the removal
of the gas released without the need to turn on the scrubbing solution flow
to the packing when a gas release is detected. Recirculation of scrubbing
solution with a very low rate of water makeup is done all the time. If a gas
release occurs and is detected, the rate of water makeup is greatly increased.
Continuous operation and periodic maintenance should be examined under
this approach.
Scenario 2: operate the wet scrubber (i.e., turn the water on to distribute to
the packing) only when a gas release is detected. Once-through water can
be used (i.e., no scrubbing solution recirculation). This approach assumes
that the detection of gas release and the correct amount and distribution of
Emergency Releases
145
water sprayed onto the packing is 100% guaranteed (i.e., is going to happen
every time). If there is no water on the packing, then there will be no
removal of gas.
Detection of the gas release when it occurs is critical to successful abatement,
especially for Scenario 2.
EXAMPLE 6-3:
WET SCRUBBER USING WATER AS SCRUBBING SOLUTION FOR
EMERGENCY RELEASE (DISTRIBUTES SCRUBBING SOLUTION
ON THE PACKING ALL THE TIME).
A gas cylinder containing gas C was simulated for an emergency release. The IDLH
for gas C is 40 ppmv. The airflow rate for the exhaust system is 2000 ft3/min. The
maximum release rate was 1.0 ft3/min for gas C. The calculated concentration of gas
C at the stack discharge is 500 ppmv, which is 25 times higher than the one-half IDLH
of 20 ppmv for gas C. Abatement was selected to remove gas C in case of an emergency
release. Gas C can be removed well in a wet scrubber. The design team decided to
install a wet scrubber that recirculated scrubbing solution (in this case water) on the
packing all the time. The water makeup rate was very low. The water makeup rate
would be significantly increased when a gas release is detected (using gas detection in
a few different locations).
EXAMPLE 6-4:
WET SCRUBBER USING WATER AS SCRUBBING SOLUTION
FOR EMERGENCY RELEASE (DISTRIBUTES ONCE-THROUGH
WATER ON THE PACKING ONLY WHEN A GAS RELEASE IS
DETECTED).
A gas cylinder containing gas C was simulated for an emergency release. The IDLH
for gas C is 40 ppmv. The airflow rate for the exhaust system is 2000 ft3/min. The
maximum release rate was 1.0 ft3/min for gas C. The calculated concentration of gas
C at the stack discharge is 500 ppmv, which is 25 times higher than the one-half IDLH
of 20 ppmv for gas C. Abatement was selected to remove gas C in case of an emergency
release. Gas C can be removed well in a wet scrubber. The design team decided to
install a wet scrubber with once-through water distributed to the packing, with the
water turned on by sensing of a gas release using gas detection in a few different
locations. The length of duct from the gas cabinet to the abatement system was made
long enough to ensure enough time to wet the scrubber packing before gas C reached
the wet scrubber inlet.
6.4.2.3 Wet Scrubber for Emergency Release Using Water as Scrubbing
Solution, Followed by a Submicron Filter for Acid Mist
Gases that are water soluble may be efficiently removed by a wet scrubber using
water as the scrubbing solution. If the gas is water soluble and can form a submicron
acid mist in the wet scrubber (an example is hydrogen chloride), the design team
should choose a wet scrubber followed by a submicron filter for acid mist. The
146
Semiconductor Industry: Wafer Fab Exhaust Management
abatement system design should include the gas to be abated, the amount of gas to
be abated, the inlet concentration of the gas, and the rate of airflow of the exhaust.
A packed-bed vertical countercurrent scrubber design is suggested, using the centralized scrubber design parameters listed in Chapter 4. The submicron filter for acid
mist operation (for removal of acid mists) depends on the acid mists being formed
in the wet scrubber; if there is no scrubbing solution on the packing (i.e., it is dry),
then acid mists will not form and be removed by the submicron filter for acid mist.
Two scenarios next are examples for the operation of an emergency release wet
scrubber followed by a submicron filter for acid mist.
Scenario 1: operate the wet scrubber all the time (i.e., scrubbing solution is
distributed to the packing continuously). This would allow for the removal
of the gas released without the need to turn on the flow of scrubbing solution
to the packing; also it would be beneficial for operation of the submicron
filter for acid mist. Recirculation of scrubbing solution with very low water
makeup is done all the time. If a gas release occurs and is detected, the
water makeup rate is greatly increased. Continuous operation and periodic
maintenance should be examined under this approach. The submicron filter
for acid mist will have water condensation during nonemergency release
operation, as the wet scrubber exhausts to this unit.
Scenario 2: operate the wet scrubber (i.e., turn the water on to distribute to
the packing) only when a gas release is detected. Once-through water can
be used (i.e., no scrubbing solution recirculation). This approach assumes
that the detection of gas release and the correct amount and distribution of
water sprayed onto the packing is 100% guaranteed (i.e., is going to happen
every time). If there is no water on the packing, then there will be no gas
removal in the scrubber and no acid mist for the submicron filter to remove.
This filter will be dry under nonemergency release operations as the wet
scrubber has no water in it.
Detection of the gas release when it occurs is critical to successful abatement,
especially for Scenario 2.
EXAMPLE 6-5:
WET SCRUBBER FOR EMERGENCY RELEASE USING WATER AS
SCRUBBING SOLUTION FOLLOWED BY A SUBMICRON FILTER
FOR ACID MIST (DISTRIBUTES SCRUBBING SOLUTION ON THE
PACKING ALL THE TIME).
A gas cylinder containing gas D was simulated for an emergency release. The IDLH
for gas D is 80 ppmv. The rate of airflow for the exhaust system is 4000 ft3/min. The
maximum release rate is 8.0 ft3/min for gas D. The calculated concentration of gas D
at the stack discharge is 2000 ppmv, which is 50 times higher than the one-half IDLH
of 40 ppmv for gas D. Abatement was selected to remove gas D in case of an emergency
release. Gas D can be removed well in a wet scrubber to some extent, but requires a
submicron filter to remove additional gas D that forms an acid mist in the wet scrubber.
The design team decided to install a wet scrubber that recirculated scrubbing solution
Emergency Releases
147
(water) on the packing all the time. The water makeup rate was very low. The water
makeup rate will be significantly increased when a gas release is detected (using gas
detection in a few different locations).
EXAMPLE 6-6:
WET SCRUBBER FOR EMERGENCY RELEASE USING WATER AS
SCRUBBING SOLUTION FOLLOWED BY A SUBMICRON FILTER
FOR ACID MIST (DISTRIBUTES ONCE-THROUGH WATER ON
THE PACKING ONLY WHEN A RELEASE IS DETECTED).
A gas cylinder containing gas D was simulated for an emergency release. The IDLH
for gas D is 80 ppmv. The rate of airflow for the exhaust system is 4000 ft3/min. The
maximum release rate is 8.0 ft3/min for gas D. The calculated concentration of gas D
at the stack discharge is 2000 ppmv, which is 50 times higher than the one-half IDLH
of 40 ppmv for gas D. Abatement was selected to remove gas D in case of an emergency
release. Gas D can be removed well in a wet scrubber to some extent, but requires a
submicron filter to remove additional gas D that forms an acid mist in the wet scrubber.
The design team decided to install a wet scrubber with once-through water on the
packing, turned on by sensing of a gas release using gas detection in a few different
locations. The length of duct from the gas cabinet to the abatement system was made
long enough to ensure enough time to wet the scrubber packing before gas D arrived
at the scrubber inlet.
7
Exhaust
Management and
Air Abatement
Equipment Examples
7.1 LIST OF EXAMPLES
Several examples are presented in Chapter 7 so the reader can get a sense of how
to approach an existing manufacturing site and a new manufacturing site for exhaust
systems, point-of-use (POU) devices, and centralized abatement decisions. The
examples with a short description are presented here.
Example 7-1: an existing older silicon wafer fab had visible emissions from
its centralized acid scrubber stacks and periodic fab evacuations due to
odors. The fab had four exhaust systems: centralized acid (with wet scrubbers), centralized VOC (with no VOC control equipment), pyrophoric
exhaust (connected to centralized acid exhaust system), and centralized
general heat (fans and no abatement equipment). Duct plugging and damage
in the centralized acid exhaust system and pyrophoric exhaust system
resulted in high maintenance and caused process equipment downtime. The
local air regulatory agency was requiring VOC control equipment to be
installed. There were four 50,000 ft3/min centralized acid scrubbers (horizontal cross-flow packed-bed design). Very low removal efficiencies of hydrogen fluoride and hydrogen chloride had been measured on the centralized acid
scrubbers. Odors had been reported at the clean water production plant.
Example 7-2: an existing newer silicon wafer fab had sporadic visible emissions from the centralized acid scrubber stacks and periodic odor reports
on site near the centralized acid scrubbers. The fab had three exhaust
systems: centralized acid, centralized VOC, and centralized general heat.
Centralized VOC control equipment and six 30,000 ft3/min centralized acid
scrubbers (vertical countercurrent packed-bed design) existed at the site.
The six centralized acid scrubbers were found to have low removal efficiencies for hydrogen fluoride and hydrogen chloride. This facility had
centralized VOC control equipment that was found to have higher emissions
of carbon monoxide and oxides of nitrogen than previously permitted.
149
150
Semiconductor Industry: Wafer Fab Exhaust Management
Example 7-3: an existing year-old silicon wafer fab had visible emissions
from the stacks of the centralized acid scrubber and particulate deposition
in certain locations of the centralized acid exhaust system. This fab had
good operating centralized acid scrubbers, centralized ammonia scrubbers,
and centralized VOC control equipment.
Example 7-4: a new silicon wafer fab was to be built.
Example 7-5: a small compound semiconductor wafer fab was to be built.
Example 7-6: an existing wafer manufacturing facility had three exhaust
systems: centralized acid, centralized VOC, and centralized general heat.
Orange or white visible emissions were seen coming from the two centralized acid scrubber stacks. The centralized VOC control equipment was
operating well.
Example 7-7: A research and development fab was to be built.
7.2 EXAMPLE NO. 7-1
An existing older silicon wafer fab had visible emissions from the centralized acid
scrubber stacks and periodic fab evacuations due to odors. The fab had four exhaust
systems: centralized acid (with wet scrubbers), centralized VOC (with no VOC
control equipment), pyrophoric exhaust (connected to the centralized acid exhaust
system), and centralized general heat (fans and no control equipment). Duct plugging
and damage in the centralized acid exhaust system and pyrophoric exhaust system
caused high maintenance and process equipment downtime. The local air regulatory
agency was requiring VOC control equipment to be installed. There were four
50,000 ft3/min centralized acid scrubbers (horizontal cross-flow packed-bed design).
Very low removal efficiencies of hydrogen fluoride and hydrogen chloride had been
measured on the centralized acid scrubbers. The pyrophoric exhaust system was
connected near the inlet of one of the centralized acid scrubbers. Odors had been
reported at the clean water production plant.
A team was established to develop an action plan for determining and implementing the best solutions for the issues listed. Understanding the technical information of each issue was critical in developing the best solutions, and then an action
plan could be developed for each issue. The action plan developed was the following:
1. Facility personnel needed to understand the technical information surrounding the issues.
2. The facility did not have a consistent written strategy for its exhaust
systems, POU devices, and centralized abatement equipment. This needed
to be developed to apply the best solutions throughout the facility.
3. Solutions for the visible emissions and odors from centralized acid scrubber stacks needed to be developed. Due to the similar time of both
occurrences, it was decided to group these together.
Exhaust Management and Air Abatement Equipment Examples
151
4. Solutions for duct plugging and damage in centralized acid exhaust system
and pyrophoric exhaust system needed to be developed. It was decided
to group these together as these issues were most likely related to process
equipment and POU devices.
5. Very low removal efficiencies of hydrogen fluoride and hydrogen chloride
had been measured on the four 50,000 ft3/min centralized acid scrubbers.
Why was this occurring? Could solutions to visible emissions and odors
assist in the solutions to this issue?
6. Centralized VOC control equipment was to be installed, due to local air
regulatory agency requirements.
7. Odors had been reported at the clean water production plant near the
storage tank for concentrated hydrochloric acid solution (most likely from
the short vent on top of the storage tank) during the filling of the tank.
The next sections will describe what was developed and implemented for each
action.
7.2.1 FAB PERSONNEL NEEDED TO UNDERSTAND TECHNICAL INFORMATION
SURROUNDING ISSUES
This technical information was developed by working with knowledgeable personnel
on fab exhaust management (including POU devices) and centralized abatement
equipment. The following technical information was developed.
1. Ammonia and acid compounds form ammonium compounds which
deposit in ducts and can be visible out of centralized acid scrubber stacks
at around 1 ppmv and higher. The removal efficiency of ammonium
compounds in the existing centralized acid scrubbers was near zero.
2. Visible emissions can also occur from acid mists emitted from centralized
acid scrubber stacks at around 1 ppmv and above.
3. Odors attributed to fab evacuations were similar to those from processes
with heated aqua regia solution. Odors could also be occurring from
fluorine-emitting processes.
4. Odors at the clean water production plant were attributed to hydrogen
chloride during the high pressure filling of the storage tank for concentrated hydrochloric acid solution.
5. All processes in the pyrophoric exhaust system did not have POU devices.
The large amount of particulate deposition was attributed to ammonium
compounds and process emissions that reacted with air to form particles.
6. Good design and operation information for large wet scrubbers was found.
7. Equipment technologies to control VOCs were reviewed for high rate of
airflow and low VOC concentrations at the inlet that existed in the current
centralized VOC exhaust system. A rotor-concentrator thermal oxidation
system was selected for centralized VOC control equipment.
152
Semiconductor Industry: Wafer Fab Exhaust Management
7.2.2 DEVELOP STRATEGY FOR EXHAUST SYSTEMS, POINT-OF-USE DEVICES,
AND CENTRALIZED ABATEMENT EQUIPMENT FOR FAB
Fab personnel had not established a consistent approach (strategy) for selecting POU
devices for process equipment, centralized exhaust systems required, and design and
operation of centralized scrubbers. The selection and installation of centralized VOC
control equipment will be developed for another action plan discussed later.
A strategy for exhaust systems was developed by reviewing all processes in the
fab and using technical information previously discussed. Two new centralized
exhaust systems with corresponding scrubbing systems were to be installed: centralized ammonia exhaust system and centralized high-acid exhaust system. This
was due to the large number of processes requiring different centralized scrubbing
systems, cost, and limited space for installation of POU devices. It was determined
that processes on the pyrophoric exhaust system would be evaluated for POU devices,
based on criteria developed later in this section; all of these processes would continue
to be exhausted to the centralized acid exhaust system, since the exit of the pyrophoric exhaust system was already attached to the centralized acid exhaust system.
An overall strategy was developed for selecting POU devices. This strategy was
to be used for existing process equipment and for future process equipment. The
exit of the process pump (for process equipment using process pumps) or exit of
the process (for process equipment not using process pumps) was to be used to
determine if POU devices would be used or the process exhaust would be directly
attached to the appropriate centralized exhaust system (e.g., a wet bench only
emitting ammonia would be attached to the centralized ammonia exhaust system).
The strategy included the following:
1. Silane: below 25% of lower flammable limit (LFL)
2. Hydrogen: below 25% of LFL
3. Ammonia: less than 1 ppmv into the centralized acid exhaust system from
any process (including wet benches and spray processes)
4. No visible emissions from stacks
5. Specific gases below one-half the level immediately dangerous to life and
health (IDLH), as close to the process pump or process (if no process
pump) as possible. The specific gases chosen had poor warning properties
at one-half IDLH (and below) concentrations to alert fab personnel.
6. Installation of POU device on any process that would significantly impact
centralized exhaust systems with particulate deposition and damage; or
odors, potential health exposure, or visible emissions coming from centralized scrubber stacks. Installation of a nitrogen trifluoride predevice
chemical vapor deposition (CVD) chamber cleaning is an example (from
fluorine emitted during chamber cleaning).
7. A reduction strategy for perfluorocompounds (PFCs) was to be developed
in 2008, as the processes used may have changed.
8. Prevention of any environment, health, and safety or production concerns
not already mentioned (evaluated on a case-by-case basis). An example
of this was preventing arsenic contamination in the centralized acid
exhaust system from ion implanter arsine emissions.
Exhaust Management and Air Abatement Equipment Examples
153
9. POU devices that discharge wastewater needed to be reviewed (before
installation) for impact on fluoride concentrations in acid neutralization
wastewater. There was a need to determine if fluoride wastewater treatment plant would be required.
10. Hazardous waste determination was necessary for dry canisters and any
other wastes generated from POU devices, including best practices for
waste handling and disposal.
11. Proper discharge connection(s) (with O-rings) for process pump exhaust
needed to be installed for compatibility with gases, etc. at a given temperature inside the exhaust line (example: the by-product fluorine from
nitrogen fluoride predevice CVD chamber cleaning at elevated temperature). This was due to possible positive static pressure in the exhaust line
for a short distance after the process pump, so as to prevent gas leaks
from the exhaust into the worker area.
Design and operation of centralized acid scrubbers, centralized ammonia scrubber (to be installed), and centralized high-acid scrubber (to be installed) will be
discussed later.
7.2.3 THREE ACTIONS COMBINED AND PROJECT PLAN DEVELOPED
FOR THESE ACTIONS
The following actions were combined and solutions determined after reviewing the
exhaust systems and strategy developed for POU devices and centralized abatement.
1. Solutions were determined for the visible emissions and odors from the
centralized acid scrubber stacks. Due to the similar time of both occurrences, it was decided to group these together. It was determined that
ammonia, emissions from hot aqua regia solution, and emissions from
hot nitric acid solution were causing the visible emissions. Odors were
primarily coming from emissions of hot aqua regia solution. Fluorine was
determined not to be a cause (one possible source is nitrogen trifluoride
predevice CVD chamber cleaning; not used in the fab yet, but could be
in the future). Ammonia was either to be abated by POU device or
segregated to a new centralized ammonia exhaust system using a centralized ammonia scrubber. The exhausts from the hot aqua regia solution
baths, spray process of hot aqua regia solution, hot nitric acid solution
baths, and hot nitric acid-sulfuric acid solution baths were to be connected
to a new centralized high-acid exhaust system using a centralized wet
scrubbing system, consisting of a vertical countercurrent packed-bed wet
scrubber (scrubbing solution pH between 10 and 11) followed by a submicron filter for acid mist.
2. Solutions for duct plugging and damage in the centralized acid exhaust
system and pyrophoric exhaust system needed to be developed. It was
decided to group these together as these issues were most likely related to
154
Semiconductor Industry: Wafer Fab Exhaust Management
process equipment and POU devices. Processes exhausting to the pyrophoric
exhaust system and centralized acid exhaust system were to be evaluated
for installation of POU devices. The project plan discussed later will
describe POU device technology that was to be used. Elimination of
ammonia in the centralized acid exhaust system and pyrophoric exhaust
system (see Item 1 previously) would also reduce particulate deposition.
3. Very low removal efficiencies of hydrogen fluoride and hydrogen chloride
had been measured on the four 50,000 ft3/min centralized acid scrubbers.
Why was this occurring? Could solutions to visible emissions and odors
assist in the solutions to this issue? Ammonium compounds were contributing to low removal efficiencies for hydrogen fluoride and hydrogen
chloride, so removing ammonia from centralized acid exhaust system and
pyrophoric exhaust system was the key (see Item 1 previously). The design
and operation of the centralized acid scrubbers needed to be reviewed to
ensure good removal efficiencies.
The team developed a project plan which included certain processes, POU
devices, and centralized exhaust systems to remedy the issues. This project plan is
listed below by each process type. After this project plan was developed, the engineering and costs were developed.
1. Wet benches (using ammonium hydroxide solution): segregation of
ammonia and acid compounds in wet bench exhaust needed to be done.
Airflow was to be balanced to ensure that acid compounds exhausted to
the centralized acid exhaust system and ammonia exhausted to the centralized ammonia exhaust system. A procedure was to be established to
ensure a minimal amount of ammonia was exhausted into the centralized
acid exhaust system and minimal acid compounds were exhausted into
the centralized ammonia exhaust system. Ammonia concentrations were
to be measured in wet bench exhaust ducts from acid solution baths to
ensure a level below 1 ppmv ammonia. If particulates were depositing in
the centralized ammonia exhaust duct, this had to be reviewed to determine if acid compounds were getting into this exhaust system; the source
was to be found and acid compounds stopped from entering the centralized
ammonia exhaust system.
2. Spray processes (using ammonium hydroxide solution): use of an exhaust
directional valve tied to the spray process operation. When ammonium
hydroxide-hydrogen peroxide solution was used in the spray chamber, it
was to be exhausted to the centralized ammonia exhaust system. When
acid solutions were used in the spray chamber, they were to be exhausted
to the centralized acid exhaust system. The switching of exhaust systems
was to be done when clean water rinses were used in the spray chamber
(i.e., after chemicals were removed from the spray chamber during clean
water rinses) and before the next chemical spray operation occurred. If
an exhaust directional valve was not wanted by the process engineer,
Exhaust Management and Air Abatement Equipment Examples
155
installation of a POU wet scrubbing system with low pH scrubbing solution (pH between 3 and 4) was to be carried out to remove ammonia
below 1 ppmv, before exhausting to the centralized acid exhaust system.
The storage tank vent for ammonium hydroxide solution was to be connected to the centralized ammonia exhaust system or inlet exhaust duct
to the POU wet scrubbing system. The exhaust static pressure on the spray
chamber was to be set between –0.5 and –0.75 inches of water to minimize
chemical mists being carried into the exhaust duct (this setting of exhaust
static pressure was to be discussed with the process engineer to ensure
good operation of spray chamber to process wafers and with safety personnel to ensure personnel safety).
3. Spray processes (with no ammonium hydroxide solution), including vertical tube cleaners: the exhaust static pressure on the spray chamber was
to be set between –0.5 and –0.75 inches of water to minimize chemical
mists from being carried into the exhaust duct (discuss this exhaust static
pressure setting with the process engineer to ensure good operation of the
spray chamber processing wafers and with safety personnel to ensure
personnel safety). If this did not succeed, then a POU device was to be
installed. The exhaust duct was to be attached to the centralized acid
exhaust system.
4. Bulk chemical dispensing (BCD) for ammonium hydroxide solution: if
ammonia concentration in the BCD exhaust was above 1 ppmv, then the
exhaust duct was to be connected to the centralized ammonia exhaust
system. If ammonia concentration was below 1 ppmv, then the exhaust
duct was to be connected to the centralized acid exhaust system.
5. Silane-based polysilicon and doped poly-CVD processes; silane-based oxide
and doped oxide CVD processes: a POU thermal oxidizing system was to
be used; exhaust connected to the centralized acid exhaust system.
6. Nitride-oxynitride CVD processes: a POU passive air addition, wet scrubbing system with exhaust line heating from process pump to POU device
was to be used; exhaust connected to the centralized acid exhaust system.
7. TEOS-based oxide and doped oxide CVD processes: no POU device was
necessary, and processes were to be connected to the centralized acid
exhaust system. If the process exhaust line or process pump exhaust line
was plugging, then it was to be determined if it was TEOS reacting with
gases from the chamber cleaning. If the TEOS delivery system was causing liquid TEOS deposition, then the delivery system was to be fixed.
Heating of the exhaust line from the process chamber to the centralized
acid exhaust system might be needed.
8. Tungsten CVD processes: a POU thermal oxidation, wet scrubbing system
with exhaust line heating from process pump to POU device was to be
used; exhaust connected to the centralized acid exhaust system.
9. Tungsten silicide CVD processes (using dichlorosilane): a POU wet scrubbing system with exhaust line heating from process pump to POU device
was to be used; exhaust connected to the centralized acid exhaust system.
156
Semiconductor Industry: Wafer Fab Exhaust Management
10. Nitrogen trifluoride predevice CVD chamber cleaning (due to fluorine
emissions). This might occur with CVD processes mentioned separately;
this recommendation took precedence over other recommendations mentioned separately: a POU thermal oxidation, wet scrubbing system (using
natural gas, hydrogen, or water feed to the thermal oxidation section) with
fluorine, hydrogen fluoride, and hydrofluoric acid-resistant construction
materials; exhaust connected to the centralized acid exhaust system. It is
important to note that sending this process exhaust (without POU devices)
to a new centralized high-acid scrubbing system could cause fluorine to
form oxygen difluoride due to the high pH of the scrubbing solution, using
sodium hydroxide solution addition; in addition, fluorine could damage
duct material used in this fab. Therefore, POU device installation was the
best solution.
11. Low-pressure chemical vapor deposition (LPCVD) nitride processes: a
POU cold trap in the exhaust line at the process pump outlet was to be
used to remove ammonium chloride, followed by a POU wet scrubbing
system; exhaust connected to the centralized acid exhaust system. The
exhaust line was to be heated from process pump to POU device. A cold
trap at the furnace exit in the exhaust line to the process pump was to be used.
12. Epitaxial (EPI) processes: a POU wet scrubbing system was to be used.
If the outlet of the POU wet scrubbing system could be vented directly
outside for hydrogen safety, then that was satisfactory. If not, then a POU
thermal oxidizing system was to be installed for safe oxidation of hydrogen; exhaust connected to the centralized acid exhaust system.
13. Dry aluminum etch processes: a POU wet scrubbing system with exhaust
line heating from process pump to POU device was to be used; exhaust
connected to the centralized acid exhaust system.
14. Dry tungsten etch processes: a POU wet scrubbing system was to be used;
exhaust connected to the centralized acid exhaust system. Exhaust line
heating was only needed if the exhaust line between process pump and
POU device plugged in less than a year.
15. Ion implanters: a POU dry technology system was to be used; exhaust
connected to the centralized acid exhaust system.
16. Hot aqua regia solution baths or spray processes (concentrated hydrochloric acid, nitric acid solution): processes were to be connected to the
centralized high-acid exhaust system.
17. Hot concentrated nitric acid solution baths and hot concentrated nitric
acid, sulfuric acid solution baths: processes were to be connected to the
centralized high-acid exhaust system.
18. Wastewater line vents near ammonium hydroxide solution bath: reducing
the exhaust ventilation to reduce ammonia emissions was to be investigated.
19. Additional processes: oxide etch, nitride etch, poly etch, sputterers, evaporators, and dry stripping processes did not require POU devices. Processes emitting organic compounds exhausted to the centralized exhaust
system for volatile organic compounds did not require POU devices.
Exhaust Management and Air Abatement Equipment Examples
157
Hexamethyldisilazane (HMDS) emissions from vapor prime operations
were to be exhausted to the centralized ammonia exhaust system. Photoresist developer operations using dilute tetramethyl ammonium hydroxide
(TMAH) solution were to continue to be exhausted to the centralized acid
exhaust system, as no ammonia was detected in the exhaust from this
process.
For the existing centralized acid scrubbers, the design and operation of the wet
scrubbers were reviewed to ensure that the centralized acid scrubbers had appropriate
removal efficiencies for hydrogen fluoride and hydrogen chloride (these needed to
be evaluated since ammonium compounds would not be affecting the removal
efficiencies of acid compounds once ammonia was removed from the centralized
acid exhaust system). Inlet concentrations for centralized acid scrubbers were:
5 ppmv maximum and 1 ppmv average for hydrogen fluoride, and 2 ppmv maximum
and 0.5 ppmv average for hydrogen chloride. A scrubber modification plan was
developed based on results from the design and operation review. A local contractor
was selected to perform the scrubber modifications.
The new centralized ammonia scrubber (scrubbing solution pH between 3 and 4)
and the wet scrubber portion of the new centralized high-acid scrubbing system (a
vertical countercurrent packed-bed wet scrubber [scrubbing solution pH between 10
and 11] followed by a submicron filter for acid mist) were specified to several
suppliers for proposals to purchase and install. Some specifications are listed next.
1. A horizontal cross-flow packed-bed design was requested for the centralized ammonia scrubber. Vertical countercurrent packed-bed design (cylindrical shaped) was requested for the wet scrubber portion of the
centralized high-acid scrubbing system; a submicron filter for acid mist
was needed after the wet scrubber to remove acid mists not removed or
formed in the wet scrubber. Capacity of the rate of airflow for the centralized ammonia scrubber was to be 20,000 ft3/min, and capacity of the
rate of airflow for the centralized high-acid scrubbing system was to be
15,000 ft3/min. These airflow rates included future processes expected.
The centralized ammonia scrubber would need to operate effectively from
12,000 to 20,000 ft3/min, and the centralized high-acid scrubbing system
would need to operate effectively from 9000 to 15,000 ft3/min. The lower
airflow rates would occur when these centralized abatement systems were
first started up.
2. Scrubbing solution recirculation rate: 6 to 10 gpm/ft2 of wetted packing
surface and 10 to 30 gpm/1000 ft3/min air flow rate was required. Installation of proper sized pumps was necessary to get the recirculation rate
required. Installation of strainers on the recirculation system of the scrubbing solution was necessary to prevent plugging of the spray headers. For
horizontal cross-flow scrubbers, installation of the scrubbing solution
spray header for the inlet air side of the packing section would ensure it
is wetted properly.
158
Semiconductor Industry: Wafer Fab Exhaust Management
3. Air velocity through packing: 300 to 500 ft/min was required. Air velocity
of 400 ft/min and corresponding packing depth or height for good mass
transfer was suggested.
4. The makeup water rate was to be continuous. A minimum of 1% of the
recirculation rate was to be used for the centralized ammonia scrubber
and the centralized high-acid scrubber. A makeup water source was to be
city water for the centralized ammonia scrubber and soft water for the
centralized high-acid scrubber.
5. The pH of the scrubbing solution was to be maintained between 10 and
11 for the centralized high-acid scrubber and between 3 and 4 for the
centralized ammonia scrubber. Sodium hydroxide solution was to be used
for the centralized high-acid scrubber, and concentrated sulfuric acid
solution was to be used for the centralized ammonia scrubber for pH
control of the scrubbing solution.
6. Stack exhaust exit velocity of 3000 to 3800 ft/min was required. Stack
heights were to be as high as possible to allow air to leave the building
wake and not impact fab air intakes.
7. For the centralized ammonia scrubber, minimum removal efficiency of
98% or outlet concentration below 4 ppmv for ammonia was required.
The inlet ammonia concentrations were to be a maximum of 200 ppmv
and an average of 100 ppmv. Minimum removal efficiencies or maximum
outlet concentrations were requested for the centralized high-acid scrubbing system: hydrogen chloride: 99.5% or less than 0.1 ppmv outlet; nitric
acid: 95% or less than 0.1 ppmv outlet; and chlorine: 95% or less than
0.5 ppmv outlet. Inlet concentrations were to be hydrogen chloride: maximum of 100 ppmv and average of 10 ppmv; nitric acid: maximum of 10
ppmv and average of 5 ppmv; and chlorine: maximum of 10 ppmv and
average of 2 ppmv.
8. Having no visible emissions from the scrubber stacks was required.
9. Installation was to be conducted by fab personnel (by outside contractor).
The scrubber supplier was to provide a final inspection and startup assistance, including written signoff on the installation before startup.
Additional specifications for mechanical, electrical, fans, stack sampling ports,
etc. were provided to scrubber suppliers bidding on this part of the project.
The new centralized ammonia scrubber and the centralized high-acid scrubbing
system were purchased and stored on site, waiting for the new centralized ammonia
exhaust system and centralized high-acid exhaust system to be installed up to the
processes and up to where these new centralized abatement systems would be
installed. This would all be accomplished while the fab was operating. POU devices
were also purchased and installed and also made ready to connect when fab shutdown
occurred. A fab shutdown was needed to install new centralized abatement systems,
modify existing centralized acid scrubbers, and finalize process exhaust connections.
The new centralized ammonia scrubber and new centralized high-acid scrubbing
system were installed. Physical modifications were made to existing centralized acid
scrubbers. Process exhausts were connected to POU devices and centralized exhaust
Exhaust Management and Air Abatement Equipment Examples
159
systems as specified. Air balancing of affected centralized exhaust systems and
process exhausts were required before fab operation could be resumed. The fab was
started up, and no visible stack emissions were observed. Removal efficiency testing
was conducted on modified centralized acid scrubbers, the new centralized ammonia
scrubber, and the centralized high-acid scrubbing system to ensure specifications
were met. All scrubbers met specifications. Odor evacuations and visible stack
emissions stopped occurring. Particulate deposition was significantly reduced in the
centralized acid exhaust system (which includes the former pyrophoric exhaust
system).
7.2.4 PROJECT PLAN FOR ACTION ON CENTRALIZED EQUIPMENT TO BE INSTALLED
TO CONTROL VOLATILE ORGANIC COMPOUNDS, DUE TO REQUIREMENTS
OF LOCAL AIR REGULATORY AGENCY
As mentioned earlier, fab personnel established that a rotor-concentrator, thermal
oxidation system was the centralized equipment technology to be used to control
VOCs. A carbon prefilter (passive) was needed before the rotor-concentrator wheel
to protect the wheel from condensation of higher-molecular-weight organic compounds (from heated baths of organic compound). The current centralized VOC
exhaust system had a capacity of 22,000 ft3/min. VOC exhaust needs were reviewed
for potential future processes; with this input, the capacity of the rotor-concentrator,
thermal oxidation system was decided to be 30,000 ft3/min. Vapor prime exhausts
containing HMDS were to be removed from the centralized VOC exhaust system
and exhausted to the centralized ammonia exhaust system, to minimize any effects
on the VOC control equipment. Specifications were established and sent to the
suppliers of the rotor-concentrator, thermal oxidation system for proposals. Some
specifics are listed next.
1. A rotor-concentrator, thermal oxidation system with a passive carbon
prefilter was required. The maximum rate of airflow was to be 30,000
ft3/min. The operational rate of airflow was to be 18,000 to 30,000 ft3/min.
The initial rate of airflow would be 20,000 ft3/min.
2. Removal efficiency had to be 95% or greater at 200 ppmv total VOCs
measured as methane at the inlet and 98% or greater at 500 ppmv total
VOCs measured as methane at the inlet. Total VOC concentrations at the
outlet had to be below 10 ppmv total VOC measured as methane for the
inlet concentration range of 10 to 500 ppmv total VOC measured as
methane. A list of estimated inlet concentrations for each organic compound was to be provided. This list also was to communicate any nitrogencontaining organic compounds that could create oxides of nitrogen. There
was to be nondetectable inlet concentrations for silicon-containing organic
compounds.
3. What were emissions rates for carbon monoxide and oxides of nitrogen?
These emissions rates were to include fuel burning and organic compounds oxidized.
160
Semiconductor Industry: Wafer Fab Exhaust Management
4. Stack exhaust exit velocity of 3000 to 3800 ft/min was required. A stack
height of 50 feet was needed. Air regulatory stack ports for sampling were
to be included, with proper straight-duct, no-disturbance distances before
and after ports to ensure local air regulatory approval if compliance testing
was required. Safe access to ports was to be provided (e.g., ladder, platform, and railings).
5. Fans with variable frequency (speed) drives were requested. A backup fan
was required, with automatic switching if exhaust was lost. The fan was
to be installed on the outlet exhaust of the centralized VOC control
equipment (i.e., VOC control equipment was under negative static pressure).
6. A list of instrumentation needed was to be provided (e.g., thermal oxidation temperature, rotor-concentrator rotation speed, outputs to facilities
management system, etc.).
7. Ductwork between the VOC control equipment and the fans was to be
provided by the supplier of the VOC control equipment.
8. A list was to be made of all construction materials for everything critical,
including all of the surfaces exposed to the airstream containing organic
compounds.
9. References were needed for the rotor-concentrator, thermal oxidation systems with similar inlet concentrations and maximum rate of airflow.
10. A preventive maintenance schedule was needed.
11. Yearly operating cost was required, using the actual utility costs and other
costs (e.g., costs of natural gas, electricity, labor) provided to the supplier
of the VOC control equipment.
12. The installation cost was to be given by the supplier if the supplier installed
the VOC control equipment. If not installed by the supplier, the supplier
was asked to provide a final inspection and startup assistance, including
written signoff on installation before startup.
13. The total purchase cost including shipping was needed.
14. Warranties were needed, with any issues fixed by the supplier of the VOC
control equipment at their cost. VOC removal efficiency and uptime were
warranties that were requested.
15. Who was to provide local maintenance support 24 hours a day, 7 days a
week?
16. Five copies of operations and maintenance manuals were needed.
17. Training of on-site personnel for 2 days was required.
18. The supplier was to be provided with information on available utilities
such as natural gas, electricity, etc.
19. The supplier was to be provided with company mechanical requirements
and electrical requirements.
20. The supplier was to be provided with company environmental, health, and
safety requirements.
The supplier of the centralized VOC control equipment was selected, and installation completed. Testing of VOC removal efficiency, carbon monoxide emissions,
Exhaust Management and Air Abatement Equipment Examples
161
and emissions of oxides of nitrogen was conducted 2 months before the air-regulatory
compliance test was required to be done, to ensure purchase specifications were met.
The results of the pretest showed all removal efficiency and emissions requirements
were met. The equipment also passed the air regulatory compliance test.
7.2.5 PROJECT PLAN FOR ODORS REPORTED AT THE CLEAN-WATER
PRODUCTION PLANT NEAR STORAGE TANK OF CONCENTRATED
HYDROCHLORIC ACID SOLUTION
There was a short time period of high hydrogen chloride emissions when the filling
of the storage tank for concentrated hydrochloric acid was finished and the pressurized air used to move the concentrated hydrochloric acid solution from the tanker
to the storage tank flowed quickly through the storage tank and out of the storage
tank vent. A procedure was implemented for the filling operation of the storage tank
to minimize pressurized airflow into the storage tank (which should minimize hydrogen chloride emissions). However, odors were still noticed in the area around the
storage tank when the tank-filling operation was performed.
The action plan developed for this issue was to install a vertical countercurrent
packed-bed acid scrubber with no chemical addition. This scrubber was designed
using similar scrubber-design parameters discussed earlier for new centralized scrubbers. The storage tank vent was connected to a T-duct, allowing additional air to
mix with hydrogen chloride emissions from the storage tank. This T-duct was
exhausted to the new acid scrubber. This T-duct did not allow direct suction on the
storage tank, so was thought to minimize loss of the concentrated hydrochloric acid
solution (minimize hydrogen chloride emissions) during nonfilling operation.
Odors were not noticed after the installation of the vertical countercurrent
packed-bed acid scrubber with the T-duct. No visible emissions were seen from the
acid scrubber stack, which might occur during maximum hydrogen chloride emissions during the end of the filling operation (i.e., pressurized air through the storage
tank). The procedural change to minimize pressurized air most likely contributed to
no visible stack emissions. If visible stack emissions begin to become an issue, a
submicron filter for acid mists will have to be installed after the acid scrubber.
7.3 EXAMPLE NO. 7-2
An existing newer silicon wafer fab had sporadic visible emissions from the stacks
of the centralized acid scrubber and periodic odor reports on-site near the centralized
acid scrubbers. The fab had three exhaust systems: centralized acid, centralized VOC,
and centralized general-heat. Centralized equipment for VOC control and six 30,000
ft3/min centralized acid scrubbers (vertical countercurrent packed-bed) existed at the
site. The six centralized acid scrubbers were found to have low removal efficiencies
for hydrogen fluoride and hydrogen chloride. This facility had centralized VOC
control equipment that was found to have higher emissions of carbon monoxide and
oxides of nitrogen emissions than previously permitted.
A team was established to develop an action plan for determining and implementing
the best solutions for the issues listed. Understanding the technical information of each
162
Semiconductor Industry: Wafer Fab Exhaust Management
issue was critical in developing the best solutions, and then an action plan could be
developed for each issue. The action plan developed was:
1. There was a need to understand the technical information surrounding the
issues.
2. The facility did have a written strategy for exhaust systems, POU device,
and centralized abatement equipment. The current strategy allowed for
the exhausting of ammonia into the centralized acid exhaust system.
3. The source(s) of sporadic visible emissions and odors was to be determined.
4. The reason for higher-than-expected emissions of carbon monoxide and
oxides of nitrogen from centralized VOC control equipment had to be
determined.
The next sections will describe what was developed and implemented for each
action.
7.3.1 FAB PERSONNEL NEED TO UNDERSTAND TECHNICAL INFORMATION
SURROUNDING ISSUES
This technical information was developed by working with knowledgeable personnel
on fab exhaust management (including POU devices) and centralized abatement
equipment. The following technical information was developed.
1. Ammonia and acid compounds form ammonium compounds which
deposit in ducts and can be visible out of centralized acid scrubber stacks
at around 1 ppmv and higher. The removal efficiency of ammonium
compounds in existing centralized acid scrubbers was near zero.
2. Odors attributed to fab evacuations were similar to those of fluorine.
3. Good design and operation information for large vertical countercurrent
scrubbers was found.
4. Possible reasons for higher than expected carbon monoxide emissions
from centralized VOC control equipment were burner inefficiency, the
supplier of VOC control equipment not including carbon monoxide from
partial oxidation of organic compounds, and supplier not supplying correct
carbon monoxide emissions information. Possible reasons for higher-thanexpected emissions of oxides of nitrogen were oxidation of nitrogencontaining organic compounds (which were not provided initially to the
VOC control equipment supplier) and VOC control equipment supplier
not supplying correct information for emissions of oxides of nitrogen.
Project plans needed to be developed to find solutions and implement them. The
following sections describe what was done.
Exhaust Management and Air Abatement Equipment Examples
163
7.3.2 PROJECT PLAN AND SOLUTIONS FOR SOURCES OF SPORADIC VISIBLE
EMISSIONS FROM STACKS AND ODORS
Sampling of the centralized acid-scrubber stacks verified that ammonium compounds
were the source of visible stack emissions and that acid mists were not a source. A
fab process review was conducted to find all sources of ammonia that could contribute to the formation of ammonium compounds in the centralized acid exhaust
system and form visible emissions from the centralized acid scrubbers. This fab
process review also was used to find all sources of fluorine (as a by-product since
fluorine is not used as a process gas in the fab), and any other processes (e.g., heated
aqua regia solution bath or spray process) that could emit contaminants at a high
enough concentration that could be an odor source from the centralized acid-scrubber
stacks. The fab review yielded the following results, separated into an ammonia
project plan for visible stack emissions (in the following section) and a project plan
for fluorine and other possible odor sources in the next section after that.
7.3.2.1 Ammonia Project Plan, Implementation, and Solutions
The fab process review revealed that four spray processes using ammonium hydroxide solution were the main ammonia sources that caused the periodic visible emissions of ammonium compounds from the centralized acid-scrubber stacks. There
were no wet benches using ammonium hydroxide solution or other major ammoniaemitting processes exhausting to the centralized acid exhaust system. A POU wet
scrubbing system was installed on the exhaust of the spray process chamber and the
small vent line for the ammonium hydroxide solution storage tank that feeds the
spray process chamber containing the wafers. Two spray processes were exhausted
to one POU wet scrubbing system; therefore, two POU wet scrubbing systems were
installed. Each POU wet scrubbing system utilized concentrated sulfuric acid solution to adjust scrubbing solution pH between 3 and 4 to ensure high efficiency of
ammonia removal. The visible emissions from the centralized acid scrubber stacks
stopped after the two POU wet scrubbing systems were installed.
7.3.2.2 Project Plan, Implementation, and Solutions for Fluorine and Other
Possible Odor Sources
The fab review produced the following results for fluorine and other possible odor
sources.
1. The sources of fluorine were found to be numerous processes of oxide
chemical vapor deposition (CVD) using nitrogen trifluoride predevice
CVD chamber cleaning with no POU devices.
2. No other odor sources were found in the fab.
3. The fab process review did yield high settings of negative static pressure
of the exhaust on spray processes and vertical tube cleaners, which caused
acid mists to be entrained and condense in process exhaust and the centralized acid exhaust system. The facility environmental, health, and safety
164
Semiconductor Industry: Wafer Fab Exhaust Management
personnel and the process engineer reviewed the possibility of reducing
exhaust on these processes so as to ensure safety of personnel and not to
affect the process. It was decided that the exhaust could be reduced.
POU devices were selected as the best technology solution to remove fluorine
from nitrogen trifluoride predevice CVD chamber cleaning before entering the
centralized acid exhaust system. The current fluoride wastewater treatment plant
could handle additional wastewater flow and fluoride mass rate; therefore, POU
devices using water could be used. The POU thermal oxidation, wet scrubbing
systems were selected. Minimal water usage was requested for the POU thermal
oxidation, wet scrubbing systems.
Fluorine is much more difficult to scrub than hydrogen fluoride. Fluorine is also
very corrosive, especially when heated (in a thermal oxidation section). The POU
thermal oxidation, wet scrubbing system selected for removal of very high concentrations of fluorine should have a hydrogen atom source (e.g., hydrogen, natural gas,
or water) fed into the thermal oxidation section to react the fluorine to hydrogen
fluoride. The construction materials in the POU device need to be resistant to
fluorine, hydrogen fluoride, and hydrofluoric acid solution. Several POU thermal
oxidation, wet scrubbing systems were installed on oxide CVD processes using
nitrogen trifluoride predevice CVD chamber cleaning. The odor reports were significantly reduced after these POU devices were installed.
7.3.3 PROJECT PLAN FOR CENTRALIZED ACID SCRUBBERS
Once the ammonia was removed and fluorine was significantly reduced in the
centralized acid exhaust system, the six centralized acid scrubbers were reviewed
for good scrubber design and operation to ensure good removal efficiency of hydrogen fluoride and hydrogen chloride. The following criteria were developed for use
at this fab to review and modify existing centralized acid scrubbers and for purchasing new centralized acid scrubbers.
1. Vertical countercurrent packed-bed design (cylindrical shaped) was to be
used. Airflow rates (maximum and operational range) for future centralized acid scrubbers will be determined on a case-by-case basis.
2. Scrubbing solution recirculation rate: 6 to 10 gpm/ft2 of wetted packing
surface and 10 to 30 gpm/1000 ft3/min airflow rate was required. Propersized pumps were to be installed to get the required recirculation rate of
scrubbing solution. Strainers on the recirculation rate of scrubbing solution were to be installed to prevent plugging of spray headers or deposition
in weir design.
3. Air velocity through packing: 300 to 500 ft/min was required. Air velocity
of 400 ft/min and corresponding packing height for good mass transfer
was to be used.
4. The makeup water rate was to be continuous. A minimum recirculation
rate of 1% of scrubbing solution was to be used for the centralized acid
scrubbers, as sodium hydroxide solution was to be used to keep scrubbing
solution pH between 7 and 8. Makeup water was to be city water.
Exhaust Management and Air Abatement Equipment Examples
165
5. An exit velocity of stack exhaust of 3000 to 3800 ft/min was required.
Stack heights were to be as high as possible to allow exhaust air to leave
the building wake and not impact fab air intakes.
6. Minimum removal efficiency of 95% or outlet concentration below
0.1 ppmv each for hydrogen fluoride and hydrogen chloride was required.
Inlet concentrations for the centralized acid scrubbers were 5 ppmv maximum and 1 ppmv average for hydrogen fluoride, and 2 ppmv maximum
and 0.5 ppmv average for hydrogen chloride.
7. No visible emissions were allowed from scrubber stacks.
The six centralized acid scrubbers (vertical countercurrent design, weir distribution of scrubbing solution) were reviewed with the preceding criteria, with the
following results.
1. Two of the six centralized acid scrubbers were designed in a rectangular
shape, rather than cylindrical shape. These two scrubbers had the most
plugging issues and the lowest removal efficiencies after the ammonia
was removed from the centralized acid exhaust system. These two scrubbers were closest to fab exhaust headers that contained exhaust from the
fluorine-generating processes (probably had very low removal efficiency
for fluorine and may have had some oxygen difluoride creation from
fluorine in the centralized acid scrubbers). These two scrubbers were listed
as being replaced first when capital funds become available.
2. The weir distribution system of scrubbing solution that was installed
caused poor distribution in the top few feet of packing, losing some mass
transfer. Different weir design or use of spray nozzles will be used in
future centralized acid scrubbers.
3. The recirculation rate of scrubbing solution was increased to be at or
above the minimum design rate of 6 gpm/ft2 of wetted packing surface
and 10 gpm/1000 ft3/min airflow rate.
4. The use of sodium hydroxide solution to adjust scrubbing solution pH
will continue. The scrubbing solution pH will be reduced to a range of
7 to 8, rather than the current range of 9 to 10. The makeup water rate is
now continuous at a 1% recirculation rate of scrubbing solution (past
makeup water rate was sporadic due to the scrubbing solution removal
from the sump [i.e., blowdown] was based on conductivity).
7.3.4 PROJECT PLAN AND SOLUTIONS FOR HIGHER-THAN-EXPECTED
EMISSIONS OF CARBON MONOXIDE AND OXIDES OF NITROGEN
FROM CENTRALIZED EQUIPMENT TO CONTROL VOLATILE
ORGANIC COMPOUNDS
Fab personnel held a meeting with the supplier of the VOC control equipment to
determine why the emissions of carbon monoxide and oxides of nitrogen were higher
than listed by the supplier when the VOC control equipment was purchased. The
166
Semiconductor Industry: Wafer Fab Exhaust Management
following information was determined at the meeting and additional work was
performed.
7.3.4.1 Carbon Monoxide Emissions
The emissions measured for carbon monoxide were approximately five times higher
than data for carbon monoxide emissions provided initially by the supplier of the
VOC control equipment. The supplier stated that fab personnel were provided with
carbon monoxide emissions data for the oxidation of natural gas only, not for
oxidation of organic compounds. Fab personnel asked the supplier to check burner
efficiency to ensure carbon monoxide emissions were minimized from the burner
operation; this work was conducted and carbon monoxide emissions dropped by
only 20%. The higher carbon monoxide emissions will need to be permitted with
local air regulatory personnel.
7.3.4.2 Emissions of Oxides of Nitrogen
Emissions of oxides of nitrogen were still higher than expected after burner efficiency
work was completed. All processes exhausting to the centralized VOC control
equipment were reviewed for each organic compound used. It was determined that
two nitrogen-containing organic compounds were in the centralized VOC exhaust
system. The estimated process emissions for these nitrogen-containing organic compounds were converted to nitrogen dioxide emissions and added to the supplier’s
data provided for oxides of nitrogen emissions to determine if the higher-thanexpected emissions of oxides of nitrogen emissions could be explained. This calculation did show that the increased emissions of oxides of nitrogen were due to
nitrogen-containing organic compounds. The higher emissions will need to be discussed with local air regulatory agency personnel.
7.3.4.3 Air Permit Limitations on Emissions for Centralized Equipment to
Control Volatile Organic Compounds
It was determined that the best approach would be to file a modified air permit with
the local air regulatory agency to increase emission limits for carbon monoxide and
oxides of nitrogen. A meeting was scheduled with local air regulatory agency
personnel to review proposed changes with the air permit to reflect higher carbon
monoxide emissions and higher oxides of nitrogen emissions. An air permit modification was filed and approved by local air regulatory agency.
7.4 EXAMPLE NO. 7-3
An existing year-old silicon wafer fab had visible emissions from the centralized
acid-scrubber stacks and particulate deposition in certain locations of the centralized
acid exhaust system. This fab had been built with four centralized exhaust systems:
centralized acid, centralized ammonia, centralized VOC, and centralized general-heat.
Exhaust Management and Air Abatement Equipment Examples
167
This fab had good operating centralized acid scrubbers, centralized ammonia scrubbers, and centralized VOC control equipment. The fab equipment installation team
had a detailed strategy for exhaust systems, POU device, and centralized abatement
equipment for the fab. The visible emissions from the centralized acid-scrubber
stacks and particulate buildup in certain locations of the centralized acid exhaust
system were a surprise.
A team was established to review the cause of the visible stack emissions and
particulate buildup. The team reviewed the occurrences of visible stack emissions,
conducted particulate sampling and analysis, and determined the following.
1. The visible emissions were occurring almost all the time. There were short
periods of time when the visible emissions were “more visible” than other
times.
2. The analysis of the particulate material in the centralized acid exhaust
system produced results that showed ammonia as a possible source of
particulate material (i.e., ammonium compounds).
3. The location where the particulate material was depositing the most was
in the exhaust header for the inlets of the centralized acid scrubbers. It
would be difficult to determine the process source(s) of ammonia without
doing additional sampling for ammonia in the centralized acid exhaust
system.
4. There are several wafer spray processes that emitted ammonia sporadically, during the use of ammonium hydroxide-hydrogen peroxide solution
in the spray chamber. There were POU wet scrubbing systems on some
of these wafer spray processes, and there were two wafer spray processes
that utilized exhaust directional valves which switched the spray chamber
exhaust to the centralized acid exhaust system when acid solutions were
sprayed and switched the spray chamber exhaust to the centralized ammonia exhaust system when ammonium hydroxide-hydrogen peroxide solution was sprayed.
5. There were three vertical tube cleaners, with exhaust static pressure set
at –1.2 inches of water. No ammonia was emitted from the vertical tube
cleaners.
7.4.1 FAB PROCESS INVESTIGATION AND SOLUTIONS
It was first determined that the vertical tube cleaners were operating at too much
exhaust. The exhaust static pressure was changed to –0.6 inches of water. Environmental, health, and safety personnel reviewed this change in exhaust static pressure
and determined that there were no personnel exposure issues with this new static
pressure setting. This static pressure change did reduce the intensity of the visible
stack emissions, but it still was “more opaque” when the vertical tube cleaners were
operating. It was determined that the emissions of acid compound from the vertical
tube cleaners were mixing with ammonia to form ammonium compounds (causing
visible emissions).
168
Semiconductor Industry: Wafer Fab Exhaust Management
An ammonia sampling project was established and implemented on the centralized acid exhaust system, especially for processes using ammonia or ammonium
hydroxide solution. The following results were found from the ammonia sampling
project.
1. The exhaust system from the chemical-mechanical planarization (CMP)
area had concentrations of 20 to 50 ppmv ammonia. When the fab was
built, the CMP equipment information (for certain CMP equipment) stated
there was a bath filled with ammonium hydroxide-hydrogen peroxide
solution at very dilute concentration near the CMP process as part of the
CMP equipment; a much higher concentration of ammonium hydroxidehydrogen peroxide solution was being used in this bath. In addition,
ammonium hydroxide solution was being used in one of the CMP solutions, which was listed on the fab chemical list as “CMP solution,” with
no chemical constituents listed. The material safety data sheet was not
checked for individual constituents. The CMP area exhaust system was
identified as the continuous source of ammonia, which came into contact
with acid compounds in the centralized exhaust system and formed ammonium compounds (source of particulate deposition and visible stack emissions).
2. Several nitride CVD processes using relatively high flows of ammonia
had POU thermal oxidation, wet scrubbing systems attached to the process
pump exhaust line (four process chambers per POU device). The ammonia
was coming out of this POU device at higher concentrations than expected.
These higher ammonia concentrations were not high enough to significantly impact visible stack emissions and particulate deposition, but
needed to be investigated.
3. Wafer spray processes were not the ammonia sources.
For Step 1 above a plan was developed. The plan was to install a centralized
ammonia exhaust system duct to the CMP area. There were sources of acid compound emissions in the CMP area that required the use of the current CMP centralized acid exhaust system duct, so that dictated the installation of a new duct for the
centralized ammonia exhaust system. Segregation of the exhaust of the CMP process
equipment for ammonia and acid compounds was quite difficult, due to its design.
The concentration of hydrofluoric acid solution was very low and at room temperature. Calculations of the vapor pressure showed that very low concentrations of
hydrogen fluoride were in the CMP equipment exhaust duct. Sampling was also
conducted to verify calculations; very low concentrations of hydrogen fluoride were
found. Therefore, the exhaust ducts from the CMP equipment using ammonium hydroxide solution were attached to the centralized ammonia exhaust system duct. When this
project was completed, the visible emissions stopped, and the particulate deposition in
centralized acid exhaust system was significantly reduced.
For Step 2 previously discussed, the supplier of the POU thermal oxidation, wet
scrubbing system was contacted, and technical discussions ensued. It was determined
that the ammonia was minimally destroyed in the thermal oxidation section, making
Exhaust Management and Air Abatement Equipment Examples
169
the wet scrubbing section the primary ammonia removal system. The makeup water
rate was very low so as to conserve water; the makeup water rate was increased to
allow for higher ammonia removal efficiency. The retest of the exhaust line from
the POU thermal oxidation, wet scrubbing system produced less than 1 ppmv of
ammonia.
7.5 EXAMPLE NO. 7-4
A new silicon wafer fab was going to be constructed. A team was developed to
determine the strategy for exhaust systems, POU devices, and centralized abatement
equipment. Guidance was provided to the team on requirements of the local air
regulatory agency. The team decided to break the project into phases to be done
consecutively.
Phase 1: determine the facility’s equipment and the different centralized exhaust
systems needed based on proposed fab processes, good fab exhaust design, and local
air regulations.
Phase 2: develop a strategy for process exhaust management and POU devices
to be installed to be used on all processes.
7.5.1 PHASE 1
The following is a summary of what was developed.
1. Boilers and emergency generators were to be installed based on fab needs
and local air regulations. Low burners oxides-of-nitrogen emission were
specified for the boilers.
2. A large storage tank for concentrated hydrochloric acid solution was
needed for the clean water production plant of the fab. A vertical countercurrent packed-bed scrubber with makeup water (i.e., no chemical
addition) was to be installed for the storage tank vent. The exhaust system
was to be installed so that there was not excessive negative static pressure
of the exhaust on the storage tank, so as to minimize hydrogen chloride
emissions into the scrubber during nonfilling operations. Specifications
for this wet scrubber were to be similar to the design parameters to be
used for centralized acid and ammonia scrubbers (discussed later).
3. Two storage tanks for liquid waste were to be installed to centrally collect
waste organic compounds. Any VOC emissions from the storage tank vent
were to be captured by an exhaust duct and exhausted to the fab’s centralized VOC control equipment.
4. Three major (centralized) wastewater systems were to be installed: acid
neutralization, fluoride, and water reclamation-reuse.
5. A fluoride wastewater treatment plant and an acid wastewater neutralization plant were to be installed. A fluoride mass balance was to be conducted as processes and POU devices were determined, to decide where
the wastewater would need to flow and to size both wastewater treatment
plants correctly.
170
Semiconductor Industry: Wafer Fab Exhaust Management
6. Four centralized exhaust systems were selected for the fab: centralized
acid, centralized ammonia, centralized VOC, and centralized general-heat.
All processes were to be required to exhaust to one of these centralized
exhaust systems. Centralized acid scrubbers, centralized ammonia scrubbers, and centralized VOC control equipment would need to be installed.
A backup scrubber would be needed for each of the centralized acid
exhaust system and the centralized ammonia exhaust system. Negotiations
with the local air regulatory agency would be conducted to determine if
a short-time bypass of the centralized VOC control equipment was
allowed; if not, then some type of backup VOC control equipment would
be installed. The next sections describe parameters used for these centralized abatement systems.
7.5.1.1 Centralized Acid Scrubbers and Centralized Ammonia Scrubbers
Design parameters were developed to be sent to potential suppliers for proposals
for the centralized acid scrubbers and centralized ammonia scrubbers. The following
are some of the design parameters provided to the potential scrubber suppliers.
1. Horizontal cross-flow packed-bed design was needed for the centralized
acid scrubbers and centralized ammonia scrubbers. Eight centralized acid
scrubbers at 30,000 ft3/min each and three centralized ammonia scrubbers
at 10,000 ft3/min each were required. One centralized acid scrubber and
one centralized ammonia scrubber were to be used as backups. Each
scrubber was to be designed to be able to operate from 60% of maximum
airflow rate to a maximum flow rate (for example, operational flow rate
for the centralized acid scrubber was to be 18,000 to 30,000 ft3/min).
2. The recirculation rate of the scrubbing solution was to be 6 to 10 gpm/ft2
of wetted packing surface and 10 to 30 gpm/1000 ft3/min airflow rate.
Spray headers were to be used because of the horizontal cross-flow design;
a scrubbing solution spray header for inlet air side of packing section was
to be installed to ensure it was wetted properly. Proper-sized pumps were
to be installed to get the required recirculation rate of scrubbing solution.
Strainers on the recirculation system of the scrubbing solution were to be
installed to prevent plugging of the spray headers.
3. Air velocity through the packing of 300 to 500 ft/min was required. Air
velocity of 400 ft/min and corresponding packing depth for good mass
transfer was suggested (see removal efficiencies required below).
4. The makeup water rate was to be continuous. A minimum recirculation
rate of 1% was to be used for the centralized acid scrubbers and centralized
ammonia scrubbers. The makeup water source was to be reclaimed-reuse
water (from the fab). If additional makeup water was needed, city water
would be used.
5. Scrubbing solution pH was to be maintained between 7 and 8 for the
centralized acid scrubbers and between 3 and 4 for the centralized ammonia scrubbers. Sodium hydroxide solution was available for the centralized
Exhaust Management and Air Abatement Equipment Examples
171
acid scrubbers, and concentrated sulfuric acid solution was available for
the centralized ammonia scrubbers for pH control of the scrubbing solution.
6. Fans and stacks (with sampling ports) were to be provided by the fab
company.
7. Minimum removal efficiency of 95% or outlet concentration below
0.1 ppmv each for hydrogen fluoride and hydrogen chloride was required
for the centralized acid scrubbers. Expected inlet concentrations for the
centralized acid scrubbers were: 5 ppmv maximum and 1 ppmv average
for hydrogen fluoride, and 2 ppmv maximum and 0.5 ppmv average for
hydrogen chloride. For the centralized ammonia scrubber, the minimum
removal efficiency of 98% or outlet concentration below 4 ppmv for
ammonia was expected. Expected inlet concentrations for centralized
ammonia scrubbers were: 200 ppmv ammonia maximum and 100 ppmv
ammonia average.
8. No visible emissions were allowed from the scrubber stacks.
9. Additional specifications for mechanical, electrical, and site conditions
(including environmental, health, and safety) and cost of utilities were
provided to potential scrubber suppliers.
Installation was to be done by a different contractor, hired by the fab company.
The centralized scrubber supplier was to be asked to provide a final inspection and
startup assistance, including written signoff on the installation before startup. The
potential scrubber suppliers were asked to submit their proposals with purchase cost,
shipping cost, and yearly operating cost. Warranties for removal efficiency, equipment, and uptime were requested. Additional items were required by fab personnel
to be submitted in the scrubber supplier proposals. Removal efficiency testing of
centralized acid scrubbers and centralized ammonia scrubbers was to be conducted
after installation.
7.5.1.2 Centralized Equipment to Control Volatile Organic Compounds
The team established that a rotor-concentrator, thermal oxidation system was the
technology to be used. The centralized VOC exhaust system required at the initial
fab design was 42,000 ft3/min. To provide adequate capacity of the centralized VOC
control equipment for the future, two rotor-concentrator, thermal oxidation systems
at 30,000 ft3/min were required. It was determined at a meeting with local air
regulatory agency personnel that backup VOC control equipment was not required
as long as a downtime bypass was below a designated number of hours per year.
Vapor prime operation exhausts containing HMDS would be connected to the centralized ammonia exhaust system, to prevent any effects of silicon dioxide on the
centralized VOC control equipment. Specifications were established and sent to
potential suppliers of the VOC control equipment for proposals. Some specifics are
listed next.
1. An airflow rate of 30,000 ft3/min for each rotor-concentrator, thermal
oxidation system would be required. A total of two systems were needed.
172
Semiconductor Industry: Wafer Fab Exhaust Management
Each rotor-concentrator, thermal oxidation system should be designed to
be able to operate effectively from 15,000 to 30,000 ft3/min.
2. The minimum total VOC removal efficiency should be 95% removal
efficiency at 200 ppmv total VOC measured as methane inlet concentration
and 98% minimum total VOC removal efficiency at 500 ppmv total VOC
measured as methane inlet concentration. The total VOC concentration at
the outlet should be below 10 ppmv total VOC measured as methane for
the inlet concentration range of 10 to 500 ppmv total VOC measured as
methane. A list of estimated inlet concentrations for each organic compound was provided. This list was to communicate any nitrogen-containing organic compounds that could create oxides of nitrogen. Siliconcontaining organic compounds were to be nondetectable in the inlet to
the centralized VOC control equipment.
3. What were the emission rates for carbon monoxide and oxides of nitrogen?
These emissions rates were to include fuel burning and organic compounds oxidized.
4. Stacks (with sampling ports) and fans were to be provided by the fab
company.
5. Available utilities such as natural gas, electricity, etc. were listed.
6. Company mechanical requirements and electrical requirements were
listed.
7. Company environmental, health, and safety requirements were listed.
8. Instrumentation needed (e.g., thermal oxidation temperature, rotor-concentrator wheel rotation speed, outputs to facilities management system)
was listed.
9. All construction materials for everything critical were listed, including all
surfaces exposed to the airstream containing organic compounds.
10. References were given for rotor-concentrator, thermal oxidation systems
with similar total VOC concentrations at the inlet, similar individual
organic compound inlet concentrations, and maximum airflow rate.
11. A preventive maintenance schedule was needed.
12. Yearly operating cost was to be provided using the actual utility costs and
other costs (e.g., costs of natural gas, electricity, labor).
13. Installation was to be accomplished by a different contractor, hired by the
fab company. The supplier of the VOC control equipment was to provide
a final inspection and startup assistance, including written signoff on the
installation before startup.
14. Total purchase cost including shipping was to be provided.
15. Warranties were needed, with any issues fixed by the VOC control equipment supplier at their cost. VOC removal efficiency, equipment, and
uptime were guarantees that were requested.
16. Contact for local maintenance support 24 hours a day, 7 days a week and
references on their work.
17. Five copies of operations and maintenance manuals were needed.
18. Training of on-site personnel for 2 days was required.
Exhaust Management and Air Abatement Equipment Examples
173
7.5.2 PHASE 2
An overall strategy was developed for the new fab for selecting POU devices (if
needed and what technology), process exhaust management criteria, and for which
centralized exhaust system to connect each process exhaust. This overall strategy
(reviewed periodically and modified as needed) was to be used for process equipment
to be installed in a future fab and for additional process equipment to be installed
after the fab was built. The concentrations of each contaminant in the exhaust exit
of the process pump (for process equipment using process pumps) or exhaust exit
of the process (for process equipment not using process pumps) was to be used to
determine if POU devices will be used or the process exhaust will be directly
connected to the appropriate centralized exhaust system (e.g., a wet bench exhaust
with 50 ppmv ammonia will be connected to the centralized ammonia exhaust
system). The following strategy was developed for processes emitting into the
centralized acid exhaust system; POU devices were to be used to reduce contaminant
concentrations to meet this strategy.
1. Silane: below 25% of the LFL
2. Hydrogen: below 25% of the LFL
3. Ammonia: less than 1 ppmv into the centralized acid exhaust system from
any process (including wet benches and spray processes)
4. No visible stack emissions allowed (example would be acid mists and
ammonium compounds)
5. Specific gases below one-half IDLH as close to the process pump or
process (if no process pump) as possible. Specific gases selected initially
were arsine, diborane, fluorine, and phosphine.
6. Fab PFC reduction was to be 95%, measured using PFC usage as input
and PFC emissions from stacks as output. Certain processes were to be
selected for PFC abatement at POU.
The strategy for processes emitting into the centralized ammonia exhaust system
was developed.
1. Ammonia was to be discharged to this exhaust system.
2. Exhausts of vapor prime operation containing HMDS were to be discharged to this exhaust system.
3. All other contaminants (e.g., acid compounds, chlorine, and fluorine) were
to be less than 1 ppmv at the discharge location from the process into this
exhaust system. Hydrogen and silane could be discharged if below 25%
of LFL, but usually processes using these gases exhaust to the centralized
acid exhaust system. Inert gases could be discharged to this exhaust system
4. No visible stack emissions were allowed (e.g., ammonium compounds).
The strategy for processes emitting into the centralized VOC exhaust system
was developed.
174
Semiconductor Industry: Wafer Fab Exhaust Management
1. Organic compounds were to be discharged to this exhaust system.
Exhausts of the vapor prime operation containing HMDS were not to be
exhausted to the centralized VOC exhaust system.
2. All other contaminants were to be less than 1 ppmv at discharge location
from the process into the centralized VOC exhaust system. Hydrogen
could be discharged if below 25% of LFL. Inert gases could be discharged
into this exhaust system.
3. No visible stack emissions were allowed.
The strategy for centralized general-heat exhaust was established as there were
no measurable concentrations of contaminants to be discharged to this exhaust
system under normal operation. Hydrogen if below 25% of LFL and inert gases
could be discharged into the centralized general-heat exhaust system. No visible
stack emissions were allowed.
From the overall strategy developed, fab processes were reviewed and a document was generated (note: this document is a “work in progress” due to new
processes and process changes). Some processes listed in the document are listed
below.
1. Wet benches and CMP equipment (using ammonium hydroxide-hydrogen
peroxide solution or ammonium hydroxide solution): ammonia and acid
compounds needed to be segregated in wet bench exhaust and CMP
equipment exhaust. Airflow was to be balanced to ensure that acid compounds exhausted to the centralized acid exhaust system and ammonia
exhausted to the centralized ammonia exhaust system. Procedure was to
be established to ensure a minimal amount of ammonia (<1 ppmv) went
into the centralized acid exhaust system, and minimal acid compounds
(<1 ppmv) went into the centralized ammonia exhaust system.
2. CMP equipment and wet benches (not using ammonium hydroxide solution): these were to exhaust to the centralized acid exhaust system.
3. Spray processes (using ammonium hydroxide solution): an exhaust directional valve was to be used to connect to the spray process exhaust.
Ammonium hydroxide-hydrogen peroxide solution used in the spray
chamber was to exhaust to the centralized ammonia exhaust system. When
acid solutions were used in spray chamber, they were to exhaust to the
centralized acid exhaust system. The switching of exhaust systems was
to be done when clean water rinses were used in the spray chamber
(i.e., after chemicals were removed from the spray chamber during clean
water rinses). If the exhaust directional valve was not wanted by the
process engineer, a POU wet scrubbing system was to be installed with
low pH scrubbing solution to remove ammonia below 1 ppmv, before
exhausting to the centralized acid exhaust system. The storage tank vent
for ammonium hydroxide solution was to be connected to the centralized
ammonia exhaust system or inlet exhaust duct to the POU wet scrubbing
system. The exhaust static pressure on the spray chamber was to be set
between –0.5 and –0.75 inches of water to minimize chemical mists from
Exhaust Management and Air Abatement Equipment Examples
175
being carried into the exhaust duct (this exhaust static pressure setting
was to be discussed with the process engineer to ensure good operation
of the spray chamber processing wafers and with safety personnel to
ensure personnel safety).
4. Spray processes (with no ammonium hydroxide solution), including vertical tube cleaners: the exhaust static pressure on the spray chamber was
to be set between –0.5 and –0.75 inches of water to minimize chemical
mists from being carried into the exhaust duct (this exhaust static pressure
setting was to be discussed with the process engineer to ensure good
operation of the spray chamber processing wafers and with safety personnel to ensure personnel safety). If this did not succeed, then a POU
device was to be installed. The exhaust was to be attached to the centralized acid exhaust system.
5. BCD for ammonium hydroxide solution: if ammonia concentration in the
BCD exhaust was above 1 ppmv, then the exhaust duct was to be connected to the centralized ammonia exhaust system. If ammonia concentration was below 1 ppmv, then the exhaust duct was to be connected to
the centralized acid exhaust system.
6. BCD for CMP solution containing ammonium hydroxide solution and
BCD for concentrated TMAH solution: these were to be connected to the
centralized ammonia exhaust system.
7. Silane-based poly and doped poly-CVD processes and silane-based oxide
and doped oxide CVD processes: a POU thermal oxidizing system was
to be used, connected to the centralized acid exhaust system.
8. Nitride and oxynitride CVD processes: a POU thermal oxidation, wet scrubbing system with exhaust line heating from the process pump to the POU
device was to be used, connected to the centralized acid exhaust system.
9. TEOS-based oxide and doped oxide CVD processes: no POU device was
needed, and processes were to be connected to the centralized acid exhaust
system. If the process exhaust line or process pump exhaust line was
plugging, then it was to be determined if it was TEOS reacting with gases
from the chamber cleaning. If the TEOS delivery system was causing
liquid TEOS deposition, the delivery system was to be fixed. Heating of
the exhaust line from process chamber to centralized acid exhaust system
might be needed.
10. Tungsten CVD processes: a POU thermal oxidation, wet scrubbing system, with exhaust line heating from process pump to POU device was to
be used, connected to the centralized acid exhaust system.
11. Tungsten silicide CVD processes (using dichlorosilane): a POU wet scrubbing system with exhaust line heating from process pump to POU device
was to be used, connected to the centralized acid exhaust system.
12. Nitrogen trifluoride predevice CVD chamber cleaning (due to fluorine
emissions). This might occur with CVD processes mentioned separately;
this recommendation took precedence over other recommendations mentioned separately: a POU thermal oxidation, wet scrubbing system (using
natural gas, hydrogen, or water feed to thermal oxidation section) with
176
Semiconductor Industry: Wafer Fab Exhaust Management
fluorine-, hydrogen fluoride-, and hydrofluoric acid-resistant construction
materials were to be used, connected to the centralized acid exhaust
system.
13. LPCVD nitride processes: a POU cold trap in exhaust line at process
pump exit was to be used to remove ammonium chloride, followed by
POU wet scrubbing system, connected to the centralized acid exhaust
system. Heating of exhaust line from process pump to POU device was
needed. Exhaust line heating might be required from process to process
pump. A cold trap in the exhaust line at the furnace exit to the process
pump was to be used.
14. EPI processes: a POU thermal oxidation, wet scrubbing system was
needed, connected to the centralized acid exhaust system.
15. Dry tungsten etch processes: a POU wet scrubbing system was needed,
connected to the centralized acid exhaust system. Exhaust line heating
was only needed if the exhaust line between process pump and POU
device plugged in less than 6 months.
16. Furnaces using ammonia only (in addition to inert gases): they were to
be connected to the centralized ammonia exhaust system.
17. Oxide etch, nitride etch, and poly etch processes: a POU thermal oxidation, wet scrubbing system with 90% removal efficiency for PFC gases
(note: the 95% destruction and removal efficiency [DRE] fab requirement
for certain processes was for the process and the POU device combined;
some of the PFC gases were destroyed in the process so 90% removal
efficiency for PFC gases was listed for the POU device only) was needed,
connected to the centralized acid exhaust system.
18. Ion implanters: a POU dry technology system, connected to the centralized
acid exhaust system.
19. Sputterers, evaporators, and dry stripping processes: these were to be
connected to the centralized acid exhaust system.
20. Processes emitting VOCs only at above 1 ppmv (except for processes
listed specifically next and TEOS-based oxide and doped oxide CVD
processes discussed earlier): these were to be connected to the centralized
VOC exhaust system. VOC-emitting processes below 1 ppmv: these were
to be connected to the centralized acid exhaust system or centralized
ammonia exhaust system.
21. Exhausts from vapor prime operations containing HMDS: these were to
be connected to the centralized ammonia exhaust system.
22. Photoresist coaters (except vapor prime operation using HMDS) and softbake ovens: these were to be connected to the centralized VOC exhaust
system.
23. Photoresist developers using dilute TMAH solution (for ammonia concentrations below 1 ppmv in the exhaust) and hard-bake ovens: these were
to be connected to the centralized acid exhaust system.
24. Processes with heat-only exhaust: these were to be connected to the
centralized general-heat exhaust system.
Exhaust Management and Air Abatement Equipment Examples
177
Additional processes were also reviewed using the overall strategy for process
exhaust management and POU devices listed previously.
7.6 EXAMPLE NO. 7-5
A new small compound semiconductor wafer fab was going to be constructed. A
team was developed to determine the overall strategy for exhaust systems, POU
devices, and centralized abatement equipment. Guidance was provided to the team
on local air regulations. The team decided to break the project into phases to be
done consecutively.
Phase 1: determine the facility’s equipment and the different fab exhaust systems
needed based on proposed fab processes, good fab exhaust design, and local air
regulations.
Phase 2: develop a process exhaust management and POU device strategy to be
used on all processes to be installed.
7.6.1 PHASE 1
The following is a summary of what was developed.
1. Boilers and emergency generators were selected based on fab needs and
local air regulations.
2. A neutralization wastewater treatment plant was to be installed. It was
decided not to install an arsenic wastewater treatment plant, but to collect
any arsenic-contaminated wastewater locally and send it off-site. No fluoride wastewater treatment plant or clean water reclamation or reuse were
needed.
3. Three centralized exhaust systems were selected for the fab: centralized
acid, centralized VOC, and centralized general-heat. All processes were
to be required to exhaust to one of these three centralized exhaust systems
or directly outside of the fab with their own vent. The centralized acid
scrubber would need to be installed. A backup centralized acid scrubber
was to be installed. VOC emissions would initially be low enough that
centralized VOC control equipment was not required; if VOC emissions
got close to the air permit limit, then POU VOC abatement devices were
to be used to stay below the air permit limit. Ammonia was to be abated
at POU as needed, instead of having a centralized ammonia exhaust
system and centralized ammonia scrubber. The next sections describe
parameters used for the two centralized acid scrubbers.
7.6.1.1 Centralized Acid Scrubbers
Design parameters were developed to be sent to potential scrubber suppliers for
proposals for the centralized acid scrubbers. The following are some of the design
parameters provided to the potential scrubber suppliers.
178
Semiconductor Industry: Wafer Fab Exhaust Management
1. Two horizontal cross-flow packed-bed scrubbers were to be used. Each
centralized acid scrubber was to be 30,000 ft3/min, with one centralized
acid scrubber used as a backup. The operational range for each acid
scrubber was to be from 15,000 to 30,000 CFM.
2. Scrubbing solution recirculation rate: 6 to 10 gpm/ft2 of wetted packing
surface and 10 to 30 gpm/1000 ft3/min rate of airflow. Spray headers were
to be used since it was a horizontal cross-flow packed-bed design; a spray
header for the scrubbing solution was to be installed for the inlet air side
of the packing section to ensure it is wetted properly. Proper-sized pumps
were to be installed to get the scrubbing solution recirculation rate
required. Strainers on the recirculation system of the scrubbing solution
were to be installed to prevent plugging of the spray headers.
3. Air velocity through packing: 300 to 500 ft/min. Air velocity of 400 ft/min
and corresponding packing depth for good mass transfer was suggested
(see required removal efficiencies below).
4. Makeup water rate was to be continuous. A minimum of 1% recirculation
rate of scrubbing solution was to be used. Makeup water source was to
be city water.
5. The pH of the scrubbing solution was to be maintained between 7 and 8.
Sodium hydroxide solution should be available if needed for pH control.
6. Fans and stacks (with sampling ports) were to be provided by the fab
company.
7. Minimum removal efficiency of 95% or outlet concentration below
0.1 ppmv each for hydrogen fluoride and hydrogen chloride was required
for the centralized acid scrubbers. Expected inlet concentrations for centralized acid scrubbers were: 2 ppmv maximum and 0.5 ppmv average
for hydrogen fluoride, and 5 ppmv maximum and 2 ppmv average for
hydrogen chloride.
8. No stack visible emissions were allowed.
Additional specifications for mechanical, electrical, and site conditions were
provided to potential scrubber suppliers. Installation was to be conducted by a
different contractor, hired by the fab company. The supplier of the centralized acid
scrubbers was to provide a final inspection and startup assistance, including written
signoff on installation before startup. Other required information listed in request
for proposal was to be provided.
7.6.1.2 Phase 2
Overall strategy was developed for the new fab for selecting POU devices (if needed
and what technology), establishing criteria for process exhaust management, and
selecting to which centralized exhaust system to connect each process exhaust. This
overall strategy (reviewed periodically and modified as needed) was to be used for
process equipment to be installed in the new fab and for additional process equipment
after the fab was built. The concentrations of each contaminant in the exhaust at the
process pump exit (for process equipment using process pumps) or in the exhaust
Exhaust Management and Air Abatement Equipment Examples
179
at the process exit (for process equipment not using process pumps) was to be used
to: determine if POU devices would be used, the process exhaust would be directly
connected to the appropriate centralized exhaust system, or the process exhaust
would be directly exhausted through its own vent to the outside of the fab. The
following strategy was developed for processes exhausted into the centralized acid
exhaust system or vented outside of the fab.
1. Silane: below 25% of LFL
2. Hydrogen: below 25% of LFL; if POU devices are not used to abate
hydrogen below 25% of LFL, then the process exhaust will be sent directly
outside of the fab through a dedicated vent.
3. Ammonia: emitted into the centralized acid exhaust system that would
not cause visible emissions from the centralized acid scrubber stack. POU
devices will be used if visible stack emissions from ammonia become an
issue.
4. Specific gases below one-half IDLH as close to the process pump or
process (if no process pump) as possible. Initial contaminants put on this
list were ammonia, arsine, and phosphine.
5. Install POU devices on any process that will contribute to odors, potential
health exposure, or visible emissions coming from the centralized acid
scrubber stacks or dedicated vents. Cleaning and maintenance of centralized acid exhaust ducts for particulate plugging or corrosion is acceptable,
as long as material is not arsenic-contaminated.
POU devices that discharge wastewater needed to be evaluated to minimize
arsenic-contaminated wastewater. A POU dry technology system was to be used if
possible. Hazardous waste determination was necessary for dry canisters and any
other wastes generated, including best waste-handling and disposal practices. Proper
discharge connections for process pump exhaust (e.g., O-rings) needed to be installed
for compatibility with gases etc. at its temperature inside the exhaust line (example:
by-product fluorine from nitrogen trifluoride predevice CVD chamber cleaning at
the temperature of the process pump exhaust). This is due to possible positive static
pressure for the short distance after the process pump, so as to prevent leaks into
the worker area.
From the overall strategy developed previously, fab processes were reviewed and
a document generated (note: this document is a “work in progress” due to new processes
and process changes). Some processes listed in the document are listed below.
1. Wet benches (using ammonium hydroxide solution): segregation of
ammonia and acid compounds in wet bench exhaust needed to be done
if ammonia was to be emitted at high enough concentrations to produce
visible emissions at the centralized acid scrubber stacks. If ammonia was
determined to be an issue, a POU wet scrubbing system was to be installed
(with scrubbing solution pH between 3 and 4 using the addition of concentrated sulfuric acid solution for pH control) on the exhaust duct emitting ammonia only.
180
Semiconductor Industry: Wafer Fab Exhaust Management
2. BCD was not be used at this time.
3. Gallium arsenide metal organic chemical vapor deposition (MOCVD): a POU
dry resin system was to be used. The exhaust outlet of the POU dry resin
system was to go directly to the outside of the fab through a dedicated vent.
4. Indium phosphide MOCVD: a POU dry resin system was to be used. The
exhaust outlet of the POU dry resin system was to go directly to the
outside of the fab through a dedicated vent. A phosphorus trap system
with safe-handling procedures was to be used, due to potential explosion
and fire when phosphorus is in contact with air.
5. Gallium nitride MOCVD: a POU wet scrubbing system for high removal
efficiency of ammonia at relative high flows (e.g., may need concentrated
sulfuric acid solution addition to get needed ammonia removal efficiency)
was to be used; the exhaust outlet of the POU wet scrubbing system was
to go directly to the outside of the fab through a dedicated vent.
6. Silane-based oxide CVD processes and nitride CVD processes (silane and
ammonia): a POU thermal oxidation, wet scrubbing system was to be
used, connected to the centralized acid exhaust system. Heat tracing from
process pump to POU device was to be used on nitride CVD processes
using silane and ammonia. The fluorine emissions from CVD chamber
cleanings need to be evaluated for impact on POU devices selected.
7. Gallium arsenide etch: a POU dry resin system was to be used, connected
to the centralized acid exhaust system.
8. VOC-emitting processes: these were to exhaust to the centralized VOC
exhaust system. POU devices might be needed in the future to meet air
permit limits for VOC emissions.
9. Processes exhausting heat only: a centralized general-heat exhaust system
was to be used.
The dry resin canisters that contain arsenic would most likely be classified as
hazardous wastes.
7.7 EXAMPLE NO. 7-6
An existing wafer manufacturing facility had three exhaust systems: centralized acid,
centralized VOC, and centralized general-heat. Orange or white visible emissions
were seen coming from the two centralized acid scrubber stacks (vertical countercurrent packed-bed scrubbers operating at a pH range of 7 to 8 and designed using
good scrubber design parameters). The centralized VOC control equipment was
operating well. Acid wastewater neutralization plant was available on-site.
The centralized acid scrubbers were operated at a higher pH range of 10 to 11,
but the visible emissions continued. A team was set up to determine the source of
the visible emissions. Sampling of the exhaust air in the centralized acid scrubber
stacks showed that nitric acid and nitrogen dioxide were the cause of the white
visible emissions and orange visible emissions, respectively. The processes
exhausted to the centralized acid exhaust system were reviewed; the acid baths that
chemically thin wafers were the source of nitric acid and nitrogen dioxide emissions
Exhaust Management and Air Abatement Equipment Examples
181
(note: when wafers were chemically thinned the air above the baths was red). Process
changes to the wafer chemical thinning baths were implemented (which included
better bath temperature control using more efficient heat exchangers). The visible
emissions continued.
The design and installation of a new centralized wet scrubbing system for
nitrogen dioxide and nitric acid was necessary. It was determined by sampling that
nitric oxide emissions were much less than nitrogen dioxide emissions, so only
nitrogen dioxide emissions needed to be removed by the new centralized wet scrubbing system (note: nitric oxide emissions were not causing the orange visible emissions, but needed to be reviewed since process emissions of oxides of nitrogen
([nitric oxide plus nitrogen dioxide] were being reviewed for removal efficiency
requirements for the new centralized wet scrubbing system).
A new centralized wet scrubbing system was installed on the exhausts of the
wafer chemical thinning baths only to abate nitrogen dioxide and nitric acid. The
new centralized wet scrubbing system had three scrubbing sections (vertical countercurrent packed-bed design) followed by a submicron filter for acid mist. Chemical
addition was required for the high pH scrubbing solutions used in the three scrubbing
sections. The wastewater discharge from this centralized wet scrubbing system
needed to be handled properly, because lowering the pH of this high pH wastewater
discharge caused hydrogen sulfide fugitive emissions. The exhaust from the new
centralized wet scrubbing system was connected to the centralized acid exhaust
system prior to the centralized acid scrubbers. No visible emissions occurred after
the installation of this new centralized wet scrubbing system.
7.8 EXAMPLE NO. 7-7
A research and development fab was to be built. The wafer throughput was only a
small percentage of the wafer throughput of a production fab. This would drive the
exhaust systems, POU device, and centralized abatement equipment plan for this
research and development fab, which was to be developed by the facility’s team.
The following plan was developed by the facility’s team.
1. Two exhaust systems would be installed: centralized acid and centralized
general-heat. All noninert gas emissions would be exhausted to the centralized acid exhaust system. No centralized abatement equipment would
be installed. Any process equipment that needed abatement for emissions
would be done by POU devices.
2. Downtime of process equipment for duct maintenance was not an issue
due to research and development operation. Therefore, particulate deposition in ducts and corrosion of duct material were not reasons for POU
device installation.
3. No stack visible emissions were allowed.
4. Only a treatment plant for acid wastewater neutralization would be
installed on-site.
5. No natural gas or hydrogen would be used for POU devices in the research
and development fab.
182
Semiconductor Industry: Wafer Fab Exhaust Management
A process equipment and POU device team was established (multidiscipline) to
develop criteria for evaluating process emissions for the need for POU devices. The
following were the criteria developed.
1. Silane: use a POU device to reduce silane concentration below 25% of LFL.
2. Hydrogen: do not abate hydrogen by POU device; it can be diluted in the
centralized acid exhaust system to below 25% of LFL.
3. Organic compounds: do not abate organic compounds by using a POU
device, unless it is required to meet air permit VOC emission limits.
4. Acid compounds, chlorine, and fluorine, emitted from CVD and etch
processes: these may require POU devices since there is no centralized
acid scrubber. Small emissions of acid compounds, chlorine, and fluorine
can be exhausted directly to the centralized acid exhaust without a POU
device (evaluated on a case-by-case basis).
5. Ammonia: only use a POU device to reduce ammonia if there are visible
emissions from the centralized acid exhaust stack or if ammonia is above
one-half IDLH in the process pump exhaust line or process exhaust line
(if no process pump).
6. No stack visible emissions are allowed.
7. Specific gases below one-half IDLH as close to the process pump or
process (if no process pump) as possible. Specific gases listed initially
are acid compounds, ammonia, arsine, chlorine, diborane, fluorine, and
phosphine.
8. No PFC reductions are required.
Hazardous waste determination was necessary for dry canisters and any other
wastes generated, including best waste-handling and disposal practices. Proper discharge connections for the process pump exhaust (e.g., O-rings) needed to be
installed for compatibility with gases etc. at its temperature inside exhaust line
(example: by-product fluorine from nitrogen trifluoride predevice CVD chamber
cleaning). This is due to possible positive pressure for a short distance after the
process pump, so as to prevent leaks into the worker area.
The team reviewed the initial process equipment to be installed and developed
the following requirements.
1. Wet benches (using ammonium hydroxide solution): purchase with segregated exhausts for each acid solution bath and for each ammonium
hydroxide-hydrogen peroxide solution bath. Very dilute ammonium
hydroxide-hydrogen peroxide solution concentrations at room temperature (to minimize ammonia emissions) should be used where possible. If
visible emissions occur, use a POU wet scrubbing system with low pH
scrubbing solution on the exhaust from the ammonium hydroxide-hydrogen peroxide solution bath(s), and exhaust the POU device to the centralized acid exhaust system. Bath emissions from each acid solution will
need to be evaluated for the need for a POU wet scrubbing system based
on emission limitations.
Exhaust Management and Air Abatement Equipment Examples
183
2. CMP equipment (using ammonium hydroxide solution): if visible emissions occur, install a POU wet scrubbing system with low pH scrubbing
solution on the CMP equipment exhaust, and exhaust the POU device to
the centralized acid exhaust system. Emissions from the acid solution
baths (if applicable) will need to be evaluated for the need for a POU wet
scrubbing system based on emission limitations, and exhaust the POU
device to the centralized acid exhaust system. If no POU device is used,
exhaust to the centralized acid exhaust system.
3. Wet benches and CMP equipment (not using ammonium hydroxide solution): Emissions from the acid solution baths (if applicable) will need to
be evaluated for the need for a POU wet scrubbing system based on
emission limitations, and exhaust the POU device to the centralized acid
exhaust system. If no POU device is used, exhaust to the centralized acid
exhaust system.
4. Spray processes (using ammonium hydroxide solution): install a POU wet
scrubbing system with low pH scrubbing solution to remove acid compounds and ammonia before exhausting to the centralized acid exhaust
system. The chemical solution storage tank vents should be connected to
the inlet exhaust duct to the POU wet scrubbing system. The exhaust static
pressure on the spray chamber should be set between –0.5 and –0.75
inches of water to minimize chemical mists from being carried into the
exhaust duct (discuss this exhaust static pressure setting with the process
engineer to ensure good operation of the spray chamber processing wafers,
and with safety personnel to ensure personnel safety).
5. Spray processes (with no ammonium hydroxide solution), including vertical tube cleaners: install a POU wet scrubbing system and connect its
exhaust to the centralized acid exhaust system. The exhaust static pressure
on the spray chamber should be set between –0.5 and –0.75 inches of
water to minimize chemical mists from being carried into the exhaust duct
(discuss this exhaust static pressure setting with the process engineer to
ensure good operation of the spray chamber processing wafers and with
safety personnel to ensure personnel safety).
6. No BCD will be used at this time.
7. Silane-based poly and doped poly-CVD processes and silane-based oxide
and doped oxide CVD processes: use a POU thermal oxidation, wet
scrubbing system, connected to the centralized acid exhaust system.
8. Nitride and oxynitride CVD processes: use a POU thermal oxidation, wet
scrubbing system, connected to the centralized acid exhaust system.
9. TEOS-based oxide and doped oxide CVD processes: use no POU device
if no acid compounds, chlorine, or fluorine is emitted and connect to the
centralized acid exhaust system. If acid compounds, chlorine, or fluorine
are emitted, then install a POU thermal oxidation, wet scrubbing system;
connect to the centralized acid exhaust system. If the process exhaust line
or process pump exhaust line is plugging, then determine if a TEOS
delivery system is causing liquid TEOS deposition; if it is, fix the delivery
system and/or utilize heating of the exhaust line.
184
Semiconductor Industry: Wafer Fab Exhaust Management
10. Tungsten CVD processes: use a POU thermal oxidation, wet scrubbing
system, with exhaust line heating from process pump to POU device;
connect to the centralized acid exhaust system.
11. Tungsten silicide CVD processes (using dichlorosilane): use a POU wet
scrubbing system; connect to the centralized acid exhaust system.
12. Nitrogen trifluoride predevice CVD chamber cleaning (due to fluorine
emissions). This may occur with CVD processes also mentioned separately; this recommendation takes precedence over other recommendations mentioned separately: POU thermal oxidation, wet scrubbing system
(using natural gas, hydrogen, or water addition to the thermal oxidation
section) with fluorine-, hydrogen fluoride-, and hydrofluoric acid-resistant
construction materials; connect to the centralized acid exhaust system.
13. LPCVD nitride processes: use a POU cold trap directly after the process
pump to remove ammonium chloride, followed by a POU wet scrubbing
system; connect to the centralized acid exhaust system. Utilize a cold trap
in the exhaust line at the furnace exit.
14. EPI processes: use a POU wet scrubbing system; connect to the centralized
acid exhaust system (note: hydrogen dilution is used in the centralized
acid exhaust system).
15. Dry tungsten etch processes: use a POU wet scrubbing system; connect
to the centralized acid exhaust system.
16. Furnaces using ammonia only (in addition to inert gases): use a POU wet
scrubbing system only if ammonia is above one-half IDLH in the process
pump exhaust line or causes visible emissions from the centralized acid
exhaust stack; connect to the centralized acid exhaust system.
17. Oxide etch, nitride etch, and poly etch processes: use a POU wet scrubbing
system; connect to the centralized acid exhaust system.
18. Ion implanters: use a POU dry resin system; connect to the centralized
acid exhaust system.
19. Sputterers, evaporators, and dry stripping processes: connect to the centralized acid exhaust system.
20. Processes emitting VOC only (not mentioned previously): connect to the
centralized acid exhaust system. Utilize POU VOC abatement devices
before VOC emission limitations on air permit are exceeded.
21. Processes emitting heat only: connect to the centralized general-heat
exhaust system.
Index
A
Abatement
centralized scrubbers, 100–102
emergency releases, 143–147
existing newer silicon wafer fab (7-2), 149,
161–166
existing older silicon wafer fab (7-1), 149–161
existing wafer manufacturing facility (7-6),
150, 180–181
existing year-old silicon wafer fab (7-3), 150,
166–169
new research and development fab (7-7), 150,
181–184
new silicon wafer fab (7-4), 150, 169–177
new small compound semiconductor wafer lab
(7-5), 150, 177–180
older silicon wafer fab (Example 7-1), 152–153
oxides of nitrogen, 102–103
perfluorocompounds exhaust system, 15
Acid exhaust system, centralized
basics, 13
metal organic chemical vapor deposition,
66–67
strategy development, 74–76
Acid mists
abatement systems, centralized, 100–102
emergency releases, 145–147
scrubbers, centralized, 88–90, 108–110
Acid scrubbers, centralized
ammonia, 87–88
inlet concentrations, 100–102, 108–110
newer silicon wafer fab (Example 7-2),
164–165
silicon wafer fab (Example 7-4), 170–171
small compound semiconductor wafer lab
(Example 7-5), 177–178
storage tanks, 99–100, 103–106
testing, 83
wet scrubbers, 103–106
Acid solutions
point-of-use devices, 63–64
spray processes, 70, 90
tanks, manufacturing facilities, 5
wet benches, 61–62, 69
Acid wastewater treatment, 73
Adsorption, 33–34
Air abatement, equipment examples
existing newer silicon wafer fab (7-2), 149,
161–166
existing older silicon wafer fab (7-1), 149–161
existing wafer manufacturing facility (7-6),
150, 180–181
existing year-old silicon wafer fab (7-3), 150,
166–169
new research and development fab (7-7), 150,
181–184
new silicon wafer fab (7-4), 150, 169–177
new small compound semiconductor wafer lab
(7-5), 150, 177–180
Airflow
centralized scrubbers, 83–84
leaks, exhaust challenges, 22
underdesigned, 131–132
Air-permit requirement, see also Environmental
requirements
newer silicon wafer fab (Example 7-2), 166
recuperative catalytic oxidation system, 118
regenerative thermal oxidation systems,
115–116
rotor-concentrator, recuperative catalytic
oxidation systems, 124–125
Air regulatory requirements, 31, 136–137, see also
Environmental requirements
AlGaAs, see Aluminum gallium arsenide
(AlGaAs)
Aluminum etch, 7, 59, 72
Aluminum gallium arsenide (AlGaAs), 10–11
Ammonia exhaust system, centralized
basics, 14
strategy development, 74–76
volatile organic compounds, 94
Ammonia (NH3)
centralized scrubbers, 86–88, 170–171
exhaust challenges, 16–18
furnace process, 58
gas cabinets, 10
line purges, 65
low-pressure chemical vapor deposition
nitride, 53
newer silicon wafer fab (Example 7-2), 163
nitride chemical vapor deposition, 49–51
scrubbers, 106–108
titanium nitride chemical vapor deposition, 57
185
186
Semiconductor Industry: Wafer Fab Exhaust Management
Ammonium compound formation, 30–31, 86–88
Ammonium hydroxide-hydrogen peroxide
solution, 4, 61–64, 69, see also Standard
clean 1 (SC1)
Aqua regia bath and solution
acid mists, 88–89
spray process, 64
wet bench exhaust installation, 68–69
Arsenic wastewater, 66
Arsine
gas cabinets, 9
ion implant, 61
line purges, 65
Ashers, 10
Assembly operations, 10
Atmospheric deposition, 5
B
Baths, manufacturing facilities, 3–4, see also
specific type
Bends, exhaust challenges, 18
Biofilter systems, 130
Biogrowth, 98–99
Boron trichloride, 9, 59
Boron trifluoride, 10, 61
Bulk chemical distribution (BCD), 10
C
Capture and recovery systems, 129–130
Capture-oxidation systems, 119–128
Carbon-based PFC chamber cleaning
doped oxide chemical vapor deposition,
47–49
oxide chemical vapor deposition, 43, 45
Carbon monoxide
fluidized-bed, thermal oxidation system, 128
large manufacturing sites, 133–134
newer silicon wafer fab (Example 7-2),
165–166
recuperative catalytic oxidation system,
118–119
regenerative thermal oxidation system,
116–117
rotor-concentrator, recuperative catalytic
oxidation systems, 125–126
rotor-concentrator, recuperative thermal
oxidation systems, 122–123
Catalytic oxidation, 33
Centralized abatement systems, 100–102
Centralized acid exhaust system
basics, 13
metal organic chemical vapor deposition,
66–67
strategy development, 74–76
Centralized acid scrubbers
ammonia, 87–88
inlet concentrations, 100–102, 108–110
newer silicon wafer fab (Example 7-2),
164–165
silicon wafer fab (Example 7-4), 170–171
small compound semiconductor wafer lab
(Example 7-5), 177–178
storage tanks, 99–100, 103–106
testing, 83
wet scrubbers, 103–106
Centralized ammonia exhaust system
basics, 14
strategy development, 74–76
volatile organic compounds, 94
Centralized ammonia scrubbers, 86–87, 170–171
Centralized equipment technologies, volatile
organic compounds
acid exhaust systems, 13
ammonia exhaust systems, 14
basics, 13–14, 113–114
biofilter systems, 130
capture and recovery systems, 129–130
capture-oxidation systems, 119–128
fan location, 138
fixed-bed carbon vessels, 130
heat systems, 130
oxidation systems, 115–119
selection criteria, 130–137
specifications, 138–140
technologies, 114–130
testing, 138
Centralized general and heat exhaust system, 14
Centralized scrubbers
acids, 88–90, 99–106, 108–110
airflow distribution, 83–84
ammonia, 86–88, 106–108
basics, 79–80
biogrowth, 98–99
centralized abatement systems, 100–102
chlorine, 101–102, 108–110
design, 80–85
fluorine, 91–92
horizontal crossflow centralized acid scrubber,
82
installation design, 85
maintenance, 99
makeup water, 94–96
operation, 80–99
oxides of nitrogen, 102–103, 110–112
pH of solution, 96–97
preventive maintenance, 99
Index
scaling, 98–99
solution, 84–85, 96–97
specifications, 103–112
testing, 82
vertical countercurrent centralized acid
scrubber, 82
volatile organic compounds, 92–94
wastewater discharge, 99
Centralized volatile organic compound control
acid exhaust systems, 13
ammonia exhaust systems, 14
basics, 13–14, 113–114
biofilter systems, 130
capture and recovery systems, 129–130
capture-oxidation systems, 119–128
fan location, 138
fixed-bed carbon vessels, 130
heat systems, 130
oxidation systems, 115–119
selection criteria, 130–137
specifications, 138–140
technologies, 114–130
testing, 138
Chamber cleaning
air leaks, 22
doped oxide chemical vapor deposition,
46–48
low-k chemical vapor deposition, 58
nitride chemical vapor deposition, 49–51
oxide chemical vapor deposition, 43–45
titanium nitride chemical vapor deposition, 57
tungsten chemical vapor deposition, 51–52
tungsten silicide chemical vapor deposition, 53
Chemical mechanical planarization/polishing
(CMP), 9
Chemical storage vent, 16
Chemical vapor deposition (CVD), 5–6, see also
specific type
Chemical wafer-thinning baths, 3–4
Chemisorption, 34
Chlorine
abatement systems, centralized, 100–102
aluminum etch, 59
line purges, 65
scrubbers, centralized, 108–110
Chlorine trifluorine, 57
Cleaning, equipment, 10–11
Clean-water wafer rinse operations, 10
CMP, see Chemical mechanical
planarization/polishing (CMP)
Cold bed systems, 33–34
Company philosophy, 31
Compound semiconductor wafer etch and fab,
10–11
Connections, exhaust challenges, 20–21
187
Corrosion, 18–19, 30
Cost of ownership, 77
CVD, see Chemical vapor deposition (CVD)
Cylinder and container gas-line purges, 64–65
D
Damage, 18–19, 30, 92
Design, centralized scrubbers, 80–85
Destruction and removal efficiency (DRE), 37
Development opportunities, POUs, 76–78
Dichlorosilane
epitaxial deposition, 55–56
gas cabinets, 10
line purges, 65
low-pressure chemical vapor deposition
nitride, 53
tungsten silicide chemical vapor deposition,
53
Dilution, emergency releases, 142–143
Doped oxide and poly-chemical vapor depositions,
45–49, 53–54
Doped poly and oxide, 54
DRE, see Destruction and removal efficiency
(DRE)
Dry resin abatement systems, 143–144
Dry technology systems, 72–73
Duct diameter, 19
Ductwork damage, 92
E
Emergency releases
abatement systems, 143–147
acid mist, 145–147
basics, 141
dilution, 142–143
dry resin, 143–144
gas cylinders, 141–142
low pressure, gas storage, 142
restricted flow orifice, 142
silane, 142
stack discharges, reducing concentration,
142–147
storage vessels, 141–142
subatmospheric pressure, gas storage, 142
wet scrubber, 144–147
Emissions data, 37
Environmental requirements
local agency restrictions, 136–137
newer silicon wafer fab (Example 7-2), 166
point-of-use devices, 31, 35
recuperative catalytic oxidation system, 118
188
Semiconductor Industry: Wafer Fab Exhaust Management
regenerative thermal oxidation systems,
115–116
rotor-concentrator, recuperative catalytic
oxidation systems, 124–125
EPI, see Epitaxy or epitaxial (EPI) deposition
Epitaxy or epitaxial (EPI) deposition
basics, 5, 16
point-of-use devices, 54–56
wet scrubbers, 70
Equipment, volatile organic compounds
acid exhaust systems, 13
ammonia exhaust systems, 14
basics, 13–14, 113–114
biofilter systems, 130
capture and recovery systems, 129–130
capture-oxidation systems, 119–128
fan location, 138
fixed-bed carbon vessels, 130
heat systems, 130
oxidation systems, 115–119
selection criteria, 130–137
specifications, 138–140
technologies, 114–130
testing, 138
Equipment cleaning, 10–11
Equipment technologies, centralized
biofilter systems, 130
capture and recovery systems, 129–130
capture-oxidation systems, 119–128
fixed-bed carbon vessels, 130
heat systems, 130
oxidation systems, 115–119
Etch processes, 6–7, see also specific process
Evaporators, 10
Excess negative static pressure, 26
Exhaust systems
air leaks, 22
ammonia, 16–18
bends, 18
connections, 20–21
corrosion, 18–19
damage, 18–19
duct diameter, 19
excess negative static pressure, 26
explosions, 19
fires, 19
fittings, 20–21
fluorine, 19–20
gaskets, 20–21
global warming gases, 23
heat exhaust lines, 26–27
hexamethyldisilazane, 21
individual, 16
industrial wastewater piping vent, 21
installation quality, 24
lack of exhaust, 22–23
leaks (air), 22
line diameter, 19
maintenance, 22
material types, 21–22
number of, 36–37
oil mist, 23
older silicon wafer fab (Example 7-1), 152–153
o-rings, 20–21
perfluorocompounds, 23
restrictions, 24
silane, 24
size, 21
toxic gases, 24
ultrapure water, 24–25
vertical tube cleaners, 25
water supplies, 24–25
wet benches (hoods), 25–26
“Y” heat exhaust lines, 26–27
zero unplanned downtime, 27
Exhaust systems, equipment examples
existing newer silicon wafer fab (7-2), 149,
161–166
existing older silicon wafer fab (7-1), 149–161
existing wafer manufacturing facility (7-6),
150, 180–181
existing year-old silicon wafer fab (7-3), 150,
166–169
new research and development fab (7-7), 150,
181–184
new silicon wafer fab (7-4), 150, 169–177
new small compound semiconductor wafer lab
(7-5), 150, 177–180
Exhaust systems, types
abatement of perfluorocompounds exhaust
system, centralized, 15
acid exhaust system, centralized, 13
ammonia exhaust system, centralized, 14
basics, 13
general and heat exhaust system, centralized,
14
high acid concentration, centralized system, 15
hydrogen reclaim system, centralized, 15
individual exhaust systems, 16
ion implanters, centralized aggregate system,
16
oxides of nitrogen, centralized system,
15–16
pyrophoric exhaust system, centralized, 14–15
recycle exhaust system, centralized, 15
silane gas cabinets, exhaust system, 16
strategy development, 74–76
toxic gas cabinets, exhaust system, 16
volatile organic compound exhaust system,
centralized, 14
Index
Existing installations
airflow rate, underdesigned, 131–132
newer silicon wafer fab (Example 7-2), 149,
161–166
older silicon wafer fab (Example 7-1), 149–161
single organic compound, higher removal
efficiency, 133
wafer manufacturing facility (Example 7-6),
150, 180–181
year-old silicon wafer fab (Example 7-3), 150,
166–169
Explosions, 19, 30
Exposure, personnel, 30
F
Fab examples, 67–73
Fab processes, 35
Facility-support operations, 2–3
Fans, 85, 138
Fires, 19, 30
Fittings, exhaust challenges, 20–21
Fixed-bed carbon vessels, 130
Fixed-bed systems, 129
Flame oxidation, 32
Fluidized-bed systems, 126–128, 130
Fluoride wastewater segregation, 77
Fluorine
centralized scrubbers, 91–92
exhaust challenges, 19–20
newer silicon wafer fab (Example 7-2),
163–164
Furnace process using ammonia, 58
Furnaces, 10
Furnace tubes, 9, 53–54
G
GaAs, see Gallium arsenide (GaAs)
Gallium arsenide (GaAs), 10, 66–67, 69–70
Gas cabinets, 9–10, 16
Gas cylinders, emergency releases, 141–142
Gaskets, exhaust challenges, 20–21
Gas-line purges, 64–65
Gas storage, controlling releases, 141–142
General and heat exhaust system, centralized, 14
Global warming gases, 23
Guides and guidelines, POUs, 37
H
HCl, see Hydrogen chloride (HCl)
Health requirements, 35
189
Heat exhaust lines, 26–27
Heat exhaust system, centralized, 14
Heat systems, 130
Hexamethyldisilazane (HMDS)
acid exhaust systems, centralized, 13
ammonia exhaust systems, centralized, 14
exhaust challenges, 21
High acid concentration, centralized system, 15
Higher removal efficiency, single organic
compound, 133
HMDS, see Hexamethyldisilazane (HMDS)
Hoods, see Wet benches
Horizontal crossflow centralized acid scrubber, 82
Hot bed reactors, 34
Hot chamber oxidation, 33
Hot nitric acid solution baths, 4, 88–89
Hydride cylinders, line purges, 64–65
Hydrochloric acid solution, 100, 161
Hydrogen
air leaks, 22
epitaxial deposition, 55–56
line purges, 65
point-of-use reactor systems, 34
reclaim system, centralized, 15
tungsten chemical vapor deposition, 51–52
Hydrogen chloride (HCl)
cylinders, line venting, 90
epitaxial deposition, 55–56
gas cabinets, 9
line purges, 65
I
Immediately dangerous to life and health (IDLH),
9
Indium gallium phosphide (InGaP), 11
Indium phosphide (InP), 10
Individual exhaust systems, 16
Industrial wastewater piping vent, 21
InGaP, see Indium gallium phosphide (InGaP)
Inlets
equipment selection, 132
point-of-use devices, purchasing, 36
tungsten chemical vapor deposition, 69
Inlets, better-performing, 77–78
Inorganic dopants, 46–47, 54–56
InP, see Indium phosphide (InP)
Installation
centralized scrubbers, design, 85
exhaust challenges, 24
point-of-use devices, 38–39
Interface process, 76–77
Ion implants, implanters, and implantation
centralized aggregate system, 16
190
Semiconductor Industry: Wafer Fab Exhaust Management
etch process, 61
manufacturing facilities, 7
L
Lack of exhaust, 22–23
Leaks (air), 22
Line diameter, exhaust challenges, 19
Line purges, 64–65
Line venting, 90
Liquid organic compounds, 129–130
Lower flammable limit (LFL)
Low-k chemical vapor deposition, 57–58
Low pressure, gas storage, 142
Low-pressure chemical vapor deposition
(LPCVD), 5–6, 53–54
M
Maintenance
centralized scrubbers, 99
exhaust challenges, 22
point-of-use devices, purchasing, 38
Makeup water, 94–96
Manufacturing facilities
acid solution tanks, 5
baths, 3–4
bulk chemical distribution, 10
chemical mechanical planarization (polishing),
9
chemical vapor deposition processes, 5–6
compound semiconductor wafer etch and fab,
10–11
equipment cleaning, 10–11
etch processes, 6–7
facility-support operations, 2–3
furnace tube cleaners, 9
gas cabinets, 9–10
ion implantation, 7
metal organic chemical vapor deposition,
10–11
minimum impact processes, 10
photolithography, 9
plating, 10
semiconductor process equipment, 3–11
silicon wafer fabs, 5–10
silicon wafers, 3–5
spray processes, 8
wafer fab layout, 1–2
wet benches (hoods), 7–8
Material types, 21–22, 35
Metal organic chemical vapor deposition
(MOCVD)
manufacturing facilities, 10–11
point-of-use devices, 65–67
wet scrubbers, 69–70
Minimum impact processes, 10
MOCVD, see Metal organic chemical vapor
deposition (MOCVD)
N
Neutralization plant discharge, 95–96
Newer silicon wafer fab (Example 7-2), 149,
161–166
New installations
airflow rate, underdesigned, 131
research and development fab (Example 7-7),
150, 181–184
silicon wafer fab (Example 7-4), 150, 169–177
small compound semiconductor wafer lab
(Example 7-5), 150, 177–180
specified control equipment, 132–133
tetraethylorthosilicate-based oxide chemical
vapor deposition, 67–68
Nitric acid solution baths, hot, 4, 88–89
Nitric oxide, see Oxides of nitrogen
Nitride etch process, 7, 59–60
Nitride-oxynitride chemical vapor deposition,
49–51, 70–72
Nitrogen trifluoride predevice CVD chamber
cleaning
doped oxide chemical vapor deposition, 47–49
new installation, 67–68
nitride chemical vapor deposition, 51
oxide chemical vapor deposition, 43–45
retrofitting, 68
tetraethylorthosilicate oxide chemical vapor
deposition, 67–68
tungsten chemical vapor deposition, 52
Nitrous oxide, 42–43, 46–47
Nonflame oxidation, 33
O
Odors
existing older silicon wafer fab (7-1), 149–161
newer silicon wafer fab (Example 7-2), 149,
161–166
outside fab, 91–92
Oil mist, exhaust challenges, 23
Older silicon wafer fab (Example 7-1), 149–161
Operation, centralized scrubbers, 80–99
Organic dopants, 48–49
O-rings, 20–21
Ownership cost, 77
Oxidation systems, 32–33, 115–119
Index
Oxide and poly-chemical vapor depositions,
42–45, 53–54
Oxide deposition, 6
Oxide etch process, 7, 59–60
Oxides of nitrogen
basics, 15–16
centralized scrubbers, 102–103, 110–112
fluidized-bed, thermal oxidation system, 128
large manufacturing sites, 133–134
limitations on emissions, 134–135
newer silicon wafer fab (Example 7-2),
165–166
recuperative catalytic oxidation system,
118–119
regenerative thermal oxidation system,
116–117
rotor-concentrator, recuperative catalytic
oxidation systems, 125–126
rotor-concentrator, recuperative thermal
oxidation systems, 122–123
Ozone, wet benches, 62–63
P
Particulate deposition, 150, 166–169
Particulate removal systems, 34
Passive air addition, 32, 72
PECVD, see Plasma-enhanced chemical vapor
deposition (PECVD)
Perfluorocompounds (PFCs)
abatement, 15, 59–60
doped oxide chemical vapor deposition, 46–48
etch processes, 59–60
exhaust challenges, 23
low-k chemical vapor deposition, 58
nitride chemical vapor deposition, 49–51
oxide chemical vapor deposition, 42–44
point-of-use devices, 31
tungsten chemical vapor deposition, 51–52
tungsten etch process, 61
tungsten silicide chemical vapor deposition, 53
Personnel
exposure, 30
newer silicon wafer fab (Example 7-2), 162
older silicon wafer fab (Example 7-1), 151
PFC, see Perfluorocompounds (PFCs)
pH, solutions, 96–97
Phosphine
gas cabinets, 9
ion implant, 61
line purges, 65
Photolithography, 9
Plasma-enhanced chemical vapor deposition
(PECVD), 5–6
191
Plating, manufacturing facilities, 10
Point-of-use (POU) devices, see also Surrounding
exhaust-line requirements
acid solutions, 63–64
aluminum etch, 59
ammonium hydroxide-hydrogen peroxide
solution, 63–64
basics, 29–31
cold bed systems, 33–34
cylinder and container gas-line purges, 64–65
development opportunities, 76–78
doped oxide and poly-chemical vapor
depositions, 45–49, 53–54
epitaxial deposition, 54–56
examples, 42–73
fab examples, 67–73
furnace process using ammonia, 58
gallium arsenide etch, 67
gas-line purges, 64–65
guides and guidelines, 37
hot bed reactors, 34
inlets, better-performing, 77–78
installation, 38–39
interface process, 76–77
ion implant etch process, 61
line purges, 64–65
low-k chemical vapor deposition, 57–58
low-pressure chemical vapor deposition,
53–54
metal organic metal vapor deposition, 65–67
nitride and oxynitride chemical vapor
depositions, 49–51
nitride etch process, 59–60
older silicon wafer fab (Example 7-1), 152–153
ownership cost, 77
oxidation systems, 32–33
oxide and poly-chemical vapor depositions,
42–45, 53–54
oxide etch process, 59–60
particulate removal systems, 34
poly etch process, 59–60
process examples, 42–67
purchasing, 35–38
reactor systems, 34
reclaim or recycle systems, 35
silane, 53–54
spray processes, 63–64
strategy development, 73–76
technologies, 31–35
titanium nitride chemical vapor deposition,
56–57
tungsten chemical vapor deposition, 51–52
tungsten etch process, 61
tungsten silicide chemical vapor deposition, 53
vent gas purges, 64–65
192
Semiconductor Industry: Wafer Fab Exhaust Management
wet benches, 61–63
wet scrubbing systems, 32
Poly deposition, 6
Poly etch process, 7, 59–60
POU, see Point-of-use (POU) devices
Preventive maintenance, centralized scrubbers, 99
Processes, point-of-use devices, 35, 42–67
Project plans, 153–161, 163–166
Purchasing, point-of-use devices, 35–38
Pyrophoric exhaust system, 14–16, 72
Q
Quote specifications, 37–38
R
Reactor systems, 34
Reclaim or recycle systems
basics, 15
centralized scrubbers, 94–95
point-of-use devices, 35
Recuperative catalytic oxidation systems, 117–119
Reduced pressure (RP), see Epitaxy or epitaxial
(EPI) deposition
Regenerative thermal oxidation systems, 115–117
Research and development fab (Example 7-7), 150,
181–184
Restricted flow orifice, 142
Restrictions, exhaust challenges, 24
Retrofitting, chemical vapor deposition equipment,
68
Reverse osmosis (RO), 95
RO, see Reverse osmosis (RO)
Roof exhaust, 66
Rotor-concentrator, recuperative catalytic
oxidation systems, 123–126
Rotor-concentrator, recuperative thermal oxidation
systems, 120–123
RP (reduced pressure), see Epitaxy or epitaxial
(EPI) deposition
S
SACVD, see Subatmospheric chemical vapor
deposition (SACVD)
Safety requirements, 35
SC1, see Standard clean 1 (SC1)
Scaling, centralized scrubbers, 98–99
Scrubbers, see Centralized scrubbers; Wet
scrubbers
Selection criteria, controlling volatile organic
compounds, 130–137
Semiconductor process equipment, 3–11
Silane
air leaks, 22
doped oxide chemical vapor deposition, 46–47
emergency releases, 142
exhaust challenges, 24
gas cabinets, 9
gas cabinets, exhaust system, 16
low-pressure chemical vapor deposition, 53–54
nitride chemical vapor deposition, 49–51
oxide chemical vapor deposition, 4243
point-of-use devices, 53–54
pyrophoric exhaust system, 72
tungsten chemical vapor deposition, 51–52
Silicon-containing organic compounds, 58
Silicon epitaxy deposition, 5
Silicon germanium epitaxy deposition, 5
Silicon wafer fabs
manufacturing facilities, 5–10
newer (Example 7-2), 149, 161–166
new (Example 7-4), 150, 169–177
older (Example 7-1), 149–161
year-old (Example 7-3), 150, 166–169
Silicon wafers, manufacturing facilities, 3–5
Single organic compound, higher removal
efficiency, 133
Site-specific information, point-of-use devices,
35–36
Size, exhaust challenges, 21
Small compound semiconductor wafer lab
(Example 7-5), 150, 177–180
Solution baths, hot nitric acid, 4
Solution (scrubbers)
distribution, 84–85
pH, 96–97
water, emergency release, 144–147
Spare parts, 38, 137
Specifications, 103–112, 138–140
Spray processes
acid solutions, 70
ammonium hydroxide-hydrogen peroxide
solution, 70
manufacturing facilities, 8
point-of-use devices, 63–64
Sputterers, 10
Stack discharges, reducing concentration, 142–147
Standard clean 1 (SC1), 4, see also ammonium
hydroxide-hydrogen peroxide solution
Standards, point-of-use devices, 37
Steam regeneration, 129
Steppers, 10
Storage tanks and vessels, 5, 141–142
Strategy development, 73–76, 152–153
Subatmospheric chemical vapor deposition
(SACVD), 5
Index
Subatmospheric pressure, gas storage, 142
Sulfuric acid and sulfuric acid solution, 62–63,
88–89
Surrounding exhaust-line requirements, see also
Point-of-use (POU) devices
basics, 39–40
bends, 41
connections, 41
diameter, 41
distance, 41
materials, 41
negative static pressure, 42
outlet connection, process pump, 40–41
processes, 40
strategy development, 73–76
wet scrubbers, 41
T
Technologies
acid exhaust systems, 13
ammonia exhaust systems, 14
basics, 13–14, 113–114
biofilter systems, 130
capture and recovery systems, 129–130
capture-oxidation systems, 119–128
fan location, 138
fixed-bed carbon vessels, 130
heat systems, 130
oxidation systems, 115–119
point-of-use devices, 31–35
selection criteria, 130–137
specifications, 138–140
technologies, 114–130
testing, 138
TEOS, see Tetraethylorthosilicate (TEOS)
Testing, 82, 138
Test operations, 10
Tetraethylorthosilicate (TEOS)
doped oxide chemical vapor deposition, 48–49
oxide chemical vapor deposition, 44–45, 67–68
Thermal oxidation systems
acid wastewater treatment, 73
controlling volatile organic compounds,
126–128
nitride-oxynitride chemical vapor deposition,
70–72
tungsten chemical vapor deposition, 72
Titanium nitride chemical vapor deposition, 56–57
Titanium tetrachloride, 57
Toxic gases, 16, 24
Tungsten chemical vapor deposition
air leaks, 22
basics, 6
193
dry technology systems, 72–73
inlet plugging, 69
point-of-use devices, 51–52
thermal oxidation systems, 72
Tungsten etch process, 7, 61
Tungsten hexafluoride
gas cabinets, 10
tungsten chemical vapor deposition, 22, 51–52
tungsten silicide chemical vapor deposition, 53
Tungsten silicide chemical vapor deposition, 6, 53
U
Ultrapure water (UPW), 24–25
Undoped poly and oxide, 54
V
Vent gas purges, 64–65
Vertical countercurrent centralized acid scrubber,
82
Vertical tube cleaners
acid mists, 89
exhaust challenges, 25
low-pressure chemical vapor deposition, 53
low-pressure chemical vapor deposition
nitride, 54
Visible emissions
newer silicon wafer fab (Example 7-2), 149,
161–166
older silicon wafer fab (Example 7-1), 149–161
wafer manufacturing facility (Example 7-6),
150, 180–181
year-old silicon wafer fab (Example 7-3), 150,
166–169
VOC, see Volatile organic compounds (VOCs)
Volatile organic compounds (VOCs)
centralized acid exhaust systems, 13
centralized scrubbers, 92–94
exhaust system, centralized, 14
newer silicon wafer fab (Example 7-2),
165–166
older silicon wafer fab (Example 7-1), 159–161
silicon wafer fab (Example 7-4), 171–172
Volatile organic compounds (VOCs), centralized
equipment
acid exhaust systems, 13
ammonia exhaust systems, 14
basics, 13–14, 113–114
biofilter systems, 130
capture and recovery systems, 129–130
capture-oxidation systems, 119–128
fan location, 138
fixed-bed carbon vessels, 130
194
Semiconductor Industry: Wafer Fab Exhaust Management
heat systems, 130
oxidation systems, 115–119
selection criteria, 130–137
specifications, 138–140
technologies, 114–130
testing, 138
W
Wafer fab layout, 1–2
Wafer manufacturing facility (Example 7-6), 150,
180–181
Wafer-thinning baths, 3–4
Warranty, 38
Wastewater discharge, 95–96, 99
Water supplies, exhaust challenges, 24–25
Water usage, 76
Wet benches
ammonium hydroxide-hydrogen peroxide
solution, 69
aqua regia bath, 68–69
exhaust challenges, 25–26
low-pressure chemical vapor deposition
nitride, 53
manufacturing facilities, 7–8
point-of-use devices, 61–63
Wet scrubbers, see also Centralized scrubbers
acid wastewater treatment, 73
emergency releases, 144–147
epitaxial process, 70
metal organic chemical vapor deposition,
69–70
nitride-oxynitride chemical vapor deposition,
72
point-of-use devices, 32
wet bench exhaust, 69
Y
Year-old silicon wafer fab (Example 7-3), 150,
166–169
“Y” heat exhaust lines, 26–27
Z
Zero unplanned downtime, 2
Download