10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 12 out of 15 Submitted Oct 3 at 12am This attempt took 14 minutes. Incorrect Question 1 0 / 1 pts How can package routines be accessed with non qualified names? use an import statement They may never be used non qualified https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Type the names in all Caps place the package in the module Question 2 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port matches anything placed on a port indicates this is an unconnected output Allows for a variable number of port maps Question 3 1 / 1 pts How can the top level scope be specified? /home https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN $home /top $root Question 4 1 / 1 pts A package may contain an initial block (T/F) True False Question 5 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 6 1 / 1 pts A package may contain a module (T/F) True False Question 7 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package fred { }; package ; name : fred; endpackage Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 4/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN A structure can be passed through a port (T/F) True False Question 9 1 / 1 pts what is the string code for a vertical tab? \v Question 10 1 / 1 pts 'reg' is used to create Latches Flip-flops None of the above https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Registers Logic All of the above Incorrect Question 11 0 / 1 pts Why is there more than one type of 'always' block in System Verilog? Provide for multiple logic families Indicate purpose of the block Provide FF resert Provide FF set Question 12 1 / 1 pts What value cannot be assigned to a string? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN "'" (quote) "%" percent used for formatting "" empty string value 0 Question 13 1 / 1 pts Which function converts a string to an integer atoi() Incorrect Question 14 0 / 1 pts modport namespaces are globally shared don't exist https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN are unique to each interface are common in a module Question 15 1 / 1 pts A net declared in an interface bundle is of default direction ref in inout out Quiz Score: 12 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History Attempt Time Score KEPT Attempt 2 10 minutes 14.5 out of 15 LATEST Attempt 2 10 minutes 14.5 out of 15 Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 14.5 out of 15 Submitted Oct 3 at 5:28pm This attempt took 10 minutes. Question 1 1 / 1 pts what does .* do on a module port? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN indicates this is an unconnected output matches anything placed on a port Allows for a variable number of port maps maps variables with the same name as the port Question 2 1 / 1 pts What can be passed through a module port in system verilog? reg, integer, time Any data type reg, logic, int, integer, time variables, functions, tasks Question 3 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 2/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN How can the top level scope be specified? $root $home /top /home Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 6 1 / 1 pts what is an 'extern module'? A module compiled separatly reference to 'C' code A module with a unique name space A module from another design. No relation to this design. Question 7 1 / 1 pts Packages create a named scope within a module (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN False Question 8 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps They may never be used non qualified place the package in the module use an import statement Question 9 1 / 1 pts write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; integer c; https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN } (no spaces in the literal) '{a:1,b:2,c:3} Partial Question 10 0.5 / 1 pts Which are valid module port directions? output global input bidirectional inout Question 11 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 6/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Why is there more than one type of 'always' block in System Verilog? Indicate purpose of the block Provide for multiple logic families Provide FF resert Provide FF set Question 12 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Question 13 1 / 1 pts What are the values of bits in an integer? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 1 s w u z x 0 Question 14 1 / 1 pts what is an 'unspecified interface reference' ? An error a interface placeholder to be selected at module instantiation An unused interface object in implicit interface https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 15 1 / 1 pts A modport may contain a clocking declaration True False Quiz Score: 14.5 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 9/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History Attempt Time Score KEPT Attempt 2 10 minutes 14.5 out of 15 LATEST Attempt 2 10 minutes 14.5 out of 15 Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 14.5 out of 15 Submitted Oct 3 at 5:28pm This attempt took 10 minutes. Question 1 1 / 1 pts what does .* do on a module port? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN indicates this is an unconnected output matches anything placed on a port Allows for a variable number of port maps maps variables with the same name as the port Question 2 1 / 1 pts What can be passed through a module port in system verilog? reg, integer, time Any data type reg, logic, int, integer, time variables, functions, tasks Question 3 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 2/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN How can the top level scope be specified? $root $home /top /home Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 6 1 / 1 pts what is an 'extern module'? A module compiled separatly reference to 'C' code A module with a unique name space A module from another design. No relation to this design. Question 7 1 / 1 pts Packages create a named scope within a module (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN False Question 8 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps They may never be used non qualified place the package in the module use an import statement Question 9 1 / 1 pts write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; integer c; https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN } (no spaces in the literal) '{a:1,b:2,c:3} Partial Question 10 0.5 / 1 pts Which are valid module port directions? output global input bidirectional inout Question 11 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 6/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Why is there more than one type of 'always' block in System Verilog? Indicate purpose of the block Provide for multiple logic families Provide FF resert Provide FF set Question 12 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Question 13 1 / 1 pts What are the values of bits in an integer? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 1 s w u z x 0 Question 14 1 / 1 pts what is an 'unspecified interface reference' ? An error a interface placeholder to be selected at module instantiation An unused interface object in implicit interface https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 15 1 / 1 pts A modport may contain a clocking declaration True False Quiz Score: 14.5 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 9/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 12 out of 15 Submitted Oct 3 at 12am This attempt took 14 minutes. Incorrect Question 1 0 / 1 pts How can package routines be accessed with non qualified names? use an import statement They may never be used non qualified https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Type the names in all Caps place the package in the module Question 2 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port matches anything placed on a port indicates this is an unconnected output Allows for a variable number of port maps Question 3 1 / 1 pts How can the top level scope be specified? /home https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN $home /top $root Question 4 1 / 1 pts A package may contain an initial block (T/F) True False Question 5 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 6 1 / 1 pts A package may contain a module (T/F) True False Question 7 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package fred { }; package ; name : fred; endpackage Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 4/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN A structure can be passed through a port (T/F) True False Question 9 1 / 1 pts what is the string code for a vertical tab? \v Question 10 1 / 1 pts 'reg' is used to create Latches Flip-flops None of the above https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Registers Logic All of the above Incorrect Question 11 0 / 1 pts Why is there more than one type of 'always' block in System Verilog? Provide for multiple logic families Indicate purpose of the block Provide FF resert Provide FF set Question 12 1 / 1 pts What value cannot be assigned to a string? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN "'" (quote) "%" percent used for formatting "" empty string value 0 Question 13 1 / 1 pts Which function converts a string to an integer atoi() Incorrect Question 14 0 / 1 pts modport namespaces are globally shared don't exist https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN are unique to each interface are common in a module Question 15 1 / 1 pts A net declared in an interface bundle is of default direction ref in inout out Quiz Score: 12 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/8 10/3/2019 Varadharajan Lakshmi Narayanan's Quiz History: Quiz ch-23 Quiz ch-23 Results for Varadharajan Lakshmi Narayanan Correct answers are hidden. Score for this attempt: 14.33 out of 15 Submitted Oct 3 at 5:46pm This attempt took 8 minutes. Question 1 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 2 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 3 1 / 1 pts A package may contain a module (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011/history?version=2 1/6 10/3/2019 Varadharajan Lakshmi Narayanan's Quiz History: Quiz ch-23 False Question 4 1 / 1 pts What can be passed through a module port in system verilog? reg, logic, int, integer, time Any data type reg, integer, time variables, functions, tasks Question 5 1 / 1 pts what is an 'extern module'? A module from another design. No relation to this design. A module compiled separatly reference to 'C' code A module with a unique name space Question 6 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011/history?version=2 2/6 10/3/2019 Varadharajan Lakshmi Narayanan's Quiz History: Quiz ch-23 True False Question 7 1 / 1 pts How can the top level scope be specified? /top $root $home /home Question 8 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package ; name : fred; endpackage package fred { }; Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323011/history?version=2 1 / 1 pts 3/6 10/3/2019 Varadharajan Lakshmi Narayanan's Quiz History: Quiz ch-23 reg [3:0] val = `1 Sets val to: "0001" "zzz1" "1111" "xxx1" Question 10 1 / 1 pts How many bits are in a Verilog integer 32 Partial Question 11 0.33 / 1 pts A module port requires providing the following information (Select all that are correct) Default values Size Direction Name Hierarchy Name https://sjsu.instructure.com/courses/1326719/quizzes/1323011/history?version=2 4/6 10/3/2019 Varadharajan Lakshmi Narayanan's Quiz History: Quiz ch-23 Drive strength Question 12 1 / 1 pts To convert a string to lower case, use the function tolower() Question 13 1 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z=a.compare(b); Question 14 1 / 1 pts A specify block cannot use an interface True False Question 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011/history?version=2 1 / 1 pts 5/6 10/3/2019 Varadharajan Lakshmi Narayanan's Quiz History: Quiz ch-23 Access to all declared interface objects is always available by simulation termination hierarchical reference interface enums the export property Quiz Score: 14.33 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011/history?version=2 6/6 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 12 out of 15 Submitted Oct 3 at 4:54pm This attempt took 18 minutes. Question 1 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '->' '.' '*>' '::' Question 2 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Incorrect Question 3 0 / 1 pts What can be passed through a module port in system verilog? Any data type reg, integer, time variables, functions, tasks reg, logic, int, integer, time Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts what is an 'extern module'? A module with a unique name space https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN reference to 'C' code A module compiled separatly A module from another design. No relation to this design. Incorrect Question 6 0 / 1 pts 'ref' is a valid port direction (T/F) True False Question 7 1 / 1 pts What syntax correctly declares a package? package fred { }; package ; name : fred; endpackage fred package { }; package fred; endpackage Question 8 1 / 1 pts How can package routines be accessed with non qualified names? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN use an import statement They may never be used non qualified place the package in the module Type the names in all Caps Question 9 1 / 1 pts ab abarr ='{1, 1.0}; is an example of: A class literal A structure literal An integer concatenation A compiler error Question 10 1 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... end https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 11 1 / 1 pts Event variables are used to: track falling edge events signal and wait for events track rising edge events record event times Question 12 1 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z=a.compare(b); Question 13 1 / 1 pts How can the number of characters in string str be determined? len(str) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN str.size() size(str) str.len() Question 14 1 / 1 pts A virtual interface should not be used (Check all that apply) with clocking as ports in an initial block as interface items as members of unions In an always block Incorrect Question 15 0 / 1 pts Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) [input logic clk]; interface sjsu_bus PARAM(AWIDTH = 11, DWIDTH = 9) (input logic clk); interface #(AWIDTH = 11, DWIDTH = 9) sjsu_bus(input logic clk); interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Score: 12 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6)16 PM Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 17 minutes 15 out of 15 ! Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 6:16pm This attempt took 17 minutes. Question 1 1 / 1 pts How can the top level scope be specified? $home $root /home /top https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 1 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 10/3/19, 6)16 PM 1 / 1 pts 'ref' is a valid port direction (T/F) True False Question 3 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '*>' '::' '->' '.' Question 4 1 / 1 pts A structure can be passed through a port (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 2 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 10/3/19, 6)16 PM 1 / 1 pts A package may contain an initial block (T/F) True False Question 6 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps They may never be used non qualified place the package in the module use an import statement Question 7 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 3 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6)16 PM False Question 8 1 / 1 pts what does .* do on a module port? matches anything placed on a port Allows for a variable number of port maps indicates this is an unconnected output maps variables with the same name as the port Question 9 1 / 1 pts int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: Integer concatenation nested class initialization Use of an array literal A compile error https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 4 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 10 10/3/19, 6)16 PM 1 / 1 pts A module port requires providing the following information (Select all that are correct) Default values Direction Drive strength Size Name Name Hierarchy Question 11 1 / 1 pts 'reg' is used to create All of the above Flip-flops Latches Logic None of the above https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 5 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6)16 PM Registers Question 12 1 / 1 pts System Verilog adds which data types over Verilog (2k) class realtime shortreal string event chandle Question 13 1 / 1 pts reg is equivalent to which SV logic type? logic shortreg latch flipflop https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 6 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 14 10/3/19, 6)16 PM 1 / 1 pts Tasks cannot be placed in an interface True False Question 15 1 / 1 pts Virtual interfaces can be declared as a class property True False Quiz Score: 15 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 7 of 7 Quiz ch-23 Results for Navika Iyer Correct answers are hidden. Score for this attempt: 14 out of 15 Submitted Oct 3 at 6:47pm This attempt took 20 minutes. Question 1 1 / 1 pts A structure can be passed through a port (T/F) True False Question 2 1 / 1 pts what does .* do on a module port? Allows for a variable number of port maps maps variables with the same name as the port indicates this is an unconnected output matches anything placed on a port Question 3 1 / 1 pts How can the top level scope be specified? / $root /home /top $home Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 6 1 / 1 pts 'ref' is a valid port direction (T/F) True / False Question 7 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 8 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '.' '->' '::' '*>' Question 9 1 / 1 pts what is the string code for a vertical tab? \v / Incorrect Question 10 0 / 1 pts A case statement requires matching a variable in the case expression to constant case choices. True False Question 11 1 / 1 pts How many bits are in a Verilog integer 32 Question 12 1 / 1 pts Which types are signed? reg bit byte logic integer int / shortint Question 13 1 / 1 pts Which type is zero extended? integer shortreal int reg Question 14 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Question 15 1 / 1 pts 'modport' names must be master or slave, or m or s start with the character '$' / are optional Can be any interface symbol not used otherwise Quiz Score: 14 out of 15 / Quiz ch-23 Results for Navika Iyer Correct answers are hidden. Score for this attempt: 14 out of 15 Submitted Oct 3 at 6:27pm This attempt took 9 minutes. Question 1 1 / 1 pts what is an 'extern module'? A module with a unique name space A module compiled separatly A module from another design. No relation to this design. reference to 'C' code Question 2 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '.' '->' '::' '*>' Question 3 1 / 1 pts / what does .* do on a module port? indicates this is an unconnected output Allows for a variable number of port maps maps variables with the same name as the port matches anything placed on a port Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps place the package in the module use an import statement They may never be used non qualified / Question 6 1 / 1 pts A package may contain an initial block (T/F) True False Question 7 1 / 1 pts How can the top level scope be specified? /top $home /home $root Question 8 1 / 1 pts A structure can be passed through a port (T/F) True False Question 9 1 / 1 pts / reg [3:0] val = `1 Sets val to: "zzz1" "0001" "1111" "xxx1" Question 10 1 / 1 pts Event variables are used to: record event times signal and wait for events track falling edge events track rising edge events Incorrect Question 11 0 / 1 pts Modules connect to other modules using interface / Question 12 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? 0 1 Z X Question 13 1 / 1 pts which function converts a string to a real value atoreal Question 14 1 / 1 pts What do interface modport declarations provide? limits interface signals and thier directions provides the ability to modify a port during simulation Modifies bus sizes in real time models a port for bus connections / Question 15 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 14 out of 15 / Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Attempt History Attempt Time Score KEPT Attempt 3 10 minutes 15 out of 15 LATEST Attempt 3 10 minutes 15 out of 15 Attempt 2 20 minutes 14 out of 15 Attempt 1 9 minutes 14 out of 15 Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 7:02pm This attempt took 10 minutes. Question 1 1 / 1 pts A package may contain an initial block (T/F) True False Question 2 1 / 1 pts what is an 'extern module'? A module from another design. No relation to this design. / A module compiled separatly A module with a unique name space reference to 'C' code Question 3 1 / 1 pts A structure can be passed through a port (T/F) True False Question 4 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 5 1 / 1 pts timeprecision must be a power of 20 units True False / Question 6 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package ; name : fred; endpackage package fred { }; Question 7 1 / 1 pts what does .* do on a module port? indicates this is an unconnected output maps variables with the same name as the port Allows for a variable number of port maps matches anything placed on a port Question 8 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps / place the package in the module use an import statement They may never be used non qualified Question 9 1 / 1 pts `x is a valid literal True False Question 10 1 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... end True False Question 11 1 / 1 pts / Event variables are used to: track rising edge events record event times track falling edge events signal and wait for events Question 12 1 / 1 pts which function converts a string to a real value atoreal Question 13 1 / 1 pts What value cannot be assigned to a string? 0 "'" (quote) "" empty string value "%" percent used for formatting Question 14 1 / 1 pts / Interfaces cannot have parameters True False Question 15 1 / 1 pts Tasks cannot be placed in an interface True False Quiz Score: 15 out of 15 / Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 10 minutes 15 out of 15 ! Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 6:51pm This attempt took 10 minutes. Question 1 1 / 1 pts timeprecision must be a power of 20 units True False Question 2 1 / 1 pts A package may contain an initial block (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 1 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM True False Question 3 1 / 1 pts What can be passed through a module port in system verilog? variables, functions, tasks reg, logic, int, integer, time reg, integer, time Any data type Question 4 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts Page 2 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM How can the top level scope be specified? /home $home /top $root Question 6 1 / 1 pts A structure can be passed through a port (T/F) True False Question 7 1 / 1 pts 'ref' is a valid port direction (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 3 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 8 10/3/19, 6:53 PM 1 / 1 pts what is an 'extern module'? reference to 'C' code A module compiled separatly A module with a unique name space A module from another design. No relation to this design. Question 9 1 / 1 pts what is the string code for a vertical tab? \v Question 10 1 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 4 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM end True False Question 11 1 / 1 pts The repeat statement in synthesis: repeat(5) begin . . . end makes a bus with 5 times more bits makes 5 copies of the logic is illegal results in logic 5 times faster Question 12 1 / 1 pts Which type is zero extended? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 5 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM reg int integer shortreal Question 13 1 / 1 pts Which types are signed? shortint bit byte logic reg integer int Question 14 1 / 1 pts A module can be instantiated in an interface https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 6 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM True False Question 15 1 / 1 pts An interface can be passed through ports True False Quiz Score: 15 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 7 of 7 Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Attempt History Attempt Time Score KEPT Attempt 3 12 minutes 15 out of 15 LATEST Attempt 3 12 minutes 15 out of 15 Attempt 2 7 minutes 13.67 out of 15 Attempt 1 20 minutes 9.5 out of 15 Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 3:15pm This attempt took 12 minutes. Question 1 What syntax correctly declares a package? package ; name : fred; endpackage package fred; endpackage fred package { }; package fred { }; 1 / 1 pts Question 2 1 / 1 pts timeprecision must be a power of 20 units True False Question 3 1 / 1 pts A structure can be passed through a port (T/F) True False Question 4 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 5 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port Allows for a variable number of port maps indicates this is an unconnected output matches anything placed on a port Question 6 1 / 1 pts 'ref' is a valid port direction (T/F) True False Question 7 How can package routines be accessed with non qualified names? use an import statement They may never be used non qualified place the package in the module Type the names in all Caps 1 / 1 pts Question 8 1 / 1 pts What can be passed through a module port in system verilog? variables, functions, tasks reg, logic, int, integer, time reg, integer, time Any data type Question 9 1 / 1 pts reg [3:0] val = `1 Sets val to: "1111" "zzz1" "xxx1" "0001" Question 10 1 / 1 pts A module port requires providing the following information (Select all that are correct) Drive strength Direction Default values Name Hierarchy Name Size Question 11 1 / 1 pts Which are valid module port directions? inout output global bidirectional input Question 12 match the following functions to definitions where: 1 / 1 pts str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 13 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 14 A virtual interface should not be used (Check all that apply) as interface items In an always block in an initial block 1 / 1 pts with clocking as ports as members of unions Question 15 1 / 1 pts An interface can be passed through ports True False Quiz Score: 15 out of 1 Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History Attempt Time Score KEPT Attempt 1 19 minutes 11.5 out of 15 LATEST Attempt 2 19 minutes 11 out of 15 Attempt 1 19 minutes 11.5 out of 15 Correct answers are hidden. Score for this attempt: 11 out of 15 Submitted Oct 2 at 10:39pm This attempt took 19 minutes. Question 1 1 / 1 pts A structure can be passed through a port (T/F) True False Question 2 what is an 'extern module'? A module from another design. No relation to this design. A module with a unique name space reference to 'C' code A module compiled separatly 1 / 1 pts Incorrect Question 3 0 / 1 pts In old verilog, what could be passed through a module port? (Check all that apply) logic Not old verilog, but system verilog reg real time int Not old verilog, but system verilog integer short Not old verilog, but system verilog Question 4 1 / 1 pts How can the top level scope be specified? /home $root /top $home Question 5 Module declaration names can be reused in different files compiled together (T/F) 1 / 1 pts True False Question 6 1 / 1 pts timeprecision must be a power of 20 units True False Question 7 1 / 1 pts What syntax correctly declares a package? package ; name : fred; endpackage package fred { }; package fred; endpackage fred package { }; Question 8 What is the scope resolution operator (Shown in single quotes)? '::' '->' '*>' '.' 1 / 1 pts Incorrect Question 9 0 / 1 pts write a literal to initialize a twenty element array of a structure containing two elements a and b with 4 given to a and -1 given to b (no spaces in answer) '{20{4,-1}} Incorrect Question 10 0 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... end True False Incorrect Question 11 0 / 1 pts Modules connect to other modules using ports Question 12 String variables are: (Mark all correct An ordered collection of characters 1 / 1 pts Automatically converted to 'integer' as needed Dynamic Characters is of type shortint indexable Question 13 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? 1 X 0 Z Question 14 1 / 1 pts A module can be instantiated in an interface True False Question 15 What do interface modport declarations provide? limits interface signals and thier directions Modifies bus sizes in real time provides the ability to modify a port during simulation 1 / 1 pts models a port for bus connections Quiz Score: 11 out of 15 Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 9.5 out of 15 Correct answers are hidden. Score for this attempt: 9.5 out of 15 Submitted Oct 2 at 2:01pm This attempt took 20 minutes. Question 1 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '.' '*>' '->' '::' Question 2 1 / 1 pts A structure can be passed through a port (T/F) True False Question 3 1 / 1 pts what does .* do on a module port? Allows for a variable number of port maps matches anything placed on a port maps variables with the same name as the port indicates this is an unconnected output Question 4 What syntax correctly declares a package? package fred { }; package fred; endpackage package ; name : fred; endpackage fred package { }; 1 / 1 pts Question 5 1 / 1 pts 'ref' is a valid port direction (T/F) True False Incorrect Question 6 0 / 1 pts A package may contain an initial block (T/F) True False Question 7 How can the top level scope be specified? /top $root /home $home 1 / 1 pts Incorrect Question 8 0 / 1 pts A package may contain a module (T/F) True False Incorrect Question 9 0 / 1 pts `x is a valid literal True False Question 10 1 / 1 pts How many bits are in a Verilog integer 64 Spec allows this, but synthesis makes 32... Partial Question 11 0.5 / 1 pts Which are valid module port directions? output inout bidirectional input global Incorrect Question 12 0 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other Incorrect Question 13 What are the values of bits in an integer? z w 1 x 0 / 1 pts s 0 u Question 14 1 / 1 pts A net declared in an interface bundle is of default direction inout in out ref Question 15 1 / 1 pts Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); interface #(AWIDTH = 11, DWIDTH = 9) sjsu_bus(input logic clk); interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) [input logic clk]; interface sjsu_bus PARAM(AWIDTH = 11, DWIDTH = 9) (input logic clk); Quiz Score: 9.5 out of 1 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 13 out of 15 Correct answers are hidden. Score for this attempt: 13 out of 15 Submitted Oct 2 at 10:03am This attempt took 20 minutes. Question 1 1 / 1 pts what is an 'extern module'? A module compiled separatly A module with a unique name space A module from another design. No relation to this design. reference to 'C' code Question 2 1 / 1 pts A package may contain a module (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 3 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 4 1 / 1 pts what does .* do on a module port? indicates this is an unconnected output maps variables with the same name as the port matches anything placed on a port Allows for a variable number of port maps Question 5 1 / 1 pts What can be passed through a module port in system verilog? reg, logic, int, integer, time https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN variables, functions, tasks Any data type reg, integer, time Question 6 1 / 1 pts What syntax correctly declares a package? fred package { }; package ; name : fred; endpackage package fred { }; package fred; endpackage Question 7 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 8 1 / 1 pts timeprecision must be a power of 20 units https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 9 1 / 1 pts int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: A compile error Use of an array literal nested class initialization Integer concatenation Incorrect Question 10 0 / 1 pts 'reg' is used to create Flip-flops Latches All of the above Registers None of the above Logic https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 11 1 / 1 pts What will be the value of XX after executing the following code? reg[38:0] XX; XX=0; repeat(3) repeat(3) XX=XX+2; 18 Question 12 1 / 1 pts String variables are: (Mark all correct An ordered collection of characters indexable Automatically converted to 'integer' as needed Characters is of type shortint Dynamic Question 13 1 / 1 pts Which function converts a string to an integer https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN atoi() Incorrect Question 14 0 / 1 pts 'modport' names are optional must be master or slave, or m or s start with the character '$' Can be any interface symbol not used otherwise Question 15 1 / 1 pts Tasks cannot be placed in an interface True False Quiz Score: 13 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/6 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Time Limit 20 Minutes Points 20 Questions 20 Allowed Attempts 2 Available until Sep 24 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 14.17 out of 20 Correct answers are hidden. Score for this attempt: 14.17 out of 20 Submitted Sep 24 at 5:24pm This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts Write the opcode for arithmetic shift left sal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/12 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 0 / 1 pts What has higher precedence than '^' == && | * Incorrect Question 3 0 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN It is a syntax error It blocks assignments to vi1 Any reads of Valid will flag an error It defines invalid linked to 57 Question 4 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 3/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Which operator takes the nand of the bits in a vector? !& &~ /& ~& Question 6 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 7 1 / 1 pts Which operator provides concatenation? <-> {} + [] Question 8 1 / 1 pts Which operator provides string concatenation? {} [] + <- https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 / 1 pts Question 9 What identifies an assignment pattern [] () {} '{} Partial 0.17 / 1 pts Question 10 Arrange the following operators precedence order (1 is highest) () 1 ^ 6 ** 2 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN * 3 + 4 << 5 Question 11 1 / 1 pts What is the difference between === and == ? How X and Z are handled two and 4 valued compares Ascii sorting order No difference Question 12 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 7/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN What is the XOR operator? ~ ^ @ % Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a An error c b https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 14 1 / 1 pts What follows the 'with' keyword on a stream The keyword 'out' An error message A data type A range statement Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN bind + function float qqxi(int, float); bind + qqxi(int, float) operator+ float(int,float); float + (int,float) Question 16 1 / 1 pts You can overload the '+' operator for two floating point real values True False Question 17 1 / 1 pts An interface can contain a task definition True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 10/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Question 18 1 / 1 pts When can a hierarchical reference be made to interface elements Never When passed in an module port list before object binding always Question 19 1 / 1 pts Which operators work with a chandle variable? !=, !== ==, === https://sjsu.instructure.com/courses/1326719/quizzes/1323009 11/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN +, *, /, % <, >, <=, >= Incorrect Question 20 0 / 1 pts Associative arrays can be passed to a task as a dynamic array. True False Quiz Score: 14.17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 12/12 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM Quiz Ch-10-11 Due 24 Sep at 22:00 Points 20 Available until 24 Sep at 22:05 Questions 20 Time limit 20 Minutes Allowed attempts 2 Take the quiz again Attempt history LATEST Attempt Time Score Attempt 1 10 minutes 18.67 out of 20 ! Correct answers are hidden. Score for this attempt: 18.67 out of 20 Submitted 24 Sep at 17:55 This attempt took 10 minutes. Question 1 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} '{y,y,y.y,y,y} '{6y} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 9/24/19, 5)56 PM 1 / 1 pts Write the operator to raise one value to a power. ** Question 3 1 / 1 pts Which operator provides string concatenation? [] {} <+ Question 4 1 / 1 pts real values can be anded together True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 9/24/19, 5)56 PM 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Is illegal Assigns the target the value of the target and the right side expression Question 6 1 / 1 pts What identifies an assignment pattern [] {} '{} () Question 7 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM two and 4 valued compares Ascii sorting order No difference How X and Z are handled Question 8 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error It defines invalid linked to 57 Any reads of Valid will flag an error It blocks assignments to vi1 Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 16'sh4321 16'sh1234 32'h11223344 32'h1234 Question 10 1 / 1 pts what are the two uses of the & operator bitwise and logical and bitwise and logical and reduction and Question 11 1 / 1 pts Which operator provides concatenation? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM <-> {} [] + Question 12 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b0 NULL logical 1'b1 Nothing, it is a void item Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates c b An error a Partial Question 14 0.67 / 1 pts Which operators can be overloaded for a structure? Bit selection operators assignment operators relational operators Bit scaling operators arithmetic operators Question 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "D" "C" "B" "A" "DCBA" stream "A" "B" "C" "D" "ABCD" Question 16 1 / 1 pts for (a==b)?c:d c and d must be of the same type True False Question 17 1 / 1 pts Tasks cannot be placed in an interface True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 18 9/24/19, 5)56 PM 1 / 1 pts When can an interface parameter be passed? At each interface reference in the code Only in an always block In the module parameter list Only in an initial block At interface instantiation Question 19 1 / 1 pts Which types are signed? shortint bit integer logic byte reg https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int Incorrect 0 / 1 pts Question 20 Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete() Quiz score: 18.67 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 18 out of 20 ! Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 24 at 6:05pm This attempt took 12 minutes. Question 1 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Any reads of Valid will flag an error It defines invalid linked to 57 It blocks assignments to vi1 Question 2 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed True False Question 3 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Assigns the target the value of the target and the right side expression Is illegal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 9/24/19, 6)07 PM 1 / 1 pts Which operator takes the nand of the bits in a vector? !& &~ ~& /& Question 5 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 6 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{6y} Question 7 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| Question 8 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Which operator provides concatenation? + {} <-> [] 1 / 1 pts Question 10 What is the difference between === and == ? How X and Z are handled two and 4 valued compares No difference Ascii sorting order 1 / 1 pts Question 11 Arrange the following operators precedence order (1 is highest) () https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM ^ 2 ** 3 * 4 + 5 << 6 Question 12 1 / 1 pts Which operator provides string concatenation? + <{} [] Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM What follows the 'with' keyword on a stream An error message A range statement The keyword 'out' A data type Incorrect Question 14 0 / 1 pts Which operator indicates a data element exists in an array? contains inside search find Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? float + (int,float) bind + function float qqxi(int, float); operator+ float(int,float); bind + qqxi(int, float) Question 16 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error c b a Question 17 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM An interface can be passed through ports True False Question 18 1 / 1 pts Why is the clock typically placed in the modport definition? To create a reverse clock binding To disable the interface clocking block So the clock can be referenced in the block placing a clock on a modport is not allowed Question 19 1 / 1 pts String concatenation is performed by which operators? { } (curly braces) , (comma) + (plus sign) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM . (period) Question 20 1 / 1 pts Which code is correct to initialize an associative array: string sjsu[int] = '{4:"student"}; {"student"<-4}; '{4->"student"}; {"student'[4]} Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 13.33 out of 20 Correct answers are hidden. Score for this attempt: 13.33 out of 20 Submitted Sep 24 at 5:41pm This attempt took 12 minutes. Question 1 1 / 1 pts Which comparison operator can result in an 'X' == =X= ==? === Question 2 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN two and 4 valued compares No difference How X and Z are handled Ascii sorting order Question 3 1 / 1 pts What has higher precedence than '^' * == && | Question 4 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? NULL https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Nothing, it is a void item logical 1'b0 logical 1'b1 Incorrect Question 5 0 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i] = a[i] +2; Question 6 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Partial Question 7 0.33 / 1 pts Arrange the following operators precedence order (1 is highest) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN () 1 ^ 3 ** 4 * 5 + 2 << 6 Question 8 1 / 1 pts real values can be anded together True False Question 9 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 10 0 / 1 pts Write the opcode for XNOR 101 Question 11 1 / 1 pts Which operator takes the nand of the bits in a vector? /& !& ~& &~ Question 12 1 / 1 pts '{2{'{3{y}}}} is the same as: '{y,y,y.y,y,y} '{6y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts for (a==b)?c:d c and d must be of the same type True False Incorrect Question 14 0 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "ABCD" stream "A" "B" "C" "D" stream "D" "C" "B" "A" "DCBA" Question 15 1 / 1 pts the '?' operator can use pattern matching True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 16 0 / 1 pts Which operator indicates a data element exists in an array? contains search inside find Question 17 1 / 1 pts An interface can be passed through ports True False Question 18 1 / 1 pts What does the interface keyword mean in a module port list? Prohibits the use of a modport Use the interface type following the keyword https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN The interface will be created within the module The interface will be passed later Question 19 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Incorrect Question 20 0 / 1 pts for the associative array declared: integer z; string axx[integer]; write a verilog statement (No extra spaces) that will set the variable z to the number of entries in the associative array: z=axx.size(); Quiz Score: 13.33 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 17.5 out of 20 Correct answers are hidden. Score for this attempt: 17.5 out of 20 Submitted Sep 24 at 5:07pm This attempt took 20 minutes. Question 1 1 / 1 pts What is the XOR operator? ~ @ % ^ Question 2 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 1/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 16'sh4321 32'h1234 16'sh1234 32'h11223344 Question 3 1 / 1 pts Which operator provides string concatenation? <+ {} [] Question 4 1 / 1 pts what are the two uses of the & operator reduction and logical and https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN logical and bitwise and bitwise and 1 / 1 pts Question 5 What is the difference between === and == ? two and 4 valued compares Ascii sorting order How X and Z are handled No difference Partial 0.17 / 1 pts Question 6 Arrange the following operators precedence order (1 is highest) () ^ ** * 1 6 2 3 + https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 4 << 5 1 / 1 pts Question 7 Match the following operators to the desired function = Assignment += Add to left hand side <<= /= Shift left hand side left divide left hand side Question 8 1 / 1 pts Which comparison operator can result in an 'X' ==? =X= == === https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 9 1 / 1 pts Which is the binary OR operator? or // || | + Question 10 1 / 1 pts What has higher precedence than '^' == * | && Question 11 1 / 1 pts typedef union tagged { void Invalid; https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? Nothing, it is a void item logical 1'b1 logical 1'b0 NULL Question 12 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 13 1 / 1 pts What follows the 'with' keyword on a stream The keyword 'out' A range statement A data type https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN An error message 1 / 1 pts Question 14 You can overload the '+' operator for two floating point real values True False Question 15 1 / 1 pts the '?' operator can use pattern matching True False Partial Question 16 0.33 / 1 pts Which operators can be overloaded for a structure? Bit scaling operators Bit selection operators arithmetic operators relational operators https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN assignment operators Question 17 1 / 1 pts What do interface modport declarations provide? Modifies bus sizes in real time models a port for bus connections limits interface signals and thier directions provides the ability to modify a port during simulation Question 18 1 / 1 pts How many interface objects can a module contain? 2 Any number 1 4 Incorrect Question 19 0 / 1 pts Which function compares two strings independent of upper/lower case? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN str.icompare(s) Question 20 1 / 1 pts for an associative array declared: int bby[integer]; Indicate which are true for the index can be any integral expression Indices larger than integer are truncated to 32 bits A 4-state index containing X or Z is assumed zero Indices are signed. Indices smaller than integer are sign extended to 32 bits Quiz Score: 17.5 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 7 minutes 20 out of 20 ! Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 24 at 6:24pm This attempt took 7 minutes. Question 1 1 / 1 pts What is the XOR operator? ~ ^ @ % https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM 1 / 1 pts Question 2 Write the opcode for XNOR ~^ 1 / 1 pts Question 3 Arrange the following operators precedence order (1 is highest) () 1 ^ 2 ** 3 * 4 + 5 << 6 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 9/24/19, 6)33 PM 1 / 1 pts What is the difference between === and == ? How X and Z are handled No difference two and 4 valued compares Ascii sorting order Question 5 1 / 1 pts Which is the binary OR operator? // or + | || Question 6 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 3 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM Which operator provides concatenation? [] + <-> {} Question 7 1 / 1 pts What has higher precedence than '^' * == && | Question 8 1 / 1 pts Which operator takes the nand of the bits in a vector? ~& https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 4 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM !& /& &~ Question 9 1 / 1 pts Write the opcode for arithmetic shift left <<< Question 10 1 / 1 pts real values can be anded together True False Question 11 1 / 1 pts Match the following operators to the desired function = https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 5 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM Assignment += Add to left hand side <<= Shift left hand side left /= divide left hand side Question 12 1 / 1 pts What identifies an assignment pattern {} '{} [] () Question 13 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 6 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM stream "D" "C" "B" "A" stream "A" "B" "C" "D" "DCBA" "ABCD" Question 14 1 / 1 pts What follows the 'with' keyword on a stream An error message The keyword 'out' A range statement A data type Question 15 1 / 1 pts the '?' operator can use pattern matching True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 7 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 16 9/24/19, 6)33 PM 1 / 1 pts You can overload the '+' operator for two floating point real values True False Question 17 1 / 1 pts A modport may contain a clocking declaration True False Question 18 1 / 1 pts When can a hierarchical reference be made to interface elements When passed in an module port list before object binding Never always https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 8 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM 1 / 1 pts Question 19 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 20 1 / 1 pts Which function used with 'push_front' would create a FIFO pop_back() Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 9 9/24/2019 Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 6 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 24 at 6:32pm This attempt took 6 minutes. Question 1 1 / 1 pts What identifies an assignment pattern {} () '{} [] Question 2 1 / 1 pts Which operator provides string concatenation? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN + [] {} <- Question 3 1 / 1 pts Write the opcode for XNOR ~^ Question 4 1 / 1 pts What is the difference between === and == ? No difference Ascii sorting order two and 4 valued compares How X and Z are handled Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 2/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? Any reads of Valid will flag an error It defines invalid linked to 57 It blocks assignments to vi1 It is a syntax error Question 6 1 / 1 pts Write the opcode for arithmetic shift left <<< Question 7 1 / 1 pts Which operator takes the nand of the bits in a vector? /& !& https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN &~ ~& Question 8 1 / 1 pts '{2{'{3{y}}}} is the same as: '{6y} '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} Question 9 1 / 1 pts what are the two uses of the & operator bitwise and reduction and logical and bitwise and logical and Question 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 4/9 9/24/2019 typedef union tagged { Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b1 Nothing, it is a void item logical 1'b0 NULL Question 11 1 / 1 pts Which is the binary OR operator? // | + || or Question 12 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 5/9 9/24/2019 a[i]+=2; Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN is the same as... (No spaces in answer) a[i]=a[i]+2; Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates c b a An error Question 14 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "A" "B" "C" "D" "ABCD" https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN "DCBA" stream "D" "C" "B" "A" Question 15 1 / 1 pts Which operators can be overloaded for a structure? arithmetic operators assignment operators Bit selection operators Bit scaling operators relational operators Question 16 1 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? bind + qqxi(int, float) float + (int,float) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN bind + function float qqxi(int, float); operator+ float(int,float); Question 17 1 / 1 pts Tasks cannot be placed in an interface True False Question 18 1 / 1 pts How many interface objects can a module contain? 1 4 2 Any number Question 19 1 / 1 pts To convert a string to lower case, use the function tolower() https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 20 1 / 1 pts for an associative array declared: int bby[integer]; Indicate which are true for the index Indices are signed. Indices smaller than integer are sign extended to 32 bits A 4-state index containing X or Z is assumed zero can be any integral expression Indices larger than integer are truncated to 32 bits Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/9 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ '()*ÿ,-./0.// 123ÿÿ23ÿ4ÿ54# ÿ56789:ÿ24 ÿ;23:9768:ÿ24 <=>7?>@?3ÿ7 8Aÿÿ23ÿ4ÿ544B# ÿC7D3ÿF7D79ÿ24ÿG87 ÿ<??6H3Iÿ<993DJ9:ÿ2 C>d3ÿ9e3ÿ;27fÿ<g>78 KLLMNOLÿQRSLTUV <993DJ9 F<CZWC <993DJ9ÿ[ÿ C7D3 Bÿ#87 WX6Y3 50ÿ"7ÿ"\ÿ24 ]ÿ"!!ÿ4 ^!ÿ4!ÿ8__ "!ÿ\"!ÿ8ÿ4#ÿ[`ÿ"7ÿ"\ÿ24 7a#8_ÿÿ23ÿ4ÿ%53# b 8ÿ4#ÿ""cÿBÿ#87 [ÿhÿ[ÿJ9: ;23:9768ÿ[ !!4 iÿÿ\"AA"^8iÿ"!4"!ÿ!_ ÿ"!_!ÿj5ÿ8ÿ8i k lm ÿ n o ÿ p qq ÿ r q ÿ s t ÿ u vv ÿ 1178!77!"#1"7!15$2%501&789915$2$440 510 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ' (ÿ*ÿ(ÿ+,- ./0-,123ÿ4 5 6ÿ87 888ÿ6 ÿ689 # ÿ6! ÿÿ:;<ÿ (ÿ*ÿ(ÿ+,- ./0-,123ÿ= 5!8ÿÿ"!6"!ÿ"ÿ!68ÿ" ÿ>6?7ÿ"ÿ6ÿ"@! AA (ÿ*ÿ(ÿ+,- ./0-,123ÿB C6ÿÿ8"??"@89ÿ"!6"!ÿ"ÿÿ78!7ÿ87 8" D ÿ EFFGHIJKIL MD ÿ ENNÿLPÿQKRLÿSTINÿFGNK 1178!77!"#1"7!15$2%501&789915$2$440 210 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ''( ÿ )*+,-ÿ/0,-ÿ*123ÿ4+30ÿ/0,- 5( ÿ 3+6+30ÿ/0,-ÿ*123ÿ4+30 <=>;:?@AÿB 7ÿ5ÿ7ÿ9:; CDEÿ7 8" ÿFGGDÿH ÿÿÿI"8DÿIFJ8DK ÿÿÿ8ÿLFJ8DK MÿLK LÿI85NÿI82K I85ÿOÿFGGDÿLFJ8DÿP2$Q$3RK I82ÿOÿFGGDÿIFJ8DK S Fÿ8ÿF8G Dÿ"ÿI82IFJ8DT ÿÿ"8GNÿ8ÿ8ÿFÿI"8Dÿ8#ÿ <=>;:?@AÿU 7ÿ5ÿ7ÿ9:; S Fÿ8ÿÿD8EE! ÿVW ÿOOOÿF DÿOOÿT 1178!77!"#1"7!15$2%501&789915$2$440 $10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ'"(ÿ)ÿ* +ÿ,ÿ*!ÿ* +-+ÿ 45632789ÿ: .ÿ0ÿ.ÿ123 ; 8ÿ"!*"!ÿ*<ÿÿ* +ÿ"=ÿÿ>8ÿ8ÿ*ÿ?"!@ ÿÿABÿ 45632789ÿC .ÿ0ÿ.ÿ123 (!8ÿÿ"!*"!ÿ"ÿ!="!#ÿ*ÿ7 *!Dÿ"!ÿE!+78" ÿ"!F GH 45632789ÿI .ÿ0ÿ.ÿ123 ;!8ÿÿ""+ÿ="!ÿ*!8#8ÿ8=ÿ-= JJJ 45632789ÿ.K 1178!77!"#1"7!15$2%501&789915$2$440 .ÿ0ÿ.ÿ123 310 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( )ÿ*"ÿÿ+,ÿ"!)"!ÿ*"ÿÿ8. ÿÿ)!.ÿÿ/)07ÿ"1ÿÿ)!.ÿ) *ÿÿ!8. ÿ8*ÿ2!8" ÿ 9:;87<=>ÿ33 3ÿ5ÿ3ÿ678 ( 8ÿ"#)!8" ÿ"!)"!ÿ) ÿ!70ÿ8ÿ) ÿ?@? ÿÿ,,ÿ 9:;87<=>ÿ3A 3ÿ5ÿ3ÿ678 B*1ÿ7 8" ÿ)..*ÿC ÿÿ/"8*ÿ/)08*D ÿÿ8ÿE)08*D FÿED Eÿ/85Gÿ/82D /85ÿ,ÿ)..*ÿE)08*ÿH2$I$3JD /82ÿ,ÿ)..*ÿ/)08*D K )ÿ8ÿÿ7!"ÿ"1ÿÿ)8. # ÿ"ÿ/82- 1178!77!"#1"7!15$2%501&789915$2$440 '10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ 'ÿ!()ÿ"*ÿ+(,8)ÿ-8,,ÿ*,(.ÿ( ÿ!!"!ÿ 5674389:ÿ/; /ÿ1ÿ/ÿ234 *"!ÿ<(==>?@) ÿ( )ÿ)ÿ#7ÿ>ÿ"*ÿÿ(#ÿ' ÿÿ(,ÿ 5674389:ÿ/A /ÿ1ÿ/ÿ234 B"7ÿ( ÿ"C!,"()ÿÿDEDÿ"!("!ÿ*"!ÿ-"ÿ*,"(8.ÿ"8ÿ!(,ÿC(,7 ÿÿ(,ÿ 5674389:ÿ/F /ÿ1ÿ/ÿ234 "!ÿÿ),(!(8" ')*ÿ!7ÿG ÿÿÿ>8ÿ8. H ÿÿÿ>8ÿI$4JÿK" H ÿÿÿ>8ÿI544Jÿ#( 8(H Lÿ*,"(H 1178!77!"#1"7!15$2%501&789915$2$440 %10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ' (ÿ)"7*+ÿ!(ÿ( ÿ",!*"(++ÿ-ÿ"!("!ÿ."!ÿ( ÿ8ÿ( +ÿ.*"(/ ÿÿ08+ÿ-ÿ.7 8" ÿ.*"(ÿ&&18283ÿ.*"(45ÿ <=>;:?@Aÿ6B 6ÿ8ÿ6ÿ9:; ' (ÿ."**")ÿÿC)8CÿDE)"!+ÿ" ÿ(ÿ!(# ÿÿÿ!( Fÿ(# ÿ IAJ@KK>J: <=>;:?@Aÿ6H Gÿ8ÿ6ÿ9:; )!8ÿÿ"+ÿ"ÿ8( 8(ÿ(ÿ,(!8(0*ÿC(Cÿ"ÿÿ8!.(ÿC7L8!.(Cÿ8ÿ( #"+7*ÿ2"ÿ1!(ÿ(3ÿ"ÿ(!(#!3ÿ"ÿ(+ÿ,(*74 MNMOPQRSTUVWXTÿZW[\ <=>;:?@Aÿ6] 1178!77!"#1"7!15$2%501&789915$2$440 6ÿ8ÿ6ÿ9:; 10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( )ÿ8ÿÿ*"+ÿ)8,**)ÿ*,-ÿ8ÿÿ#"-"!ÿ-.888" / ÿÿ"ÿÿ*"+ÿ, ÿ0ÿ!.! -ÿ8ÿÿ0*"+ÿ 78965:;<ÿ1= 1ÿ3ÿ1ÿ456 ( ,ÿ>,*7ÿ, "ÿ0ÿ,8? -ÿ"ÿ,ÿ!8?/ ÿÿ4ÿ 78965:;<ÿ@A 1ÿ3ÿ1ÿ456 (!8ÿÿ"-ÿ"ÿ-*ÿ,**ÿ,"8,8>ÿ,!!,)ÿ*# ÿ.!"# !8?ÿ0))BC8?!DE F"ÿB!,ÿ,G HIIJKLMNMOMPQR 1178!77!"#1"7!15$2%501&789915$2$440 '10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ 6789ÿ"!ÿ'(ÿ"7ÿ")ÿ24 1178!77!"#1"7!15$2%501&789915$2$440 010 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 18 out of 20 ! Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 24 at 6:05pm This attempt took 12 minutes. Question 1 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Any reads of Valid will flag an error It defines invalid linked to 57 It blocks assignments to vi1 Question 2 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed True False Question 3 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Assigns the target the value of the target and the right side expression Is illegal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 9/24/19, 6)07 PM 1 / 1 pts Which operator takes the nand of the bits in a vector? !& &~ ~& /& Question 5 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 6 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{6y} Question 7 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| Question 8 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Which operator provides concatenation? + {} <-> [] 1 / 1 pts Question 10 What is the difference between === and == ? How X and Z are handled two and 4 valued compares No difference Ascii sorting order 1 / 1 pts Question 11 Arrange the following operators precedence order (1 is highest) () https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM ^ 2 ** 3 * 4 + 5 << 6 Question 12 1 / 1 pts Which operator provides string concatenation? + <{} [] Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM What follows the 'with' keyword on a stream An error message A range statement The keyword 'out' A data type Incorrect Question 14 0 / 1 pts Which operator indicates a data element exists in an array? contains inside search find Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? float + (int,float) bind + function float qqxi(int, float); operator+ float(int,float); bind + qqxi(int, float) Question 16 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error c b a Question 17 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM An interface can be passed through ports True False Question 18 1 / 1 pts Why is the clock typically placed in the modport definition? To create a reverse clock binding To disable the interface clocking block So the clock can be referenced in the block placing a clock on a modport is not allowed Question 19 1 / 1 pts String concatenation is performed by which operators? { } (curly braces) , (comma) + (plus sign) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM . (period) Question 20 1 / 1 pts Which code is correct to initialize an associative array: string sjsu[int] = '{4:"student"}; {"student"<-4}; '{4->"student"}; {"student'[4]} Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM Quiz Ch-10-11 Due 24 Sep at 22:00 Points 20 Available until 24 Sep at 22:05 Questions 20 Time limit 20 Minutes Allowed attempts 2 Take the quiz again Attempt history LATEST Attempt Time Score Attempt 1 10 minutes 18.67 out of 20 ! Correct answers are hidden. Score for this attempt: 18.67 out of 20 Submitted 24 Sep at 17:55 This attempt took 10 minutes. Question 1 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} '{y,y,y.y,y,y} '{6y} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 9/24/19, 5)56 PM 1 / 1 pts Write the operator to raise one value to a power. ** Question 3 1 / 1 pts Which operator provides string concatenation? [] {} <+ Question 4 1 / 1 pts real values can be anded together True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 9/24/19, 5)56 PM 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Is illegal Assigns the target the value of the target and the right side expression Question 6 1 / 1 pts What identifies an assignment pattern [] {} '{} () Question 7 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM two and 4 valued compares Ascii sorting order No difference How X and Z are handled Question 8 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error It defines invalid linked to 57 Any reads of Valid will flag an error It blocks assignments to vi1 Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 16'sh4321 16'sh1234 32'h11223344 32'h1234 Question 10 1 / 1 pts what are the two uses of the & operator bitwise and logical and bitwise and logical and reduction and Question 11 1 / 1 pts Which operator provides concatenation? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM <-> {} [] + Question 12 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b0 NULL logical 1'b1 Nothing, it is a void item Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates c b An error a Partial Question 14 0.67 / 1 pts Which operators can be overloaded for a structure? Bit selection operators assignment operators relational operators Bit scaling operators arithmetic operators Question 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "D" "C" "B" "A" "DCBA" stream "A" "B" "C" "D" "ABCD" Question 16 1 / 1 pts for (a==b)?c:d c and d must be of the same type True False Question 17 1 / 1 pts Tasks cannot be placed in an interface True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 18 9/24/19, 5)56 PM 1 / 1 pts When can an interface parameter be passed? At each interface reference in the code Only in an always block In the module parameter list Only in an initial block At interface instantiation Question 19 1 / 1 pts Which types are signed? shortint bit integer logic byte reg https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int Incorrect 0 / 1 pts Question 20 Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete() Quiz score: 18.67 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 13.33 out of 20 Correct answers are hidden. Score for this attempt: 13.33 out of 20 Submitted Sep 24 at 5:41pm This attempt took 12 minutes. Question 1 1 / 1 pts Which comparison operator can result in an 'X' == =X= ==? === Question 2 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN two and 4 valued compares No difference How X and Z are handled Ascii sorting order Question 3 1 / 1 pts What has higher precedence than '^' * == && | Question 4 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? NULL https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Nothing, it is a void item logical 1'b0 logical 1'b1 Incorrect Question 5 0 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i] = a[i] +2; Question 6 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Partial Question 7 0.33 / 1 pts Arrange the following operators precedence order (1 is highest) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN () 1 ^ 3 ** 4 * 5 + 2 << 6 Question 8 1 / 1 pts real values can be anded together True False Question 9 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 10 0 / 1 pts Write the opcode for XNOR 101 Question 11 1 / 1 pts Which operator takes the nand of the bits in a vector? /& !& ~& &~ Question 12 1 / 1 pts '{2{'{3{y}}}} is the same as: '{y,y,y.y,y,y} '{6y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts for (a==b)?c:d c and d must be of the same type True False Incorrect Question 14 0 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "ABCD" stream "A" "B" "C" "D" stream "D" "C" "B" "A" "DCBA" Question 15 1 / 1 pts the '?' operator can use pattern matching True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 16 0 / 1 pts Which operator indicates a data element exists in an array? contains search inside find Question 17 1 / 1 pts An interface can be passed through ports True False Question 18 1 / 1 pts What does the interface keyword mean in a module port list? Prohibits the use of a modport Use the interface type following the keyword https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN The interface will be created within the module The interface will be passed later Question 19 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Incorrect Question 20 0 / 1 pts for the associative array declared: integer z; string axx[integer]; write a verilog statement (No extra spaces) that will set the variable z to the number of entries in the associative array: z=axx.size(); Quiz Score: 13.33 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/8 012312450 ()*+ÿ-./01/00 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ 234ÿÿ23ÿ5ÿ54# ÿ6789:;ÿ24 ÿ<34;:879;ÿ24 =>?8@?A@4ÿ7 8Bÿÿ23ÿ5ÿ544C# ÿD8E4ÿG8E8:ÿ24ÿH87 ÿ=@@7I4Jÿ=::4EK:;ÿ2 D?d4ÿ:e4ÿ<38fÿ=g?89 LMMNOPMÿRSTMUVW G=D[XD =::4EK: =::4EK:ÿ\ÿ D8E4 24ÿ#87 XY7Z4 55ÿ"7ÿ"]ÿ24 ^ÿ"!!ÿ5 _!ÿ5!ÿ8̀` "!ÿ]"!ÿ8ÿ5#ÿ\\ÿ"7ÿ"]ÿ24 7a#8`ÿÿ23ÿ5ÿ234# b 8ÿ5#ÿ""cÿ24ÿ#87 <34;:879ÿ\ \ÿhÿ\ÿK:; i 8ÿ"!5"!ÿ!"j8̀ÿ" 5 58" k ÿÿlmÿ p9Y7ZZ4Y: <34;:879ÿo nÿhÿ\ÿK:; i!8ÿÿ""`ÿ]"!ÿqr stUV 1178!77!"#1"7!15$2%501&789915$2$440 51' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ./0-,123ÿ4 (ÿ*ÿ(ÿ+,- 567ÿ8"98ÿ:54;ÿ:$4;ÿ<= "!8>?@<>@5A2BAÿ<>@$A3BBC !78ÿ8 ÿÿ5%>52$3ÿ ./0-,123ÿD (ÿ*ÿ(ÿ+,- ÿ"!!E8ÿ" F!6ÿ"ÿE ÿ89!ÿG5ÿ5ÿ"!8" ÿE88ÿGÿ89 6 ÿÿ<!7ÿ J3K2LL0K, ./0-,123ÿI Hÿ*ÿ(ÿ+,- M!8ÿÿ"!E"!ÿ"ÿ!E8ÿ" ÿFE87ÿ"ÿEÿ"N! O J3K2LL0K, ./0-,123ÿP 1178!77!"#1"7!15$2%501&789915$2$440 Hÿ*ÿ(ÿ+,21' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( 8ÿ8ÿÿ)8*!+ÿ,ÿ"!*"!- ÿÿ..ÿ 5674389:ÿ; /ÿ1ÿ/ÿ234 ( 8ÿ"!*"!ÿ!"<8=ÿ!8>ÿ" * *8" - ÿÿ?@ÿ 5674389:ÿA /ÿ1ÿ/ÿ234 ( *ÿ*ÿ8> !ÿ!= ÿ* ÿBCB ÿÿDÿ 1178!77!"#1"7!15$2%501&789915$2$440 $1' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ./0-,123ÿ4 (ÿ*ÿ(ÿ+,- !56ÿ7567ÿ5 ÿ8ÿ5 99ÿ":! ÿÿ56ÿ <3=2>>0=, ./0-,123ÿ(; ;ÿ*ÿ(ÿ+,- ?9@ÿ7 8" ÿ5::9ÿA ÿÿ7"89ÿ75689B ÿÿ8ÿC5689B DÿCB Cÿ785Eÿ782B 785ÿFÿ5::9ÿC5689ÿG2$H$3IB 782ÿFÿ5::9ÿ75689B J 5ÿ8ÿÿ7!"ÿ"@ÿÿ58: # ÿ"ÿ782K ÿÿÿ9@8ÿ875689ÿ68L9ÿ"ÿMÿ ./0-,123ÿ(( (ÿ*ÿ(ÿ+,- N 5ÿ8ÿÿ98@@! ÿ8J ÿFFFÿ5 9ÿFFÿK 1178!77!"#1"7!15$2%501&789915$2$440 31' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ)"*ÿ+ÿ, -ÿ.ÿ,!ÿ, -/-ÿ 678549:;ÿ0< 0ÿ2ÿ0ÿ345 =->ÿ7 8" ÿ,??-ÿ@ ÿÿÿA"8-ÿA,/8-B ÿÿÿ8ÿC,/8-B DÿCB CÿA85EÿA82B A85ÿFÿ,??-ÿC,/8-ÿG2$H$3IB A82ÿFÿ,??-ÿA,/8-B J ,ÿ8ÿ,8? -ÿ"ÿA82A,/8-K ÿÿ"8?Eÿ8ÿ8ÿ,ÿA"8-ÿ8#ÿ 678549:;ÿ0L 0ÿ2ÿ0ÿ345 ÿMKMÿ"!,"!ÿ, ÿ7ÿ,!ÿ#,8? ÿÿN!7ÿ Q;R:SS8R4 678549:;ÿ0P 1178!77!"#1"7!15$2%501&789915$2$440 Oÿ2ÿ0ÿ345 '1( 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ 8ÿÿ(ÿ)ÿ**+ÿ*,*+ÿ* *+ÿ**ÿ-. )ÿ//ÿ)-0 !1 ÿÿ* ,*ÿ 89:76;<=ÿ2> 2ÿ4ÿ2ÿ567 ? 1ÿ@"AA"BÿÿCB8CÿDEB"!Fÿ" ÿ1ÿ!1# ÿÿÿ!1 0ÿ1# ÿ I=J<KK:J6 89:76;<=ÿ2H Gÿ4ÿ2ÿ567 L"7ÿ1 ÿ"M!A"1FÿÿCNCÿ"!1"!ÿ@"!ÿB"ÿ@A"180ÿ"8ÿ!1AÿM1A7 ÿÿO!7ÿ Q=R=7S:K:T 89:76;<=ÿ2P 1178!77!"#1"7!15$2%501&789915$2$440 Gÿ4ÿ2ÿ567 %1' 012312450 =;>:??8>4 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( 8ÿ)!)#!89*ÿ8!+)ÿ,)-ÿ8ÿ"!!. 678549:;ÿ2< /ÿ1ÿ2ÿ345 ( ÿ) ÿ)ÿ8!)!8)@ÿ!+! ÿAÿ#)*ÿ"ÿ8!+)ÿ@# ÿÿ( ÿ)*ÿ8ÿ) ÿ#"*7@ÿ"!ÿ@8ÿ 678549:;ÿ2B 2ÿ1ÿ2ÿ345 C"ÿ" D!ÿ)ÿ!8Eÿ"ÿ@"F!ÿ)Gÿ7ÿÿ+7 8" HIJIKLMNO =;>:??8>4 678549:;ÿP/ /ÿ1ÿ2ÿ345 ( 8ÿ8*-ÿ)ÿÿ@)ÿ@# ÿ8ÿ)ÿ&77. 1178!77!"#1"7!15$2%501&789915$2$440 1' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ5ÿ 6789ÿ"!ÿ((ÿ"7ÿ")ÿ24 1178!77!"#1"7!15$2%501&789915$2$440 '1' 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Time Limit 20 Minutes Points 20 Questions 20 Allowed Attempts 2 Available until Sep 24 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 14.17 out of 20 Correct answers are hidden. Score for this attempt: 14.17 out of 20 Submitted Sep 24 at 5:24pm This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts Write the opcode for arithmetic shift left sal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/12 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 0 / 1 pts What has higher precedence than '^' == && | * Incorrect Question 3 0 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN It is a syntax error It blocks assignments to vi1 Any reads of Valid will flag an error It defines invalid linked to 57 Question 4 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 3/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Which operator takes the nand of the bits in a vector? !& &~ /& ~& Question 6 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 7 1 / 1 pts Which operator provides concatenation? <-> {} + [] Question 8 1 / 1 pts Which operator provides string concatenation? {} [] + <- https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 / 1 pts Question 9 What identifies an assignment pattern [] () {} '{} Partial 0.17 / 1 pts Question 10 Arrange the following operators precedence order (1 is highest) () 1 ^ 6 ** 2 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN * 3 + 4 << 5 Question 11 1 / 1 pts What is the difference between === and == ? How X and Z are handled two and 4 valued compares Ascii sorting order No difference Question 12 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 7/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN What is the XOR operator? ~ ^ @ % Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a An error c b https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 14 1 / 1 pts What follows the 'with' keyword on a stream The keyword 'out' An error message A data type A range statement Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN bind + function float qqxi(int, float); bind + qqxi(int, float) operator+ float(int,float); float + (int,float) Question 16 1 / 1 pts You can overload the '+' operator for two floating point real values True False Question 17 1 / 1 pts An interface can contain a task definition True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 10/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Question 18 1 / 1 pts When can a hierarchical reference be made to interface elements Never When passed in an module port list before object binding always Question 19 1 / 1 pts Which operators work with a chandle variable? !=, !== ==, === https://sjsu.instructure.com/courses/1326719/quizzes/1323009 11/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN +, *, /, % <, >, <=, >= Incorrect Question 20 0 / 1 pts Associative arrays can be passed to a task as a dynamic array. True False Quiz Score: 14.17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 12/12 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.17 out of 20 Correct answers are hidden. Score for this attempt: 15.17 out of 20 Submitted Sep 21 at 3:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What identifies an assignment pattern () {} '{} [] Partial Question 2 0.5 / 1 pts Arrange the following operators precedence order (1 is highest) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN () ^ ** * 1 6 3 4 + << 2 5 1 / 1 pts Question 3 Write the opcode for arithmetic shift left <<< 1 / 1 pts Question 4 Match the following operators to the desired function = Assignment += https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Add to left hand side <<= /= Incorrect Shift left hand side left divide left hand side Question 5 0 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b0 NULL logical 1'b1 Nothing, it is a void item Question 6 1 / 1 pts '{2{'{3{y}}}} is the same as: https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN '{6y} '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} Question 7 1 / 1 pts Which operator provides string concatenation? {} <+ [] Incorrect Question 8 0 / 1 pts real values can be anded together True False Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 4/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 10 1 / 1 pts what are the two uses of the & operator bitwise and reduction and logical and logical and bitwise and Question 11 1 / 1 pts Which operator takes the nand of the bits in a vector? /& ~& &~ !& https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 12 1 / 1 pts What is the XOR operator? @ ~ ^ % Incorrect Question 13 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? bind + qqxi(int, float) float + (int,float) operator+ float(int,float); bind + function float qqxi(int, float); Partial Question 14 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 0.67 / 1 pts 6/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Which operators can be overloaded for a structure? Bit scaling operators assignment operators Bit selection operators arithmetic operators relational operators Incorrect Question 15 0 / 1 pts You can overload the '+' operator for two floating point real values True False Question 16 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "ABCD" stream "A" "B" "C" "D" "DCBA" https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN stream "D" "C" "B" "A" Question 17 1 / 1 pts Virtual interfaces can be declared as a class property True False Question 18 1 / 1 pts Tasks can be included in an interface definition True False Question 19 1 / 1 pts Which type is zero extended? reg shortreal integer int https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 / 1 pts Question 20 Associative arrays can be passed to a task as a dynamic array. True False Quiz Score: 15.17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 of 10 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again LATEST Attempt Time Score Attempt 1 19 minutes 16.5 out of 20 Correct answers are hidden. Score for this attempt: 16.5 out of 20 Submitted Sep 23 at 8:10pm This attempt took 19 minutes. Question 1 1 / 1 pts Which operator takes the nand of the bits in a vector? ~& Question 2 1 / 1 pts What does the &= operator do? 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 2 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Assigns the target the value of the target and the right side expression Question 3 1 / 1 pts write the operator to perform a unary nor (reduction nor) Question 4 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? Nothing, it is a void item 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 3 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Question 5 1 / 1 pts Write the opcode for arithmetic shift left Question 6 1 / 1 pts Which is the binary OR operator? | Partial Question 7 0.17 / 1 pts Arrange the following operators precedence order (1 is highest) () 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 4 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 ^ ** * + << Question 8 1 / 1 pts Which operator provides concatenation? {} Question 9 1 / 1 pts real values can be anded together False 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 5 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Question 10 1 / 1 pts What identifies an assignment pattern '{} Question 11 1 / 1 pts What is the difference between === and == ? How X and Z are handled Question 12 1 / 1 pts Match the following operators to the desired function 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 6 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 = += <<= /= Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error Incorrect Question 14 0 / 1 pts the '?' operator can use pattern matching 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 7 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 False Partial Question 15 0.33 / 1 pts Which operators can be overloaded for a structure? assignment operators Bit selection operators arithmetic operators Question 16 1 / 1 pts for (a==b)?c:d c and d must be of the same type False Question 17 1 / 1 pts Interfaces cannot have parameters 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 8 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 False Incorrect Question 18 0 / 1 pts When can an interface parameter be passed? At each interface reference in the code Question 19 1 / 1 pts String variables are dynamic (T/F) True Question 20 1 / 1 pts Which of the following are valid indexes for: 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 int qqx[string]; "26" "Hi" Quiz Score: 16.5 out of 20 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 10 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 of 10 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Attempt Time Score KEPT Attempt 2 9 minutes 20 out of 20 LATEST Attempt 2 9 minutes 20 out of 20 Attempt 1 19 minutes 16.5 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 23 at 8:55pm This attempt took 9 minutes. Question 1 1 / 1 pts What is the XOR operator? ^ Question 2 1 / 1 pts What identifies an assignment pattern 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 2 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 '{} Question 3 1 / 1 pts Which operator takes the nand of the bits in a vector? ~& Question 4 1 / 1 pts 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 3 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 '{2{'{3{y}}}} is the same as: '{'{y,y,y},'{y,y,y}} Question 5 1 / 1 pts Which comparison operator can result in an 'X' == Question 6 1 / 1 pts what are the two uses of the & operator reduction and 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 4 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 bitwise and Question 7 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? Nothing, it is a void item Question 8 1 / 1 pts Which operator provides concatenation? 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 5 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 {} Question 9 1 / 1 pts Write the opcode for arithmetic shift left Question 10 1 / 1 pts What has higher precedence than '^' * Question 11 1 / 1 pts What does the &= operator do? 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 6 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Assigns the target the value of the target and the right side expression Question 12 1 / 1 pts What is the difference between === and == ? How X and Z are handled Question 13 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "A" "B" "C" "D" 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 7 of 10 Question 14 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error Question 15 1 / 1 pts What follows the 'with' keyword on a stream A range statement Question 16 1 / 1 pts For the declaration 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 8 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? bind + function float qqxi(int, float); Question 17 1 / 1 pts An interface block may not contain a property statement False Question 18 1 / 1 pts Tasks can be included in an interface definition True 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Question 19 1 / 1 pts match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string Question 20 1 / 1 pts Which function used with 'push_front' would create a FIFO Quiz Score: 20 out of 20 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 10 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/23/2019, 8:55 PM 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Allowed Attempts 2 Points 10 Questions 10 Available until Sep 18 at 10:05pm Time Limit 15 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 9 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 7pm This attempt took 9 minutes. Question 1 1 / 1 pts What function is called before a randomization pre_randomize pre_randomization before_randomize pre_rand https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts The randomize function return a value of a random variable indicating which statement was changed indicating if the constraints were met referencing the target class Question 3 1 / 1 pts The inside operator provides access to randomization inside another class gives a value contained in a set of values Prevents the random variable from outside access Restricts the randization to calls from within the class Question 4 1 / 1 pts A random variable has a _______ distribution? https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Gaussian Uniform Bell Curve Poisson Normal Question 5 1 / 1 pts A constraint restricts where code may be placed in a module limits run time to the constraint parameter limits the random values applied to variables limits the clock cycle time Question 6 1 / 1 pts What function is called after a randomization? post_randomize() https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 1 / 1 pts unpacked structures can be declared randc? True False Question 8 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations provide a string answer for printing/logging determine some variables before others Question 9 1 / 1 pts A dist item cannot appear in another expression True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 1 / 1 pts The the randomize() with construct indicates which object is to be randomized applies a construct to a randomization applys constrints to a randomization constructs a randomization for later use Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 6 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 5:19pm This attempt took 6 minutes. Question 1 1 / 1 pts A dist item cannot appear in another expression True False Question 2 1 / 1 pts The randomize function return a value referencing the target class indicating which statement was changed https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM indicating if the constraints were met of a random variable Question 3 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations determine some variables before others provide a string answer for printing/logging Question 4 1 / 1 pts The randomize function creates random values for rand variables Changes the order of class execution Executes a random statement Must be called from a always @(*) block Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1 / 1 pts 2/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM What function is called before a randomization pre_rand pre_randomization before_randomize pre_randomize Question 6 1 / 1 pts Which function disables a random variable? disable rand_mode variable_off variable_disable Question 7 1 / 1 pts An 'if' is not allowed in a constraint True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts What function is called after a randomization? post_randomize() Question 9 1 / 1 pts An object can be declared randc? True False Question 10 1 / 1 pts Which function disables a constraint? disable constraint_disable constraint_off constraint_mode Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Allowed Attempts 2 Points 10 Questions 10 Available until Sep 18 at 10:05pm Time Limit 15 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 9 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 7pm This attempt took 9 minutes. Question 1 1 / 1 pts What function is called before a randomization pre_randomize pre_randomization before_randomize pre_rand https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts The randomize function return a value of a random variable indicating which statement was changed indicating if the constraints were met referencing the target class Question 3 1 / 1 pts The inside operator provides access to randomization inside another class gives a value contained in a set of values Prevents the random variable from outside access Restricts the randization to calls from within the class Question 4 1 / 1 pts A random variable has a _______ distribution? https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Gaussian Uniform Bell Curve Poisson Normal Question 5 1 / 1 pts A constraint restricts where code may be placed in a module limits run time to the constraint parameter limits the random values applied to variables limits the clock cycle time Question 6 1 / 1 pts What function is called after a randomization? post_randomize() https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 1 / 1 pts unpacked structures can be declared randc? True False Question 8 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations provide a string answer for printing/logging determine some variables before others Question 9 1 / 1 pts A dist item cannot appear in another expression True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 1 / 1 pts The the randomize() with construct indicates which object is to be randomized applies a construct to a randomization applys constrints to a randomization constructs a randomization for later use Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 5 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 6:51pm This attempt took 5 minutes. Question 1 1 / 1 pts Which function disables a constraint? constraint_off constraint_mode constraint_disable disable Question 2 1 / 1 pts The 'solve' keyword is used to https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM determine some variables before others contain complex calculations provide a string answer for printing/logging Determine if the test meets the requirements Question 3 1 / 1 pts A constraint limits the clock cycle time limits run time to the constraint parameter limits the random values applied to variables restricts where code may be placed in a module Question 4 1 / 1 pts A random variable has a _______ distribution? Poisson Normal Uniform Gaussian Bell Curve https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts unpacked structures can be declared randc? True False Question 6 1 / 1 pts The randomize function creates random values for rand variables Executes a random statement Must be called from a always @(*) block Changes the order of class execution Question 7 1 / 1 pts Which function disables a random variable? disable variable_off variable_disable rand_mode https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts The randomize function return a value indicating which statement was changed indicating if the constraints were met referencing the target class of a random variable Question 9 1 / 1 pts The inside operator gives a value contained in a set of values Prevents the random variable from outside access provides access to randomization inside another class Restricts the randization to calls from within the class Question 10 1 / 1 pts randc is guaranteed to work on variable up to how many bits? 8 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM 9/18/19, 5(35 PM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Questions 10 Available until Sep 18 at 10:05pm Allowed Attempts 2 Time Limit 15 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 10 out of 10 ! Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 5:35pm This attempt took 12 minutes. Question 1 1 / 1 pts A constraint limits run time to the constraint parameter limits the clock cycle time restricts where code may be placed in a module limits the random values applied to variables https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 1 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 9/18/19, 5(35 PM 1 / 1 pts randc is guaranteed to work on variable up to how many bits? 8 Question 3 1 / 1 pts An object can be declared rand? True False Question 4 1 / 1 pts What function is called after a randomization? post_randomize() Question 5 1 / 1 pts What function is called before a randomization https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 2 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM 9/18/19, 5(35 PM pre_randomize before_randomize pre_randomization pre_rand Question 6 1 / 1 pts The randomize function return a value indicating if the constraints were met indicating which statement was changed of a random variable referencing the target class Question 7 1 / 1 pts An unpacked structure can be declared rand? True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 3 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 9/18/19, 5(35 PM 1 / 1 pts unpacked structures can be declared randc? True False Question 9 1 / 1 pts The randomize function Must be called from a always @(*) block creates random values for rand variables Changes the order of class execution Executes a random statement Question 10 1 / 1 pts An object can be declared randc? True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 4 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM 9/18/19, 5(35 PM Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 5 of 5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 6 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 5:19pm This attempt took 6 minutes. Question 1 1 / 1 pts A dist item cannot appear in another expression True False Question 2 1 / 1 pts The randomize function return a value referencing the target class indicating which statement was changed https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM indicating if the constraints were met of a random variable Question 3 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations determine some variables before others provide a string answer for printing/logging Question 4 1 / 1 pts The randomize function creates random values for rand variables Changes the order of class execution Executes a random statement Must be called from a always @(*) block Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1 / 1 pts 2/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM What function is called before a randomization pre_rand pre_randomization before_randomize pre_randomize Question 6 1 / 1 pts Which function disables a random variable? disable rand_mode variable_off variable_disable Question 7 1 / 1 pts An 'if' is not allowed in a constraint True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts What function is called after a randomization? post_randomize() Question 9 1 / 1 pts An object can be declared randc? True False Question 10 1 / 1 pts Which function disables a constraint? disable constraint_disable constraint_off constraint_mode Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 15 minutes 8 out of 10 Correct answers are hidden. Score for this attempt: 8 out of 10 Submitted Sep 18 at 2:55pm This attempt took 15 minutes. Question 1 1 / 1 pts randc is guaranteed to work on variable up to how many bits? 8 Question 2 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements provide a string answer for printing/logging https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM determine some variables before others contain complex calculations Question 3 1 / 1 pts The randomize function Must be called from a always @(*) block Changes the order of class execution creates random values for rand variables Executes a random statement Question 4 1 / 1 pts What function is called after a randomization? post_randomize() Question 5 1 / 1 pts An 'if' is not allowed in a constraint True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 6 1 / 1 pts Which function disables a constraint? constraint_mode constraint_disable disable constraint_off Incorrect Question 7 0 / 1 pts A random variable has a _______ distribution? Gaussian Bell Curve Uniform Poisson Normal Question 8 1 / 1 pts The inside operator gives a value contained in a set of values https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM provides access to randomization inside another class Prevents the random variable from outside access Restricts the randization to calls from within the class Question 9 1 / 1 pts Which function disables a random variable? disable variable_disable rand_mode variable_off Incorrect Question 10 0 / 1 pts The the randomize() with construct constructs a randomization for later use indicates which object is to be randomized applys constrints to a randomization applies a construct to a randomization https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM lrm 164 Quiz Score: 8 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Time Limit 15 Minutes Points 10 Questions 10 Allowed Attempts 2 Available until Sep 18 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 14 minutes 8 out of 10 Correct answers are hidden. Score for this attempt: 8 out of 10 Submitted Sep 18 at 3:32pm This attempt took 14 minutes. Question 1 1 / 1 pts rand and randc do the same things True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts unpacked structures can be declared randc? True False Incorrect Question 3 0 / 1 pts The the randomize() with construct applys constrints to a randomization applies a construct to a randomization indicates which object is to be randomized constructs a randomization for later use https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM lrm 164 Incorrect Question 4 0 / 1 pts An object can be declared randc? True False Question 5 1 / 1 pts The randomize function creates random values for rand variables Must be called from a always @(*) block Changes the order of class execution Executes a random statement https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 6 1 / 1 pts Which function disables a constraint? constraint_disable disable constraint_mode constraint_off Question 7 1 / 1 pts What function is called before a randomization pre_randomize before_randomize pre_rand https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM pre_randomization Question 8 1 / 1 pts What function is called after a randomization? post_randomize() Question 9 1 / 1 pts A constraint limits the clock cycle time limits run time to the constraint parameter limits the random values applied to variables restricts where code may be placed in a module https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 1 / 1 pts The randomize function return a value referencing the target class of a random variable indicating which statement was changed indicating if the constraints were met Quiz Score: 8 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 6/6 quiz ch-6 results for Krishna Kanth Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted 10 Sep at 18:27 This attempt took 8 minutes. Question 1 1 / 1 pts System verilog extends which verilog 2k type event Question 2 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Question 3 Which types are signed? reg byte int 1 / 1 pts integer bit shortint logic Question 4 1 / 1 pts Which operators work with a chandle variable? !=, !== +, ==, === <, >, <=, >= *, /, % Question 5 Which function puts a byte value c in position i of string str? str.substr(i,i+1,c); str.putbyte(i,c); str.putc(i,c); str.set(i,c); 1 / 1 pts Question 6 1 / 1 pts Which type is zero extended? shortreal int integer reg Question 7 1 / 1 pts Write a statement to make a user defined type 'mything' the same as real typedef real mything; Question 8 Assigning null to an event variable Any pending events are reset Triggers an immediate event Creates an event debugging event. 1 / 1 pts The association between the event object and the event variable is broken. Question 9 1 / 1 pts How is a user defined type created? class typedef mytype type Question 10 1 / 1 pts User-defined type names must be used for complex data types in casting. True False Question 11 After assigning event variable b to event variable a, Variable b no longer owns the synchronization object. 1 / 1 pts An event on b's synchronization object will trigger a's synchronization object. A new synchronization object is created. Both event variables refer to the same synchronization object. Question 12 1 / 1 pts The default type for an enum is: int Question 13 1 / 1 pts A packed structure can be used with the '+' operator (T/F) True False Question 14 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 15 1 / 1 pts A structure can contain unions Basic data types tasks functions modules other structures Question 16 1 / 1 pts To case the real value 2.15 to an int, use the following expression (int)2.15 'int(2.15) int'(2.15) int(2.15) Question 17 a shortreal may be converted to a reg (bit for bit), use what function? 1 / 1 pts $shortrealtobits Question 18 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 19 1 / 1 pts The term unpacked array is used to refer to: dimensions declared before the object name dimensions declared after the object name Any array outside a structure any array declared with the unpacked keyword Question 20 'modport' names must be master or slave, or m or s 1 / 1 pts Can be any interface symbol not used otherwise start with the character '$' are optional Quiz score: 20 out of 20 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM Quiz Ch-8 Due Sep 9 at 10pm Points 15 Available until Sep 9 at 10:30pm Questions 15 Time Limit 35 Minutes Allowed Attempts 3 Attempt History Attempt Time Score KEPT Attempt 3 4 minutes 15 out of 15 LATEST Attempt 3 4 minutes 15 out of 15 Attempt 2 6 minutes 14 out of 15 Attempt 1 13 minutes 14 out of 15 ! Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Sep 9 at 6:24pm This attempt took 4 minutes. Question 1 1 / 1 pts If an extended class has a variable with the same name as the extending class, what happens The extending class wins It is a compile time error The variable cannot be accessed outside the class The extended class wins https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 1 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 9/9/19, 9:26 PM 1 / 1 pts For a class named fred, how would you create an instance f fred f f=fred{}; f=new; f= new fred(); f=instance(fred); Question 3 1 / 1 pts What function is used to check whether the assignment to a subclass is legal $cast $check $can_assign $is_legal Question 4 https://sjsu.instructure.com/courses/1327575/quizzes/1324929 1 / 1 pts Page 2 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM A static method is the same as a static property True False Question 5 1 / 1 pts Which keyword is used to refer to elements in the extended class parent super par child Question 6 1 / 1 pts Which keyword refers to class variables in functions written in the class Foo Foo self this my https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 3 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 9/9/19, 9:26 PM 1 / 1 pts A class’s data are referred to as class properties True False Question 8 1 / 1 pts An object is an instance of a class module function task Question 9 1 / 1 pts What is a static class property https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 4 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM class property with out simulation scheduling Only one for all class instances Property fixed for multiple simulations property that can't be modified Question 10 1 / 1 pts What value indicates no object instance has been created? nil NULL NIL null Question 11 1 / 1 pts The scope resolution operator is '.' '->' '=>' https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 5 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM '::' Question 12 1 / 1 pts A virtual class May only be extended Is a forward declaration for a class to be defined later Must extend another class Runs on a virtual machine Question 13 1 / 1 pts A class property may not be const. True False Question 14 1 / 1 pts Class parameters https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 6 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM Can only be used with typedef Can be used on each declaration Can only be used once Can be used in each extending class Question 15 1 / 1 pts What keyword prevents access to a class property from outside the class static hidden local private Quiz Score: 15 out of 15 https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 7 of 7 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Attempt History Attempt Time Score KEPT Attempt 2 12 minutes 20 out of 20 LATEST Attempt 2 12 minutes 20 out of 20 Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 10 at 3:15pm This attempt took 12 minutes. Question 1 1 / 1 pts What are the values of bits in an integer? z s 1 x 0 u w https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which type is zero extended? shortreal reg integer int Question 3 1 / 1 pts Which function converts a string to an integer atoi Question 4 1 / 1 pts What value cannot be assigned to a string? "%" percent used for formatting "'" (quote) 0 "" empty string value https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 1 / 1 pts System verilog extends which verilog 2k type event Question 6 1 / 1 pts String variables are dynamic (T/F) True False Question 7 1 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type projects forward in the module the typedef keyword comes before the type name The type will be used in an instantiated module Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Write a statement to make a user defined type 'mything' the same as real typedef real mything; Question 9 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Three variables b0,b1,b2 will be created Variable b will be assigned 5 Variable b will be assigned the third value of 4 Variable b will be assigned 3 Question 10 1 / 1 pts How can the size of an enumeration 'enx' be determined in SV? enx.num() size(enx) enx.len() enx.size() https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 11 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{s0=5,s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum {s0<=5,s1,s2} state; enum {s0(5),s1,s2} state; Question 12 1 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum {s0,s1,s2,s3} logic [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Question 13 1 / 1 pts A bit-stream consists of: real types https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Unpacked arrays, structures, or classes of the above types Any integral, packed, or string type Dynamically sized arrays Question 14 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Question 15 1 / 1 pts A union differs from a structure by: A union is a typedef struct They are the same all union elements share the same storage A struct is lexicaly stronger than a union Question 16 1 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates https://sjsu.instructure.com/courses/1326719/quizzes/1323010 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN An error a left justified result a sign extended result a right justified result Question 17 1 / 1 pts A tagged union Prevents reading from a different member than the last written provides debug trace information creates an event variable trigger adds a .tag() function to each variable Question 18 1 / 1 pts System Verilog data types are categorized as either singular or aggregate Question 19 1 / 1 pts A part-select of a packed array shall be signed. https://sjsu.instructure.com/courses/1326719/quizzes/1323010 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 20 1 / 1 pts A virtual interface should not be used (Check all that apply) as ports with clocking In an always block as members of unions as interface items in an initial block Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 15.75 out of 20 Submitted Sep 10 at 1:12am This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? reg logic integer shortint int byte bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function converts a string to an integer assuming the data is hex atohex Question 3 1 / 1 pts which function converts a string to a real value atoreal() Question 4 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.putc(i,c); str.set(i,c); str.substr(i,i+1,c); Question 5 1 / 1 pts Which operators work with a chandle variable? https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN *, /, % <, >, <=, >= ==, === !=, !== +, - Question 6 1 / 1 pts What are the values of bits in an integer? 1 z w x s u 0 Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 8 0 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type will be used in an instantiated module the typedef keyword comes before the type name The type projects forward in the module Question 9 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 10 1 / 1 pts Enum can be used with typedef (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Question 11 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? An error is generated c becomes the value 'yellow' c becomes the value 'green' c is converted to an integer of 1 Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() enx.lastvalue() last(enx) enx[-1] https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 Incorrect quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? $shrtrealtobits Incorrect Question 14 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a sign extended result An error a right justified result Incorrect Question 15 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? new[] Question 16 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A bit-stream consists of: Dynamically sized arrays Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN When the array is used with a smaller number of dimensions, these have to be: packed the slowest varying ones the fasest varing ones unpacked Question 20 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 15.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ &'()ÿ+,-. /01ÿÿ43ÿ2ÿ43# ÿ345678ÿ23 ÿ901875468ÿ23 :;<5=<>=1ÿ6 7?ÿÿ43ÿ2ÿ433@# ÿA5B1ÿD5B57ÿ23ÿE76 ÿ:==4F1Gÿ:771BH78ÿ2 A<f1ÿ7g1ÿ905hÿ:i<56 IJJKLMJÿOPQJRST D:AXUA :771BH7 :771BH7ÿYÿ A5B1 UV4W1 23ÿ#76 4Z@ÿ"6ÿ"[ÿ23 \ÿ"!!ÿ2 ]!ÿ2!ÿ7^^ "!ÿ["!ÿ7ÿ2#ÿY_àbÿ"6ÿ"[ÿ23 6c#7^ÿÿ0ÿ2ÿ4343# d 7ÿ2#ÿ""eÿ23ÿ#76 YÿjÿYÿH78 90187546ÿY #2 ÿÿ["??"]7kÿ[6 7" ÿ"ÿ^[777" ] ! ÿ !ÿ7ÿÿ!7kÿ"ÿ!7lÿÿ^22 7ÿ7ÿ2 ÿ7k!ÿl2!72c?mÿ2 ^ÿ!ÿ7ÿ2ÿ!2?ÿl2!72c? n46;1W78ÿ5671i1Wÿ74ÿg1o 87W56i ÿ QJSpqKrJRstPuv n46;1W78ÿW1<=ÿ74ÿ87W56i ÿ QJSpSKswJRstSuv 90187546ÿx 1167!66!"#1"6!14$2 4015678814$2$343 YÿjÿYÿH78 41% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & 7 ÿ'6 7" ÿ" (!ÿ)ÿ!7*ÿ"ÿ) ÿ7*!ÿ)6#7*ÿÿ+))ÿ7ÿ, -./012 A?B>CC<B8 :;<98=>?ÿ@ 3ÿ5ÿ6ÿ789 & 7 ÿDÿ7ÿ8!"ÿ, ++E ÿÿ"!!)Fÿ :;<98=>?ÿG 6ÿ5ÿ6ÿ789 & )ÿ)!ÿÿHÿ()F6ÿ'"!ÿ)ÿ!*E ÿÿ,ÿ ÿÿ3ÿ ÿÿ4ÿ ÿÿ8ÿ 1167!66!"#1"6!14$2 4015678814$2$343 21% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ2 &ÿ(ÿ&ÿ)*+ 3 4ÿ4!ÿÿ5466ÿ"7ÿ87ÿ7ÿ4 ÿ79!: ÿÿ4ÿ ÿÿ3ÿ ?@A*/@B ,-.+*/01ÿ> ;<=2ÿ(ÿ&ÿ)*+ 3 7 ÿCÿ4!ÿ79 D: ÿÿ79!ÿ ÿÿ7ÿ ÿÿ"!7ÿ 1167!66!"#1"6!14$2 4015678814$2$343 $1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ -./,+012ÿ3 'ÿ)ÿ'ÿ*+, 4!7ÿ5ÿ5# ÿ"ÿ#56ÿ5ÿ6!ÿ7877ÿ9ÿ:#97;:ÿÿ5#ÿ5ÿ!5< =>?@A@BÿD@EFÿG>=HIJKL -./,+012ÿM 'ÿ)ÿ'ÿ*+, N"Oÿ5 ÿÿ78ÿ"8ÿ5 ÿ 6#!57" ÿ: P:ÿQÿ7!#77ÿ7ÿRS ÿÿ P6#TUÿ -./,+012ÿV 'ÿ)ÿ'ÿ*+, 978ÿ5 ÿQÿ<57ÿ7ÿ5 ÿ7!85ÿTW1U ÿÿW!6ÿ -./,+012ÿ'X 'ÿ)ÿ'ÿ*+, 4 7 ÿ5# ÿO7<<ÿ!5ÿ5 ÿ 6#ÿ8"!ÿ3Y4Y2ÿO7ÿ3ÿ57; 7ÿ5ÿZ5<6ÿ"8 [S 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ 6#'3(%)4)2*ÿ+,ÿ 34521678ÿ-- -ÿ/ÿ-ÿ012 "!ÿÿ"9 :9;ÿ 6#ÿ'ÿ!9(3)ÿ<! )ÿ=>6)ÿ:>>"?)ÿ? 7)ÿ=>+@ÿ*ÿ">"!, ">"!ÿ, (=>6, ÿ(ÿ4, A +ÿ+ B ÿÿ ÿ!!"!ÿ7ÿ< !+9ÿ E8F7GG5F1 34521678ÿ-D Cÿ/ÿ-ÿ012 H ÿ9;+6>ÿ:ÿ;"!ÿ+ ÿ 6#ÿ7 IJKLMLN 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3"ÿ457ÿ!66!ÿ67!7789ÿ7ÿ#7 :ÿ"4ÿ9"77" ;ÿ7ÿ!6ÿ7ÿ"##" 9: 64ÿ<7ÿ7 =>?@A@B ,-.+*/01ÿ&C &ÿ(ÿ&ÿ)*+ ÿ6 7D4ÿ!66!ÿ7 ÿ8ÿ7E 4F ÿÿ79ÿ ,-.+*/01ÿ&G &ÿ(ÿ&ÿ)*+ :#ÿH!79"Eÿ477ÿ:ÿ7!ÿ7E"!784ÿ7ÿ7!ÿ7E697!ÿ"! IJJK@JI=@ ,-.+*/01ÿ&L &ÿ(ÿ&ÿ)*+ 5ÿ8"ÿÿ"6!ÿ7 4ÿ4ÿ7!ÿ57M478ÿ:ÿ"5ÿ4755! ÿ78ÿ7 4ÿ7! :ÿ7ÿ6 7D4;ÿ ÿ7ÿ7ÿE !7 1167!66!"#1"6!14$2 4015678814$2$343 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ ÿ!!"!ÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3ÿ4 ÿ5677ÿ77746ÿ7466ÿ5!7" ÿ7ÿ68ÿ97ÿÿ864!47" ÿ"3ÿ4ÿ74!74:6; ÿ77746ÿ47< # ÿ5!7" ÿ977ÿÿ!66!ÿ844ÿ=ÿ466ÿ"7!!78 ÿ5!7" ÿÿ46ÿ @1A0BB.A* ,-.+*/01ÿ&? >ÿ(ÿ&ÿ)*+ C 7 ÿ4!ÿ4<<!<4ÿ844ÿ=D ÿÿ4E8ÿ6 7" ÿ ÿÿ4E8ÿ!66!ÿ ÿÿ4E8ÿ4!!4=ÿ @1A0BB.A* ,-.+*/01ÿ&F 1167!66!"#1"6!14$2 4015678814$2$343 >ÿ(ÿ&ÿ)*+ 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & ÿ'7# 7" ÿ'()!'ÿ)*!ÿÿ"+ÿ)#ÿ)!ÿ!*!!'ÿ"ÿ) ÿÿ,"!ÿ-7'ÿ'7# 7" ÿ <:=9>>7=3 5674389:ÿ;. .ÿ0ÿ1ÿ234 -!7ÿÿ"'ÿ"ÿ7) 7)ÿ)ÿ,)!7)+(ÿ?)?ÿ"ÿÿ7!*)ÿ?6@7!*)?ÿ7ÿ) #"'6(ÿA"ÿB!)ÿ)Cÿ"ÿ)!)#!Cÿ"ÿ)'ÿ,)(6D EFEGHIJKLMNOPLQORS T678ÿ "!ÿ1UVWXÿ"6ÿ"*ÿ23 1167!66!"#1"6!14$2 4015678814$2$343 %1% 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 17.75 out of 20 Correct answers are hidden. Score for this attempt: 17.75 out of 20 Submitted Sep 3 at 11:46pm This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? int integer logic reg byte shortint bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.substr(i,i+1,c); str.putc(i,c); str.set(i,c); Question 3 1 / 1 pts String variables are: (Mark all correct Automatically converted to 'integer' as needed Dynamic indexable Characters is of type shortint An ordered collection of characters Question 4 1 / 1 pts reg is equivalent to which SV logic type? latch https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN logic flipflop shortreg Question 5 1 / 1 pts What are the 4 values for a reg? w z 1 x s u 0 Question 6 1 / 1 pts match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Converts integer to hex string str.hextoa(i); Converts real to string Question 7 str.realtoa(r); 1 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} logic [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{s0=5,s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum {s0(5),s1,s2} state; enum {s0<=5,s1,s2} state; Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 4/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef Question 10 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Variable b will be assigned 5 Variable b will be assigned the third value of 4 Three variables b0,b1,b2 will be created Variable b will be assigned 3 Question 11 1 / 1 pts How is a user defined type created? mytype class https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef type Question 12 1 / 1 pts typedefs can be placed in an interface (T/F) True False Question 13 1 / 1 pts To case the real value 2.15 to an int, use the following expression int'(2.15) 'int(2.15) int(2.15) (int)2.15 Question 14 1 / 1 pts An unpacked structure can be signed? True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 6/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 15 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) integer rv; Question 16 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 17 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Incorrect Question 18 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 0 / 1 pts 7/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN To copy data from an item of one type to an item of another type, use which function? new[] Question 19 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 20 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Quiz Score: 17.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 18.67 out of 20 ! Correct answers are hidden. Score for this attempt: 18.67 out of 20 Submitted Sep 9 at 12:06am This attempt took 20 minutes. Question 1 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? X Z 0 1 Question 2 1 / 1 pts Which operators work with a chandle variable? <, >, <=, >= !=, !== ==, === +, *, /, % Partial Question 3 0.67 / 1 pts System Verilog adds which data types over Verilog (2k) class shortreal string chandle event realtime Question 4 1 / 1 pts What value cannot be assigned to a string? 0 "%" percent used for formatting "" empty string value "'" (quote) Question 5 1 / 1 pts What are the values of bits in an integer? s 1 0 z u w x Incorrect Question 6 0 / 1 pts Which function compares two strings independent of upper/lower case? icompare(s) Question 7 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum {s0<=5,s1,s2} state; enum {s0(5),s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum{s0=5,s1,s2} state; Question 9 How is a user defined type created? 1 / 1 pts typedef mytype type class Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: enx.name() text(enx) name(enx) enx.text() Question 11 1 / 1 pts User-defined type names must be used for complex data types in casting. True False Question 12 1 / 1 pts User defined types can be hierarchial (T/F) True False Question 13 1 / 1 pts When a string is converted to another type, the item at index 0 is placed: LSB MSB second byte after the length last Question 14 1 / 1 pts The void type is used in a tagged union to: turn the union 'off' turn the union 'on' create a member that will be the last written and holds no useable value Creates debug tracing of assignments Question 15 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 16 1 / 1 pts A tagged union of a,b is declared by: tagged { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged union { int a; int b; } tu; Question 17 A tagged union 1 / 1 pts provides debug trace information adds a .tag() function to each variable Prevents reading from a different member than the last written creates an event variable trigger Question 18 1 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) signed'(rv) Question 19 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same unpacked array dimensions All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the unpacked dimensions shall concatenate the packed dimensions Question 20 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Quiz Score: 18.67 out of 20 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 15 out of 20 Correct answers are hidden. Score for this attempt: 15 out of 20 Submitted Sep 3 at 6:23pm This attempt took 18 minutes. Incorrect Question 1 0 / 1 pts System verilog extends which verilog 2k type string Question 2 1 / 1 pts What are the values of bits in an integer? w x https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 0 s 1 z u Incorrect Question 3 0 / 1 pts Which type is zero extended? reg shortreal int integer Question 4 1 / 1 pts To convert a string to lower case, use the function tolower() Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM What is the purpose of 'void'? Indicates a function returns no value Terminates evaluation of an 'if' condition Indicates no assignment should be performed Assigned to create 'X' values to a variable Question 6 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? Z 0 1 X Incorrect Question 7 0 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM c is converted to an integer of 1 An error is generated c becomes the value 'green' c becomes the value 'yellow' Question 8 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() last(enx) enx[-1] enx.lastvalue() Question 9 1 / 1 pts The default type for an enum is: int Question 10 1 / 1 pts How is a user defined type created? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM class type mytype typedef Question 11 1 / 1 pts How can the size of an enumeration 'enx' be determined in SV? enx.size() enx.len() enx.num() size(enx) Question 12 1 / 1 pts User defined types can be hierarchial (T/F) True False Incorrect Question 13 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 0 / 1 pts 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM a shortreal may be converted to a reg (bit for bit), use what function? realtobits() Question 14 1 / 1 pts A packed structure can be used with the '+' operator (T/F) True False Question 15 1 / 1 pts A bit-stream consists of: Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Dynamically sized arrays Incorrect Question 16 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM a right justified result An error a sign extended result a left justified result Question 17 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Question 18 1 / 1 pts A structure can contain other structures modules unions Basic data types tasks functions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 1 / 1 pts Question 19 In an array with multiple dimensions, which varies most rapidly largest number Right most smallest number left most Question 20 1 / 1 pts A virtual interface should not be used (Check all that apply) as members of unions with clocking in an initial block as ports In an always block as interface items Quiz Score: 15 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ &'()ÿ+,-. /01ÿÿ43ÿ2ÿ43# ÿ345678ÿ23 ÿ901875468ÿ23 :;<5=<>=1ÿ6 7?ÿÿ43ÿ2ÿ433@# ÿA5B1ÿD5B57ÿ23ÿE76 ÿ:==4F1Gÿ:771BH78ÿ2 A<f1ÿ7g1ÿ905hÿ:i<56 IJJKLMJÿOPQJRST D:AXUA :771BH7 :771BH7ÿYÿ A5B1 UV4W1 23ÿ#76 4Z@ÿ"6ÿ"[ÿ23 \ÿ"!!ÿ2 ]!ÿ2!ÿ7^^ "!ÿ["!ÿ7ÿ2#ÿY_àbÿ"6ÿ"[ÿ23 6c#7^ÿÿ0ÿ2ÿ4343# d 7ÿ2#ÿ""eÿ23ÿ#76 YÿjÿYÿH78 90187546ÿY #2 ÿÿ["??"]7kÿ[6 7" ÿ"ÿ^[777" ] ! ÿ !ÿ7ÿÿ!7kÿ"ÿ!7lÿÿ^22 7ÿ7ÿ2 ÿ7k!ÿl2!72c?mÿ2 ^ÿ!ÿ7ÿ2ÿ!2?ÿl2!72c? n46;1W78ÿ5671i1Wÿ74ÿg1o 87W56i ÿ QJSpqKrJRstPuv n46;1W78ÿW1<=ÿ74ÿ87W56i ÿ QJSpSKswJRstSuv 90187546ÿx 1167!66!"#1"6!14$2 4015678814$2$343 YÿjÿYÿH78 41% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & 7 ÿ'6 7" ÿ" (!ÿ)ÿ!7*ÿ"ÿ) ÿ7*!ÿ)6#7*ÿÿ+))ÿ7ÿ, -./012 A?B>CC<B8 :;<98=>?ÿ@ 3ÿ5ÿ6ÿ789 & 7 ÿDÿ7ÿ8!"ÿ, ++E ÿÿ"!!)Fÿ :;<98=>?ÿG 6ÿ5ÿ6ÿ789 & )ÿ)!ÿÿHÿ()F6ÿ'"!ÿ)ÿ!*E ÿÿ,ÿ ÿÿ3ÿ ÿÿ4ÿ ÿÿ8ÿ 1167!66!"#1"6!14$2 4015678814$2$343 21% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ2 &ÿ(ÿ&ÿ)*+ 3 4ÿ4!ÿÿ5466ÿ"7ÿ87ÿ7ÿ4 ÿ79!: ÿÿ4ÿ ÿÿ3ÿ ?@A*/@B ,-.+*/01ÿ> ;<=2ÿ(ÿ&ÿ)*+ 3 7 ÿCÿ4!ÿ79 D: ÿÿ79!ÿ ÿÿ7ÿ ÿÿ"!7ÿ 1167!66!"#1"6!14$2 4015678814$2$343 $1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ -./,+012ÿ3 'ÿ)ÿ'ÿ*+, 4!7ÿ5ÿ5# ÿ"ÿ#56ÿ5ÿ6!ÿ7877ÿ9ÿ:#97;:ÿÿ5#ÿ5ÿ!5< =>?@A@BÿD@EFÿG>=HIJKL -./,+012ÿM 'ÿ)ÿ'ÿ*+, N"Oÿ5 ÿÿ78ÿ"8ÿ5 ÿ 6#!57" ÿ: P:ÿQÿ7!#77ÿ7ÿRS ÿÿ P6#TUÿ -./,+012ÿV 'ÿ)ÿ'ÿ*+, 978ÿ5 ÿQÿ<57ÿ7ÿ5 ÿ7!85ÿTW1U ÿÿW!6ÿ -./,+012ÿ'X 'ÿ)ÿ'ÿ*+, 4 7 ÿ5# ÿO7<<ÿ!5ÿ5 ÿ 6#ÿ8"!ÿ3Y4Y2ÿO7ÿ3ÿ57; 7ÿ5ÿZ5<6ÿ"8 [S 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ 6#'3(%)4)2*ÿ+,ÿ 34521678ÿ-- -ÿ/ÿ-ÿ012 "!ÿÿ"9 :9;ÿ 6#ÿ'ÿ!9(3)ÿ<! )ÿ=>6)ÿ:>>"?)ÿ? 7)ÿ=>+@ÿ*ÿ">"!, ">"!ÿ, (=>6, ÿ(ÿ4, A +ÿ+ B ÿÿ ÿ!!"!ÿ7ÿ< !+9ÿ E8F7GG5F1 34521678ÿ-D Cÿ/ÿ-ÿ012 H ÿ9;+6>ÿ:ÿ;"!ÿ+ ÿ 6#ÿ7 IJKLMLN 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3"ÿ457ÿ!66!ÿ67!7789ÿ7ÿ#7 :ÿ"4ÿ9"77" ;ÿ7ÿ!6ÿ7ÿ"##" 9: 64ÿ<7ÿ7 =>?@A@B ,-.+*/01ÿ&C &ÿ(ÿ&ÿ)*+ ÿ6 7D4ÿ!66!ÿ7 ÿ8ÿ7E 4F ÿÿ79ÿ ,-.+*/01ÿ&G &ÿ(ÿ&ÿ)*+ :#ÿH!79"Eÿ477ÿ:ÿ7!ÿ7E"!784ÿ7ÿ7!ÿ7E697!ÿ"! IJJK@JI=@ ,-.+*/01ÿ&L &ÿ(ÿ&ÿ)*+ 5ÿ8"ÿÿ"6!ÿ7 4ÿ4ÿ7!ÿ57M478ÿ:ÿ"5ÿ4755! ÿ78ÿ7 4ÿ7! :ÿ7ÿ6 7D4;ÿ ÿ7ÿ7ÿE !7 1167!66!"#1"6!14$2 4015678814$2$343 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ ÿ!!"!ÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3ÿ4 ÿ5677ÿ77746ÿ7466ÿ5!7" ÿ7ÿ68ÿ97ÿÿ864!47" ÿ"3ÿ4ÿ74!74:6; ÿ77746ÿ47< # ÿ5!7" ÿ977ÿÿ!66!ÿ844ÿ=ÿ466ÿ"7!!78 ÿ5!7" ÿÿ46ÿ @1A0BB.A* ,-.+*/01ÿ&? >ÿ(ÿ&ÿ)*+ C 7 ÿ4!ÿ4<<!<4ÿ844ÿ=D ÿÿ4E8ÿ6 7" ÿ ÿÿ4E8ÿ!66!ÿ ÿÿ4E8ÿ4!!4=ÿ @1A0BB.A* ,-.+*/01ÿ&F 1167!66!"#1"6!14$2 4015678814$2$343 >ÿ(ÿ&ÿ)*+ 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & ÿ'7# 7" ÿ'()!'ÿ)*!ÿÿ"+ÿ)#ÿ)!ÿ!*!!'ÿ"ÿ) ÿÿ,"!ÿ-7'ÿ'7# 7" ÿ <:=9>>7=3 5674389:ÿ;. .ÿ0ÿ1ÿ234 -!7ÿÿ"'ÿ"ÿ7) 7)ÿ)ÿ,)!7)+(ÿ?)?ÿ"ÿÿ7!*)ÿ?6@7!*)?ÿ7ÿ) #"'6(ÿA"ÿB!)ÿ)Cÿ"ÿ)!)#!Cÿ"ÿ)'ÿ,)(6D EFEGHIJKLMNOPLQORS T678ÿ "!ÿ1UVWXÿ"6ÿ"*ÿ23 1167!66!"#1"6!14$2 4015678814$2$343 %1% 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 9 out of 20 Correct answers are hidden. Score for this attempt: 9 out of 20 Submitted Sep 4 at 5:50pm This attempt took 20 minutes. Question 1 1 / 1 pts What are the 4 values for a reg? x 1 u 0 w z s https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts Which function puts a byte value c in position i of string str? str.substr(i,i+1,c); str.set(i,c); str.putbyte(i,c); str.putc(i,c); Question 3 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? Z 1 X 0 Question 4 1 / 1 pts which function converts a string to a real value atoreal() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/4/2019 Incorrect quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 0 / 1 pts which function converts a string to an integer assuming the data is binary atoi() Incorrect Question 6 0 / 1 pts System verilog extends which verilog 2k type class Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True False Incorrect Question 8 0 / 1 pts The default type for an enum is: 0 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 9 1 / 1 pts typedefs can be placed in an interface (T/F) True False Unanswered Question 10 0 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum logic [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} logic [3:0] state; enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Incorrect Question 11 0 / 1 pts A forward typedef means the typedef keyword comes before the type name The type will be defined later in the code, but can be used now The type projects forward in the module https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM The type will be used in an instantiated module Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx[-1] enx.lastvalue() enx.last() last(enx) Question 13 1 / 1 pts An unpacked structure can be signed? True False Incorrect Question 14 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/4/2019 Incorrect quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 15 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? Incorrect Question 16 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? Question 17 1 / 1 pts The void type is used in a tagged union to: Creates debug tracing of assignments turn the union 'off' turn the union 'on' create a member that will be the last written and holds no useable value Incorrect Question 18 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 0 / 1 pts 6/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM When a string is converted to another type, the item at index 0 is placed: LSB MSB second byte after the length last Incorrect Question 19 0 / 1 pts A packed array dimensions declared after the object name dimensions declared before the object name all arrays declared as bit array declared with the packed keyword Unanswered Question 20 0 / 1 pts 'modport' names Can be any interface symbol not used otherwise start with the character '$' are optional https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM must be master or slave, or m or s Quiz Score: 9 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 18.25 out of 20 Correct answers are hidden. Score for this attempt: 18.25 out of 20 Submitted Sep 4 at 2:22pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? 0 "'" (quote) "" empty string value "%" percent used for formatting Question 2 1 / 1 pts String variables are dynamic (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Incorrect Question 3 0 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z=all(a,b) Question 4 1 / 1 pts What are the 4 values for a reg? s 0 w z u x 1 Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 2/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Which function puts a byte value c in position i of string str? str.putc(i,c); str.set(i,c); str.putbyte(i,c); str.substr(i,i+1,c); Partial Question 6 0.25 / 1 pts Which types are signed? byte logic bit shortint reg integer int Question 7 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.name() name(enx) enx.text() text(enx) Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{ with s0 as 5,s1,s2} state; enum {s0<=5,s1,s2} state; enum{s0=5,s1,s2} state; enum {s0(5),s1,s2} state; Question 9 1 / 1 pts Enum can be used with typedef (T/F) True False Question 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 4/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM After assigning event variable b to event variable a, An event on b's synchronization object will trigger a's synchronization object. A new synchronization object is created. Variable b no longer owns the synchronization object. Both event variables refer to the same synchronization object. Question 11 1 / 1 pts Assigning null to an event variable The association between the event object and the event variable is broken. Any pending events are reset Triggers an immediate event Creates an event debugging event. Question 12 1 / 1 pts typedef is often used with struct because Enums cannot be used in structs without a typedef structures are dynamically typed Structs have no type https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM To prevent redefining the type over and over. Question 13 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 14 1 / 1 pts To case the real value 2.15 to an int, use the following expression (int)2.15 int'(2.15) 'int(2.15) int(2.15) Question 15 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM typedef Question 16 1 / 1 pts An unpacked structure can be signed? True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A packed structure can be used with the '+' operator (T/F) True False Question 19 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 7/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM If a packed array is declared as signed, then the array viewed as a single vector shall be signed. True False Question 20 1 / 1 pts An interface block may not contain a property statement True False Quiz Score: 18.25 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 15.75 out of 20 Submitted Sep 10 at 1:12am This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? reg logic integer shortint int byte bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function converts a string to an integer assuming the data is hex atohex Question 3 1 / 1 pts which function converts a string to a real value atoreal() Question 4 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.putc(i,c); str.set(i,c); str.substr(i,i+1,c); Question 5 1 / 1 pts Which operators work with a chandle variable? https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN *, /, % <, >, <=, >= ==, === !=, !== +, - Question 6 1 / 1 pts What are the values of bits in an integer? 1 z w x s u 0 Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 8 0 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type will be used in an instantiated module the typedef keyword comes before the type name The type projects forward in the module Question 9 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 10 1 / 1 pts Enum can be used with typedef (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Question 11 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? An error is generated c becomes the value 'yellow' c becomes the value 'green' c is converted to an integer of 1 Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() enx.lastvalue() last(enx) enx[-1] https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 Incorrect quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? $shrtrealtobits Incorrect Question 14 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a sign extended result An error a right justified result Incorrect Question 15 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? new[] Question 16 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A bit-stream consists of: Dynamically sized arrays Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN When the array is used with a smaller number of dimensions, these have to be: packed the slowest varying ones the fasest varing ones unpacked Question 20 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 15.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 15.75 out of 20 Submitted Sep 10 at 1:12am This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? reg logic integer shortint int byte bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function converts a string to an integer assuming the data is hex atohex Question 3 1 / 1 pts which function converts a string to a real value atoreal() Question 4 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.putc(i,c); str.set(i,c); str.substr(i,i+1,c); Question 5 1 / 1 pts Which operators work with a chandle variable? https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN *, /, % <, >, <=, >= ==, === !=, !== +, - Question 6 1 / 1 pts What are the values of bits in an integer? 1 z w x s u 0 Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 8 0 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type will be used in an instantiated module the typedef keyword comes before the type name The type projects forward in the module Question 9 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 10 1 / 1 pts Enum can be used with typedef (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Question 11 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? An error is generated c becomes the value 'yellow' c becomes the value 'green' c is converted to an integer of 1 Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() enx.lastvalue() last(enx) enx[-1] https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 Incorrect quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? $shrtrealtobits Incorrect Question 14 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a sign extended result An error a right justified result Incorrect Question 15 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? new[] Question 16 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A bit-stream consists of: Dynamically sized arrays Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN When the array is used with a smaller number of dimensions, these have to be: packed the slowest varying ones the fasest varing ones unpacked Question 20 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 15.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 16.33 out of 20 ! Correct answers are hidden. Score for this attempt: 16.33 out of 20 Submitted Sep 3 at 2:06pm This attempt took 20 minutes. Partial Question 1 0.33 / 1 pts String variables are: (Mark all correct indexable Characters is of type shortint An ordered collection of characters Dynamic Automatically converted to 'integer' as needed https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 1 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 2 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts What is the purpose of 'void'? Assigned to create 'X' values to a variable Terminates evaluation of an 'if' condition Indicates no assignment should be performed Indicates a function returns no value https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 2 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 4 reg is equivalent to which SV logic type? latch logic shortreg flipflop Incorrect 0 / 1 pts Question 5 which function converts a string to a real value Atoreal(); Question 6 atoreal() 1 / 1 pts String variables are dynamic (T/F) True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 3 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 9/3/19, 2:07 PM 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Question 8 1 / 1 pts Enum can be used with typedef (T/F) True False Question 9 1 / 1 pts typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 4 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 9/3/19, 2:07 PM 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) enx.name() name(enx) enx.text() Question 11 1 / 1 pts How is a user defined type created? mytype type typedef class Question 12 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts Page 5 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM After assigning event variable b to event variable a, Both event variables refer to the same synchronization object. An event on b's synchronization object will trigger a's synchronization object. Variable b no longer owns the synchronization object. A new synchronization object is created. Question 13 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 14 1 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a sign extended result a right justified result An error https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 6 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM a left justified result Incorrect 0 / 1 pts Question 15 A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union tagged { int a; int b; } tu; right answer union { int a; int b; } tagged tu; tagged { int a; int b; } tu; Incorrect 0 / 1 pts Question 16 A union differs from a structure by: They are the same A struct is lexicaly stronger than a union all union elements share the same storage right answer A union is a typedef struct https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 7 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 9/3/19, 2:07 PM 1 / 1 pts The default type of a packed structure is? the same as the first element unsigned signed integer Question 18 1 / 1 pts System Verilog data types are categorized as either singular or aggregate Question 19 1 / 1 pts A packed array can have multiple dimensions True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 8 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 20 9/3/19, 2:07 PM 1 / 1 pts An interface can be passed through ports True False Quiz Score: 16.33 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 9 of 9 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-7 Due Sep 4 at 10pm Time Limit 15 Minutes Points 20 Questions 20 Allowed Attempts 2 Available until Sep 4 at 10:30pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 15 minutes 18 out of 20 Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 2 at 11:41pm This attempt took 15 minutes. Question 1 1 / 1 pts A part-select of a packed array shall be signed. True False Question 2 1 / 1 pts Unpacked arrays can be made of any data type. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 3 1 / 1 pts An integer type with a predefined width n is not a packed array. True False Question 4 1 / 1 pts A packed array can have multiple dimensions True False Question 5 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the packed dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions https://sjsu.instructure.com/courses/1327575/quizzes/1324930 2/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM shall concatenate the unpacked dimensions Incorrect Question 6 0 / 1 pts Multiple packed dimensions can also be defined in stages with typedef . True False Question 7 1 / 1 pts A dynamic array can be assigned to a fixed array True False Question 8 1 / 1 pts How is a dynamic array defined? [%] [] [$] [*] https://sjsu.instructure.com/courses/1327575/quizzes/1324930 3/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 9 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 10 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. True False Question 11 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. True False Question 12 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1 / 1 pts 4/7 9/3/2019 Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM In SystemVerilog the term 'slice'Quiz refers to The code accessing an array splitting an array into two target arrays a selection of one or more contiguous elements of an array a random selection of bits from an array Question 13 1 / 1 pts Associative arrays can be assigned to dynamic arrays True False Question 14 1 / 1 pts Which statement will return a queue of all entries in array IA >5 qi = IA.find( x ) with ( x > 5 ); qi = IA.extract( IA>5 ) qi = IA.find( >5 ); qi = IA.select( >5 ); Question 15 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1 / 1 pts 5/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM A struct may not be an associative array index. True False Question 16 1 / 1 pts What value does the associative array 'exists' function return if the element exists? 1 Question 17 1 / 1 pts Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete; Question 18 1 / 1 pts A queue can be used as a LIFO True https://sjsu.instructure.com/courses/1327575/quizzes/1324930 6/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM False Question 19 1 / 1 pts what is the string code for a vertical tab? \v Incorrect Question 20 0 / 1 pts write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; integer c; } (no spaces in the literal) '{a:1,b:2,c:3}; Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 7/7 Quiz Ch-7 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 15 minutes 18 out of 20 ! Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 2 at 11:58pm This attempt took 15 minutes. Question 1 1 / 1 pts An integer type with a predefined width n is not a packed array. True False Question 2 System Verilog supports only a single dimension True 1 / 1 pts False Question 3 1 / 1 pts A packed array all arrays declared as bit dimensions declared after the object name array declared with the packed keyword dimensions declared before the object name Question 4 1 / 1 pts SystemVerilog accepts only a two number range, to specify the size of an unpacked array True False Question 5 A packed array can have multiple dimensions True 1 / 1 pts False Incorrect Question 6 0 / 1 pts The dimensions declared after the object name are referred to as: vector width dimension the array dimensions array range bit width Question 7 1 / 1 pts One array can be assigned to the other if the what conditions are met? (Check all required) The right most indexes are the same they are declared with the first character of the name uppercase the left most indexes are the same each element is an equivelent type each dimension length the same the same number of unpacked dimensions Question 8 1 / 1 pts What array type can be indexed by a string? associative static queue dynamic Question 9 1 / 1 pts Which built-in-method returns the number of elements in an array? size() Question 10 1 / 1 pts For the code integer bob[]; bob = new[100]; write the code to extend the array to 300 elements keeping the old values: (no spaces in answer) bob=new[300](bob); Question 11 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. True False Question 12 1 / 1 pts How is a dynamic array defined? [%] [$] [] [*] Question 13 1 / 1 pts For the associative array pwx defined below, write the SV code to remove entry "turkey" integer pwx[string]; (no extra spaces) pwx.delete("turkey"); Question 14 1 / 1 pts The empty string ("") is not a valid associative array index. True False Question 15 1 / 1 pts An associative array may not have a class as an index type. True False Question 16 For the string array defined as: string s[] = { "Graduate", "from", "sjsu" }; write the code to reverse the order in the array 1 / 1 pts s.reverse; 1 / 1 pts Question 17 Associative arrays can be assigned to dynamic arrays True False 1 / 1 pts Question 18 match the values read from a non-existent associative array location 4-state integral type 'X 2-state integral type '0 enumeration base type default initial value string "" event null Question 19 1 / 1 pts Which is a time literal? 40 nano seconds 40 ns 40ns 40n Incorrect Question 20 0 / 1 pts write a literal to initialize a twenty element array of a structure containing two elements a and b with 4 given to a and -1 given to b (no spaces in answer) Quiz Score: 18 out of 20 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 17.75 out of 20 Correct answers are hidden. Score for this attempt: 17.75 out of 20 Submitted Sep 3 at 11:46pm This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? int integer logic reg byte shortint bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.substr(i,i+1,c); str.putc(i,c); str.set(i,c); Question 3 1 / 1 pts String variables are: (Mark all correct Automatically converted to 'integer' as needed Dynamic indexable Characters is of type shortint An ordered collection of characters Question 4 1 / 1 pts reg is equivalent to which SV logic type? latch https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN logic flipflop shortreg Question 5 1 / 1 pts What are the 4 values for a reg? w z 1 x s u 0 Question 6 1 / 1 pts match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Converts integer to hex string str.hextoa(i); Converts real to string Question 7 str.realtoa(r); 1 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} logic [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{s0=5,s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum {s0(5),s1,s2} state; enum {s0<=5,s1,s2} state; Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 4/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef Question 10 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Variable b will be assigned 5 Variable b will be assigned the third value of 4 Three variables b0,b1,b2 will be created Variable b will be assigned 3 Question 11 1 / 1 pts How is a user defined type created? mytype class https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef type Question 12 1 / 1 pts typedefs can be placed in an interface (T/F) True False Question 13 1 / 1 pts To case the real value 2.15 to an int, use the following expression int'(2.15) 'int(2.15) int(2.15) (int)2.15 Question 14 1 / 1 pts An unpacked structure can be signed? True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 6/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 15 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) integer rv; Question 16 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 17 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Incorrect Question 18 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 0 / 1 pts 7/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN To copy data from an item of one type to an item of another type, use which function? new[] Question 19 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 20 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Quiz Score: 17.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 12 out of 20 ! Correct answers are hidden. Score for this attempt: 12 out of 20 Submitted Sep 3 at 11:24pm This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts System verilog extends which verilog 2k type 2008 Question 2 To convert a string to lower case, use the function tolower() 1 / 1 pts Incorrect Question 3 0 / 1 pts System Verilog adds which data types over Verilog (2k) class shortreal realtime string event chandle Incorrect Question 4 0 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z= a>b; Question 5 What are the 4 values for a reg? x 1 / 1 pts u 1 s 0 z w Question 6 1 / 1 pts String concatenation is performed by which operators? + (plus sign) , (comma) { } (curly braces) . (period) Question 7 After assigning event variable b to event variable a, A new synchronization object is created. Variable b no longer owns the synchronization object. 1 / 1 pts An event on b's synchronization object will trigger a's synchronization object. Both event variables refer to the same synchronization object. Incorrect Question 8 0 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: name(enx) enx.name() text(enx) enx.text() Incorrect Question 9 0 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum {s0,s1,s2,s3} logic [3:0] state; enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; Incorrect Question 10 0 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c is converted to an integer of 1 An error is generated c becomes the value 'green' c becomes the value 'yellow' Question 11 1 / 1 pts User defined types can be hierarchial (T/F) True False Question 12 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Incorrect Question 13 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) logic rv; Question 14 1 / 1 pts A bit-stream consists of: Dynamically sized arrays real types Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types Question 15 A union differs from a structure by: 1 / 1 pts A union is a typedef struct A struct is lexicaly stronger than a union all union elements share the same storage They are the same Incorrect Question 16 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a right justified result a sign extended result An error Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 19 1 / 1 pts Multiple packed dimensions can also be defined in stages with typedef . True False Question 20 A virtual interface should not be used (Check all that apply) as interface items with clocking as ports In an always block as members of unions in an initial block 1 / 1 pts Quiz Score: 12 out of 20 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-25 Due Sep 3 at 10pm Points 20 Available until Sep 3 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 16 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:14pm This attempt took 16 minutes. Question 1 1 / 1 pts A specify block cannot use an interface True False Question 2 1 / 1 pts modport namespaces are unique to each interface don't exist https://sjsu.instructure.com/courses/1326719/quizzes/1323014 1/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN are globally shared are common in a module Question 3 1 / 1 pts A net declared in an interface bundle is of default direction in inout ref out Question 4 1 / 1 pts An interface block may not contain a property statement True False Question 5 1 / 1 pts A modport may contain a clocking declaration True https://sjsu.instructure.com/courses/1326719/quizzes/1323014 2/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN False Question 6 1 / 1 pts Virtual interfaces can be declared as a class property True False Question 7 1 / 1 pts interface parameters may be declared 'automatic' True False Question 8 1 / 1 pts An interface can contain a task definition True False Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323014 1 / 1 pts 3/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN Interfaces cannot have parameters True False Question 10 1 / 1 pts A virtual interface should not be used (Check all that apply) in an initial block In an always block as interface items with clocking as ports as members of unions Question 11 1 / 1 pts Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) [input logic clk]; interface sjsu_bus PARAM(AWIDTH = 11, DWIDTH = 9) (input logic clk); interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); https://sjsu.instructure.com/courses/1326719/quizzes/1323014 4/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN interface #(AWIDTH = 11, DWIDTH = 9) sjsu_bus(input logic clk); Question 12 1 / 1 pts A module can be instantiated in an interface True False Question 13 1 / 1 pts Why is the clock typically placed in the modport definition? So the clock can be referenced in the block placing a clock on a modport is not allowed To disable the interface clocking block To create a reverse clock binding Question 14 1 / 1 pts When can a hierarchical reference be made to interface elements before object binding always https://sjsu.instructure.com/courses/1326719/quizzes/1323014 5/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN When passed in an module port list Never Question 15 1 / 1 pts When can an interface parameter be passed? At interface instantiation Only in an initial block In the module parameter list Only in an always block At each interface reference in the code Question 16 1 / 1 pts Tasks can be included in an interface definition True False Question 17 1 / 1 pts What functions could be used with a 'for' statement and an associative array to iterate through all elements? https://sjsu.instructure.com/courses/1326719/quizzes/1323014 6/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN end last start step first begin continue next more 1 / 1 pts Question 18 match the values read from a non-existent associative array location 4-state integral type 2-state integral type enumeration string event https://sjsu.instructure.com/courses/1326719/quizzes/1323014 'X '0 base type default initial v "" null 7/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN Question 19 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 20 1 / 1 pts If a packed array is declared as signed, then the array viewed as a single vector shall be signed. True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323014 8/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-7 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 9 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:50pm This attempt took 9 minutes. Question 1 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 2 1 / 1 pts An integer type with a predefined width n is not a packed array. True https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM False Question 3 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the unpacked dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions shall concatenate the packed dimensions Question 4 1 / 1 pts When the array is used with a smaller number of dimensions, these have to be: the slowest varying ones the fasest varing ones unpacked packed https://sjsu.instructure.com/courses/1327575/quizzes/1324930 2/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts Unpacked arrays can be made of any data type. True False Question 6 1 / 1 pts A dimension before a variable in a declaration is referred to as: bit size bit range variable width vector width dimension Question 7 1 / 1 pts A dynamic array of 100 elements can be assigned to a fixed array of 75 elements True False https://sjsu.instructure.com/courses/1327575/quizzes/1324930 3/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array True False Question 9 1 / 1 pts Which built-in-method returns the number of elements in an array? size() Question 10 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 11 1 / 1 pts the term part-select refers to The right most data element https://sjsu.instructure.com/courses/1327575/quizzes/1324930 4/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM a selection of one or more contiguous bits of a single- dimension packed array. The left most data element a selection of one or more contiguous bits of a single- dimension unpacked array.False Question 12 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. True False Question 13 1 / 1 pts Which function used with 'push_front' would create a FIFO pop_back Question 14 1 / 1 pts Which of the following are valid indexes for: https://sjsu.instructure.com/courses/1327575/quizzes/1324930 5/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM int qqx[string]; 3.1415926 16'h1234 "26" 23 "Hi" Question 15 1 / 1 pts Which character index is used to create a queue '%' [%] none [] '$' [$] '*' [*] Question 16 1 / 1 pts Associative arrays can be assigned to dynamic arrays True False https://sjsu.instructure.com/courses/1327575/quizzes/1324930 6/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts A queue can be used as a LIFO True False Question 18 1 / 1 pts What value does the associative array 'exists' function return if the element exists? 1 Question 19 1 / 1 pts write a time literal representing 0.1 nano seconds 0.1ns Question 20 1 / 1 pts what is the special string character \a https://sjsu.instructure.com/courses/1327575/quizzes/1324930 7/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM vertical tab bell hex character code form feed Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 1 / 1 pts Question 5 `x is a valid literal True False ab abarr ='{1, 1.0}; is an example of: A compiler error An integer concatenation A structure literal A class literal 1 / 1 pts Question 8 If a string literal is assigned to an unpacked array of bytes, what happens if the sizes are different? compile error results string left justified in array string right justified in array Question 1 A specify block cannot use an interface True False An interface can contain a task definition True Question 4 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); 1 / 1 pts an interface can be passed through ports True False Virtual interfaces can be declared as a class property True False Question 7 What do interface modport declarations provide? limits interface signals and thier directions Modifies bus sizes in real time models a port for bus connections provides the ability to modify a port during simulation Question 8 A module can be instantiated in an interface True False what is an 'unspecified interface reference' ? An error a interface placeholder to be selected at module instantiation in implicit interface An unused interface object 1 / 1 pts Question 10 1 / 1 pts A virtual interface should not be used (Check all that apply) in an initial block In an always block as members of unions as ports with clocking as interface items Question 11 1 / 1 pts Access to all declared interface objects is always available by simulation termination interface enums hierarchical reference Question 12 1 / 1 pts A net declared in an interface bundle is of default direction out inout in Tasks can be included in an interface definition True False Question 14 How many interface objects can a module contain? 2 Any Number 1 / 1 pts 1 / 1 pts Question 15 Why is the clock typically placed in the modport definition? placing a clock on a modport is not allowed To disable the interface clocking block To create a reverse clock binding So the clock can be referenced in the block 1 / 1 pts Question 19 For the code integer bob[]; bob = new[100]; write the code to extend the array to 300 elements keeping the old values:(no spaces in answer) bob=new[300](bob); quiz ch-25: FA19: EE-273 Sec 01 - Log Verif UVM The term unpacked array is used to refer to: dimensions declared before the object name Any array outside a structure any array declared with the unpacked keyword dimensions declared after the object name Question 5 int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: Integer concatenation Use of an array literal A compile error nested class initialization Question 2 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the packed dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions shall concatenate the unpacked dimensions Question 3 1 / 1 pts In an array with multiple dimensions, which varies most rapidly Right most largest number smallest number left most Question 6 1 / 1 pts When the array is used with a smaller number of dimensions, these have to be: the fasest varing ones unpacked the slowest varying ones Question 7 1 / 1 pts For the array defined as: int mark[]; mark=new[50]; mark.delete; Write the code (no spaces) to empty the array. Question 8 A dynamic array size can be changed with which operator? new[] 1 / 1 pts 1 / 1 pts Question 9 Which built-in-method returns the number of elements in an array? size() 1 / 1 pts Question 11 In the statement: event evx[*]; What type of array is created? associative static dynamic A dynamic array of 100 elements can be assigned to a fixed array of 75 elements True False Question 14 1 / 1 pts For the string array defined as: string s[] = { "Graduate", "from", "sjsu" }; write the code to reverse the order in the array s.reverse; Question 15 1 / 1 pts for the associative array declared: integer z; string axx[integer]; write a verilog statement (No extra spaces) that will set the variable z to the number of entries in the associative array: z=axx.num; Question 17 1 / 1 pts Which function can be used to determine if a queue is empty size() Question 20 1 / 1 pts int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: Integer concatenation A compile error Use of an array literal nested class initialization A dynamic array of 100 elements can be assigned to a fixed array of 75 elements True False A packed array (choose all correct) Can be used as an integer in an expression always consists of 2-valued elements Is packed and ready for a short trip to Vegas can accept assignment from an integer Can be used as a floating point number in any expression Question 5 A dimension before a variable in a declaration is referred to as: vector width dimension variable width bit size bit range 1 / 1 pts 1 / 1 pts Question 7 If an index expression is out of bounds or any address bit is X or Z, then the index shall be zero invalid the left most data element The right most data element 1 / 1 pts Question 10 A dynamic array can be assigned to a fixed array True False 1 / 1 pts Question 11 Array Slices can only apply to a single dimension True False Question 12 In SystemVerilog the term 'slice' refers to a random selection of bits from an array splitting an array into two target arrays a selection of one or more contiguous elements of an array Which character index is used to create a queue '$' [$] match the values read from a non-existent associative array location 4-state integral type 'X 2-state integral type enumeration base type default initial v string "" event null Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); 1, DWIDTH = 9) sjsu_bus(input logic clk); Question 3 1 / 1 pts An interface block may not contain a property statement True False Question 5 1 / 1 pts Tasks cannot be placed in an interface True False Question 8 1 / 1 pts Interfaces cannot have parameters True False Question 9 1 / 1 pts modport namespaces don't exist are unique to each interface are globally shared are common in a module Question 10 'modport' names start with the character '$' must be master or slave, or m or s are optional Can be any interface symbol not used otherwise 1 / 1 pts Question 13 1 / 1 pts How many interface objects can a module contain? 2 4 1 Any number Question 14 1 / 1 pts What does the interface keyword mean in a module port list? The interface will be passed later Prohibits the use of a modport Use the interface type following the keyword Question 16 1 / 1 pts When can a hierarchical reference be made to interface elements When passed in an module port list always before object binding Never Question 2 interface parameters may be declared 'automatic' True False A modport may contain a clocking declaration True False 1 / 1 pts When can an interface parameter be passed? Only in an initial block At each interface reference in the code At interface instantiation In the module parameter list Question 18 1 / 1 pts A signed packed array cannot be an associative array index. True False Question 1 Integer types with predefined widths cannot have packed array dimensions declared. True False Question 2 1 / 1 pts If a packed array is declared as signed, then the array viewed as a single vector shall be signed. True False Question 3 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 5 SystemVerilog accepts only a two number range, to specify the size of an unpacked array True False 1 / 1 pts 1 / 1 pts Question 6 Multiple unpacked dimensions cannot also be defined in stages with typedef . True False What do the statements: integer bob[]; bob = new[100]; do? create a new array element containing a value of 100 assigns the array bob elememt 100 of array new set all array values to 100 creates an integer dynamic array with 100 members set to the default value Question 14 Which statement will return a queue of all entries in array IA >5 qi = IA.find( >5 ); qi = IA.select( >5 ); qi = IA.extract( IA>5 ) qi = IA.find( x ) with ( x > 5 ); Question 15 1/1 pts Associative arrays can be passed to a task as a dynamic array. True False Question 16 Which function used with 'push_front' would create a FIFO pop_back 1 / 1 pts Question 18 1/1 pts for an associative array declared: int bby[integer]; Indicate which are true for the index can be any integral expression A 4-state index containing X or Z is assumed zero Indices are signed. Indices larger than integer are truncated to 32 bits Indices smaller than integer are sign extended to 32 bits Question 8 write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; } integer c; (no spaces in the literal) '{a:1,b:2,c:3} A packed array dimensions declared before the object name System Verilog supports only a single dimension False The dimensions declared after the object name are referred to as: the array dimensions Unpacked arrays can be made of any data type. True What array type can be indexed by a string? associative 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array False The empty string ("") is not a valid associative array index. False Question 15 Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete(); What value does the associative array 'exists' function return if the element exists? 1 Associative arrays can be assigned to dynamic arrays False Which keyword is used to refer to elements in the extended class super What function is used to check whether the assignment to a subclass is legal $cast Which keyword refers to class variables in functions written in the class Foo this A class is a type Which keyword allows one class inherit things from another class. extends A class cannot hold a real value False What is a static class property Only one for all class instances Question 8 Assuming a class Foo, in the following code: Foo A = new; Foo B =A; A. = 5; B.x = 7 What is the value of A.x? 7 If a class B contains a function butterfly, how can the class function be called B.butterfly(5) A class's subroutines are called methods The scope resolution operator applies to dynamic class members False In the code: function new(); super.new(5); endfunction What does super.new(5); do Calls new in the subclass Class parameters Can be used on each declaration A class property may not be canst. False System verilog objects are removed from memory Automatically A static method is the same as a static property False Which keyword allows one class inherit things from another class. extends An object is an instance of a class What value indicates no object instance has been created null If a class q contains a member x, how can the value of x be referenced q.x The scope resolution operator is '::' How can an out of block declaration be performed qualify the method name with the class name and a pair of colons A class and a structure are the same False What is the return type of new Nothing A class's data are referred to as class properties True What keyword prevents access to a class property from outside the class local Polymorphism At run time, the system correctly binds the method from the appropriate class. How are parameters specified on a class? #(int bits=32) The randomize function creates random values for rand variables A dist item cannot appear in another expression True An object can be declared randc? False A constraint limits the random values applied to variables An object can be declared rand? True The inside operator gives a value contained in a set of values rand and randc do the same things False Which function disables a constraint? constraint_mode unpacked structures can be declared randc? False randc is guaranteed to work on variable up to how many bits? 8 A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. False A packed array can have multiple dimensions True Question 9 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 12 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array False Which code is correct to initialize an associative array: string sjsu[int] = '{4:"student"}; Question 15 A struct may not be an associative array index. False What are the 4 values for a reg? s z w x 0 u 1 1 / 1 pts reg is equivalent to which SV logic type? latch flipflop logic To convert a string to lower case, use the function Tolower() String concatenation is performed by which operators? + (plus sign) { } (curly braces) , (comma) . (period) typedefs can be placed in an interface (T/F) True False User­defined type names must be used for complex data types in casting. True In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Variable b will be assigned 5 Three variables b0,b1,b2 will be created Variable b will be assigned 3 Variable b will be assigned the third value of 4 A string containing the name of an enumeration value in a variable 'enx' may be obtained by: enx.text() enx.name() name(enx) What will get the value of the last element of an enumeration 'enx'? enx.last() last(enx) enx[­1] enx.lastvalue() For the purposes of a bit­stream cast, a string is considered a dynamic array of bytes A packed structure can be used with the '+' operator (T/F) True An unpacked structure can be signed? False The default type of a packed structure is? unsigned Multiple packed dimensions can also be defined in stages with typedef . True False A part-select of a packed array shall be signed. True False A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. True A queue can be used as a LIFO True False Which of the following are valid indexes for: int qqx[string]; 3.1415926 "26" 23 "Hi" 16'h1234 How is dynamic array storage allocated? new[] the term part­select refers to a selection of one or more contiguous bits of a single­ dimension packed array. How is a dynamic array defined? [] [$] [*] [%] An associative array may not have a class as an index type. True False Which index accesses the last element in a queue? 0 $ ­1 A part-select of a packed array shall be signed. True False Which function converts a string to an integer atoi() String variables are dynamic (T/F) True to convert a string to upper case, use the function Toupper() which function converts a string to a real value Atoreal() typedef is often used with struct because Enums cannot be used in structs without a typedef To prevent redefining the type over and over. Structs have no type For the code: structures are dynamically typed typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' c is converted to an integer of 1 An error is generated c becomes the value 'green' User defined types can be hierarchial (T/F) True False The default type for an enum is: int Which are aggregate data types? unpacked union unpacked structure packed structure packed array packed union unpacked array enumerations (enum) are converted to integers by the compiler with needed (T/F) True False When a string is converted to another type, the item at index 0 is placed: last second byte after the length LSB MSB For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 16.33 out of 20 ! Correct answers are hidden. Score for this attempt: 16.33 out of 20 Submitted Sep 3 at 2:06pm This attempt took 20 minutes. Partial Question 1 0.33 / 1 pts String variables are: (Mark all correct indexable Characters is of type shortint An ordered collection of characters Dynamic Automatically converted to 'integer' as needed https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 1 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 2 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts What is the purpose of 'void'? Assigned to create 'X' values to a variable Terminates evaluation of an 'if' condition Indicates no assignment should be performed Indicates a function returns no value https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 2 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 4 reg is equivalent to which SV logic type? latch logic shortreg flipflop Incorrect 0 / 1 pts Question 5 which function converts a string to a real value Atoreal(); Question 6 atoreal() 1 / 1 pts String variables are dynamic (T/F) True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 3 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 9/3/19, 2:07 PM 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Question 8 1 / 1 pts Enum can be used with typedef (T/F) True False Question 9 1 / 1 pts typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 4 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 9/3/19, 2:07 PM 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) enx.name() name(enx) enx.text() Question 11 1 / 1 pts How is a user defined type created? mytype type typedef class Question 12 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts Page 5 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM After assigning event variable b to event variable a, Both event variables refer to the same synchronization object. An event on b's synchronization object will trigger a's synchronization object. Variable b no longer owns the synchronization object. A new synchronization object is created. Question 13 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 14 1 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a sign extended result a right justified result An error https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 6 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM a left justified result Incorrect 0 / 1 pts Question 15 A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union tagged { int a; int b; } tu; right answer union { int a; int b; } tagged tu; tagged { int a; int b; } tu; Incorrect 0 / 1 pts Question 16 A union differs from a structure by: They are the same A struct is lexicaly stronger than a union all union elements share the same storage right answer A union is a typedef struct https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 7 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 9/3/19, 2:07 PM 1 / 1 pts The default type of a packed structure is? the same as the first element unsigned signed integer Question 18 1 / 1 pts System Verilog data types are categorized as either singular or aggregate Question 19 1 / 1 pts A packed array can have multiple dimensions True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 8 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 20 9/3/19, 2:07 PM 1 / 1 pts An interface can be passed through ports True False Quiz Score: 16.33 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 9 of 9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 18 out of 20 Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 3 at 6:50pm This attempt took 20 minutes. Question 1 1 / 1 pts How can the number of characters in string str be determined? str.len() len(str) str.size() size(str) Question 2 1 / 1 pts What are the 4 values for a reg? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM s u z 0 w x 1 1 / 1 pts Question 3 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string Question 4 str.hextoa(i); str.realtoa(r); 1 / 1 pts Match the data types to the definitions. https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM shortint int 2-state SystemVerilog dat 2-state SystemVerilog dat longint byte bit 2-state SystemVerilog dat 2-state SystemVerilog dat 2-state SystemVerilog dat logic reg integer time Question 5 4-state SystemVerilog dat 4-state Verilog data type, 4-state Verilog data type, 4-state Verilog data type, 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? Z 1 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 0 X Question 6 1 / 1 pts reg is equivalent to which SV logic type? flipflop shortreg logic latch Incorrect Question 7 0 / 1 pts User-defined type names must be used for complex data types in casting. True False Question 8 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Variable b will be assigned 5 Three variables b0,b1,b2 will be created Variable b will be assigned the third value of 4 Variable b will be assigned 3 Question 9 1 / 1 pts The default type for an enum is: int Question 10 1 / 1 pts Enum can be used with typedef (T/F) True False Question 11 1 / 1 pts After assigning event variable b to event variable a, Variable b no longer owns the synchronization object. A new synchronization object is created. https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Both event variables refer to the same synchronization object. An event on b's synchronization object will trigger a's synchronization object. Question 12 1 / 1 pts For the statement: enum {a, b=7, c,d} state; What will be the value associated with d? 3 9 8 2 Question 13 1 / 1 pts A structure can contain tasks Basic data types unions other structures functions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM modules Question 14 1 / 1 pts An unpacked structure can be signed? True False Incorrect Question 15 0 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 16 1 / 1 pts The void type is used in a tagged union to: turn the union 'off' Creates debug tracing of assignments create a member that will be the last written and holds no useable value https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM turn the union 'on' Question 17 1 / 1 pts A tagged union creates an event variable trigger Prevents reading from a different member than the last written provides debug trace information adds a .tag() function to each variable Question 18 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Question 19 1 / 1 pts In Verilog, a comma-separated list of array declarations shall concatenate the unpacked dimensions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM All arrays in the list shall have the same data type and the same packed array dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions shall concatenate the packed dimensions Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 9/9 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 10 minutes 15 out of 15 ! Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 6:51pm This attempt took 10 minutes. Question 1 1 / 1 pts timeprecision must be a power of 20 units True False Question 2 1 / 1 pts A package may contain an initial block (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 1 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM True False Question 3 1 / 1 pts What can be passed through a module port in system verilog? variables, functions, tasks reg, logic, int, integer, time reg, integer, time Any data type Question 4 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts Page 2 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM How can the top level scope be specified? /home $home /top $root Question 6 1 / 1 pts A structure can be passed through a port (T/F) True False Question 7 1 / 1 pts 'ref' is a valid port direction (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 3 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 8 10/3/19, 6:53 PM 1 / 1 pts what is an 'extern module'? reference to 'C' code A module compiled separatly A module with a unique name space A module from another design. No relation to this design. Question 9 1 / 1 pts what is the string code for a vertical tab? \v Question 10 1 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 4 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM end True False Question 11 1 / 1 pts The repeat statement in synthesis: repeat(5) begin . . . end makes a bus with 5 times more bits makes 5 copies of the logic is illegal results in logic 5 times faster Question 12 1 / 1 pts Which type is zero extended? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 5 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM reg int integer shortreal Question 13 1 / 1 pts Which types are signed? shortint bit byte logic reg integer int Question 14 1 / 1 pts A module can be instantiated in an interface https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 6 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6:53 PM True False Question 15 1 / 1 pts An interface can be passed through ports True False Quiz Score: 15 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 7 of 7 Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Attempt History Attempt Time Score KEPT Attempt 3 12 minutes 15 out of 15 LATEST Attempt 3 12 minutes 15 out of 15 Attempt 2 7 minutes 13.67 out of 15 Attempt 1 20 minutes 9.5 out of 15 Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 3:15pm This attempt took 12 minutes. Question 1 What syntax correctly declares a package? package ; name : fred; endpackage package fred; endpackage fred package { }; package fred { }; 1 / 1 pts Question 2 1 / 1 pts timeprecision must be a power of 20 units True False Question 3 1 / 1 pts A structure can be passed through a port (T/F) True False Question 4 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 5 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port Allows for a variable number of port maps indicates this is an unconnected output matches anything placed on a port Question 6 1 / 1 pts 'ref' is a valid port direction (T/F) True False Question 7 How can package routines be accessed with non qualified names? use an import statement They may never be used non qualified place the package in the module Type the names in all Caps 1 / 1 pts Question 8 1 / 1 pts What can be passed through a module port in system verilog? variables, functions, tasks reg, logic, int, integer, time reg, integer, time Any data type Question 9 1 / 1 pts reg [3:0] val = `1 Sets val to: "1111" "zzz1" "xxx1" "0001" Question 10 1 / 1 pts A module port requires providing the following information (Select all that are correct) Drive strength Direction Default values Name Hierarchy Name Size Question 11 1 / 1 pts Which are valid module port directions? inout output global bidirectional input Question 12 match the following functions to definitions where: 1 / 1 pts str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 13 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 14 A virtual interface should not be used (Check all that apply) as interface items In an always block in an initial block 1 / 1 pts with clocking as ports as members of unions Question 15 1 / 1 pts An interface can be passed through ports True False Quiz Score: 15 out of 1 Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History Attempt Time Score KEPT Attempt 1 19 minutes 11.5 out of 15 LATEST Attempt 2 19 minutes 11 out of 15 Attempt 1 19 minutes 11.5 out of 15 Correct answers are hidden. Score for this attempt: 11 out of 15 Submitted Oct 2 at 10:39pm This attempt took 19 minutes. Question 1 1 / 1 pts A structure can be passed through a port (T/F) True False Question 2 what is an 'extern module'? A module from another design. No relation to this design. A module with a unique name space reference to 'C' code A module compiled separatly 1 / 1 pts Incorrect Question 3 0 / 1 pts In old verilog, what could be passed through a module port? (Check all that apply) logic Not old verilog, but system verilog reg real time int Not old verilog, but system verilog integer short Not old verilog, but system verilog Question 4 1 / 1 pts How can the top level scope be specified? /home $root /top $home Question 5 Module declaration names can be reused in different files compiled together (T/F) 1 / 1 pts True False Question 6 1 / 1 pts timeprecision must be a power of 20 units True False Question 7 1 / 1 pts What syntax correctly declares a package? package ; name : fred; endpackage package fred { }; package fred; endpackage fred package { }; Question 8 What is the scope resolution operator (Shown in single quotes)? '::' '->' '*>' '.' 1 / 1 pts Incorrect Question 9 0 / 1 pts write a literal to initialize a twenty element array of a structure containing two elements a and b with 4 given to a and -1 given to b (no spaces in answer) '{20{4,-1}} Incorrect Question 10 0 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... end True False Incorrect Question 11 0 / 1 pts Modules connect to other modules using ports Question 12 String variables are: (Mark all correct An ordered collection of characters 1 / 1 pts Automatically converted to 'integer' as needed Dynamic Characters is of type shortint indexable Question 13 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? 1 X 0 Z Question 14 1 / 1 pts A module can be instantiated in an interface True False Question 15 What do interface modport declarations provide? limits interface signals and thier directions Modifies bus sizes in real time provides the ability to modify a port during simulation 1 / 1 pts models a port for bus connections Quiz Score: 11 out of 15 Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 9.5 out of 15 Correct answers are hidden. Score for this attempt: 9.5 out of 15 Submitted Oct 2 at 2:01pm This attempt took 20 minutes. Question 1 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '.' '*>' '->' '::' Question 2 1 / 1 pts A structure can be passed through a port (T/F) True False Question 3 1 / 1 pts what does .* do on a module port? Allows for a variable number of port maps matches anything placed on a port maps variables with the same name as the port indicates this is an unconnected output Question 4 What syntax correctly declares a package? package fred { }; package fred; endpackage package ; name : fred; endpackage fred package { }; 1 / 1 pts Question 5 1 / 1 pts 'ref' is a valid port direction (T/F) True False Incorrect Question 6 0 / 1 pts A package may contain an initial block (T/F) True False Question 7 How can the top level scope be specified? /top $root /home $home 1 / 1 pts Incorrect Question 8 0 / 1 pts A package may contain a module (T/F) True False Incorrect Question 9 0 / 1 pts `x is a valid literal True False Question 10 1 / 1 pts How many bits are in a Verilog integer 64 Spec allows this, but synthesis makes 32... Partial Question 11 0.5 / 1 pts Which are valid module port directions? output inout bidirectional input global Incorrect Question 12 0 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other Incorrect Question 13 What are the values of bits in an integer? z w 1 x 0 / 1 pts s 0 u Question 14 1 / 1 pts A net declared in an interface bundle is of default direction inout in out ref Question 15 1 / 1 pts Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); interface #(AWIDTH = 11, DWIDTH = 9) sjsu_bus(input logic clk); interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) [input logic clk]; interface sjsu_bus PARAM(AWIDTH = 11, DWIDTH = 9) (input logic clk); Quiz Score: 9.5 out of 1 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 13 out of 15 Correct answers are hidden. Score for this attempt: 13 out of 15 Submitted Oct 2 at 10:03am This attempt took 20 minutes. Question 1 1 / 1 pts what is an 'extern module'? A module compiled separatly A module with a unique name space A module from another design. No relation to this design. reference to 'C' code Question 2 1 / 1 pts A package may contain a module (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 3 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 4 1 / 1 pts what does .* do on a module port? indicates this is an unconnected output maps variables with the same name as the port matches anything placed on a port Allows for a variable number of port maps Question 5 1 / 1 pts What can be passed through a module port in system verilog? reg, logic, int, integer, time https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN variables, functions, tasks Any data type reg, integer, time Question 6 1 / 1 pts What syntax correctly declares a package? fred package { }; package ; name : fred; endpackage package fred { }; package fred; endpackage Question 7 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 8 1 / 1 pts timeprecision must be a power of 20 units https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 9 1 / 1 pts int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: A compile error Use of an array literal nested class initialization Integer concatenation Incorrect Question 10 0 / 1 pts 'reg' is used to create Flip-flops Latches All of the above Registers None of the above Logic https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 11 1 / 1 pts What will be the value of XX after executing the following code? reg[38:0] XX; XX=0; repeat(3) repeat(3) XX=XX+2; 18 Question 12 1 / 1 pts String variables are: (Mark all correct An ordered collection of characters indexable Automatically converted to 'integer' as needed Characters is of type shortint Dynamic Question 13 1 / 1 pts Which function converts a string to an integer https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/6 10/2/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN atoi() Incorrect Question 14 0 / 1 pts 'modport' names are optional must be master or slave, or m or s start with the character '$' Can be any interface symbol not used otherwise Question 15 1 / 1 pts Tasks cannot be placed in an interface True False Quiz Score: 13 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/6 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Time Limit 20 Minutes Points 20 Questions 20 Allowed Attempts 2 Available until Sep 24 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 14.17 out of 20 Correct answers are hidden. Score for this attempt: 14.17 out of 20 Submitted Sep 24 at 5:24pm This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts Write the opcode for arithmetic shift left sal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/12 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 0 / 1 pts What has higher precedence than '^' == && | * Incorrect Question 3 0 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN It is a syntax error It blocks assignments to vi1 Any reads of Valid will flag an error It defines invalid linked to 57 Question 4 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 3/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Which operator takes the nand of the bits in a vector? !& &~ /& ~& Question 6 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 7 1 / 1 pts Which operator provides concatenation? <-> {} + [] Question 8 1 / 1 pts Which operator provides string concatenation? {} [] + <- https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 / 1 pts Question 9 What identifies an assignment pattern [] () {} '{} Partial 0.17 / 1 pts Question 10 Arrange the following operators precedence order (1 is highest) () 1 ^ 6 ** 2 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN * 3 + 4 << 5 Question 11 1 / 1 pts What is the difference between === and == ? How X and Z are handled two and 4 valued compares Ascii sorting order No difference Question 12 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 7/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN What is the XOR operator? ~ ^ @ % Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a An error c b https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 14 1 / 1 pts What follows the 'with' keyword on a stream The keyword 'out' An error message A data type A range statement Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN bind + function float qqxi(int, float); bind + qqxi(int, float) operator+ float(int,float); float + (int,float) Question 16 1 / 1 pts You can overload the '+' operator for two floating point real values True False Question 17 1 / 1 pts An interface can contain a task definition True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 10/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Question 18 1 / 1 pts When can a hierarchical reference be made to interface elements Never When passed in an module port list before object binding always Question 19 1 / 1 pts Which operators work with a chandle variable? !=, !== ==, === https://sjsu.instructure.com/courses/1326719/quizzes/1323009 11/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN +, *, /, % <, >, <=, >= Incorrect Question 20 0 / 1 pts Associative arrays can be passed to a task as a dynamic array. True False Quiz Score: 14.17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 12/12 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM Quiz Ch-10-11 Due 24 Sep at 22:00 Points 20 Available until 24 Sep at 22:05 Questions 20 Time limit 20 Minutes Allowed attempts 2 Take the quiz again Attempt history LATEST Attempt Time Score Attempt 1 10 minutes 18.67 out of 20 ! Correct answers are hidden. Score for this attempt: 18.67 out of 20 Submitted 24 Sep at 17:55 This attempt took 10 minutes. Question 1 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} '{y,y,y.y,y,y} '{6y} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 9/24/19, 5)56 PM 1 / 1 pts Write the operator to raise one value to a power. ** Question 3 1 / 1 pts Which operator provides string concatenation? [] {} <+ Question 4 1 / 1 pts real values can be anded together True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 9/24/19, 5)56 PM 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Is illegal Assigns the target the value of the target and the right side expression Question 6 1 / 1 pts What identifies an assignment pattern [] {} '{} () Question 7 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM two and 4 valued compares Ascii sorting order No difference How X and Z are handled Question 8 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error It defines invalid linked to 57 Any reads of Valid will flag an error It blocks assignments to vi1 Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 16'sh4321 16'sh1234 32'h11223344 32'h1234 Question 10 1 / 1 pts what are the two uses of the & operator bitwise and logical and bitwise and logical and reduction and Question 11 1 / 1 pts Which operator provides concatenation? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM <-> {} [] + Question 12 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b0 NULL logical 1'b1 Nothing, it is a void item Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates c b An error a Partial Question 14 0.67 / 1 pts Which operators can be overloaded for a structure? Bit selection operators assignment operators relational operators Bit scaling operators arithmetic operators Question 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "D" "C" "B" "A" "DCBA" stream "A" "B" "C" "D" "ABCD" Question 16 1 / 1 pts for (a==b)?c:d c and d must be of the same type True False Question 17 1 / 1 pts Tasks cannot be placed in an interface True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 18 9/24/19, 5)56 PM 1 / 1 pts When can an interface parameter be passed? At each interface reference in the code Only in an always block In the module parameter list Only in an initial block At interface instantiation Question 19 1 / 1 pts Which types are signed? shortint bit integer logic byte reg https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int Incorrect 0 / 1 pts Question 20 Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete() Quiz score: 18.67 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 18 out of 20 ! Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 24 at 6:05pm This attempt took 12 minutes. Question 1 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Any reads of Valid will flag an error It defines invalid linked to 57 It blocks assignments to vi1 Question 2 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed True False Question 3 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Assigns the target the value of the target and the right side expression Is illegal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 9/24/19, 6)07 PM 1 / 1 pts Which operator takes the nand of the bits in a vector? !& &~ ~& /& Question 5 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 6 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{6y} Question 7 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| Question 8 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Which operator provides concatenation? + {} <-> [] 1 / 1 pts Question 10 What is the difference between === and == ? How X and Z are handled two and 4 valued compares No difference Ascii sorting order 1 / 1 pts Question 11 Arrange the following operators precedence order (1 is highest) () https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM ^ 2 ** 3 * 4 + 5 << 6 Question 12 1 / 1 pts Which operator provides string concatenation? + <{} [] Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM What follows the 'with' keyword on a stream An error message A range statement The keyword 'out' A data type Incorrect Question 14 0 / 1 pts Which operator indicates a data element exists in an array? contains inside search find Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? float + (int,float) bind + function float qqxi(int, float); operator+ float(int,float); bind + qqxi(int, float) Question 16 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error c b a Question 17 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM An interface can be passed through ports True False Question 18 1 / 1 pts Why is the clock typically placed in the modport definition? To create a reverse clock binding To disable the interface clocking block So the clock can be referenced in the block placing a clock on a modport is not allowed Question 19 1 / 1 pts String concatenation is performed by which operators? { } (curly braces) , (comma) + (plus sign) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM . (period) Question 20 1 / 1 pts Which code is correct to initialize an associative array: string sjsu[int] = '{4:"student"}; {"student"<-4}; '{4->"student"}; {"student'[4]} Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 13.33 out of 20 Correct answers are hidden. Score for this attempt: 13.33 out of 20 Submitted Sep 24 at 5:41pm This attempt took 12 minutes. Question 1 1 / 1 pts Which comparison operator can result in an 'X' == =X= ==? === Question 2 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN two and 4 valued compares No difference How X and Z are handled Ascii sorting order Question 3 1 / 1 pts What has higher precedence than '^' * == && | Question 4 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? NULL https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Nothing, it is a void item logical 1'b0 logical 1'b1 Incorrect Question 5 0 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i] = a[i] +2; Question 6 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Partial Question 7 0.33 / 1 pts Arrange the following operators precedence order (1 is highest) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN () 1 ^ 3 ** 4 * 5 + 2 << 6 Question 8 1 / 1 pts real values can be anded together True False Question 9 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 10 0 / 1 pts Write the opcode for XNOR 101 Question 11 1 / 1 pts Which operator takes the nand of the bits in a vector? /& !& ~& &~ Question 12 1 / 1 pts '{2{'{3{y}}}} is the same as: '{y,y,y.y,y,y} '{6y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts for (a==b)?c:d c and d must be of the same type True False Incorrect Question 14 0 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "ABCD" stream "A" "B" "C" "D" stream "D" "C" "B" "A" "DCBA" Question 15 1 / 1 pts the '?' operator can use pattern matching True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 16 0 / 1 pts Which operator indicates a data element exists in an array? contains search inside find Question 17 1 / 1 pts An interface can be passed through ports True False Question 18 1 / 1 pts What does the interface keyword mean in a module port list? Prohibits the use of a modport Use the interface type following the keyword https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN The interface will be created within the module The interface will be passed later Question 19 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Incorrect Question 20 0 / 1 pts for the associative array declared: integer z; string axx[integer]; write a verilog statement (No extra spaces) that will set the variable z to the number of entries in the associative array: z=axx.size(); Quiz Score: 13.33 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 17.5 out of 20 Correct answers are hidden. Score for this attempt: 17.5 out of 20 Submitted Sep 24 at 5:07pm This attempt took 20 minutes. Question 1 1 / 1 pts What is the XOR operator? ~ @ % ^ Question 2 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 1/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 16'sh4321 32'h1234 16'sh1234 32'h11223344 Question 3 1 / 1 pts Which operator provides string concatenation? <+ {} [] Question 4 1 / 1 pts what are the two uses of the & operator reduction and logical and https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN logical and bitwise and bitwise and 1 / 1 pts Question 5 What is the difference between === and == ? two and 4 valued compares Ascii sorting order How X and Z are handled No difference Partial 0.17 / 1 pts Question 6 Arrange the following operators precedence order (1 is highest) () ^ ** * 1 6 2 3 + https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 4 << 5 1 / 1 pts Question 7 Match the following operators to the desired function = Assignment += Add to left hand side <<= /= Shift left hand side left divide left hand side Question 8 1 / 1 pts Which comparison operator can result in an 'X' ==? =X= == === https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 9 1 / 1 pts Which is the binary OR operator? or // || | + Question 10 1 / 1 pts What has higher precedence than '^' == * | && Question 11 1 / 1 pts typedef union tagged { void Invalid; https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? Nothing, it is a void item logical 1'b1 logical 1'b0 NULL Question 12 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 13 1 / 1 pts What follows the 'with' keyword on a stream The keyword 'out' A range statement A data type https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN An error message 1 / 1 pts Question 14 You can overload the '+' operator for two floating point real values True False Question 15 1 / 1 pts the '?' operator can use pattern matching True False Partial Question 16 0.33 / 1 pts Which operators can be overloaded for a structure? Bit scaling operators Bit selection operators arithmetic operators relational operators https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN assignment operators Question 17 1 / 1 pts What do interface modport declarations provide? Modifies bus sizes in real time models a port for bus connections limits interface signals and thier directions provides the ability to modify a port during simulation Question 18 1 / 1 pts How many interface objects can a module contain? 2 Any number 1 4 Incorrect Question 19 0 / 1 pts Which function compares two strings independent of upper/lower case? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN str.icompare(s) Question 20 1 / 1 pts for an associative array declared: int bby[integer]; Indicate which are true for the index can be any integral expression Indices larger than integer are truncated to 32 bits A 4-state index containing X or Z is assumed zero Indices are signed. Indices smaller than integer are sign extended to 32 bits Quiz Score: 17.5 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 7 minutes 20 out of 20 ! Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 24 at 6:24pm This attempt took 7 minutes. Question 1 1 / 1 pts What is the XOR operator? ~ ^ @ % https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM 1 / 1 pts Question 2 Write the opcode for XNOR ~^ 1 / 1 pts Question 3 Arrange the following operators precedence order (1 is highest) () 1 ^ 2 ** 3 * 4 + 5 << 6 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 9/24/19, 6)33 PM 1 / 1 pts What is the difference between === and == ? How X and Z are handled No difference two and 4 valued compares Ascii sorting order Question 5 1 / 1 pts Which is the binary OR operator? // or + | || Question 6 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 3 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM Which operator provides concatenation? [] + <-> {} Question 7 1 / 1 pts What has higher precedence than '^' * == && | Question 8 1 / 1 pts Which operator takes the nand of the bits in a vector? ~& https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 4 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM !& /& &~ Question 9 1 / 1 pts Write the opcode for arithmetic shift left <<< Question 10 1 / 1 pts real values can be anded together True False Question 11 1 / 1 pts Match the following operators to the desired function = https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 5 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM Assignment += Add to left hand side <<= Shift left hand side left /= divide left hand side Question 12 1 / 1 pts What identifies an assignment pattern {} '{} [] () Question 13 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 6 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM stream "D" "C" "B" "A" stream "A" "B" "C" "D" "DCBA" "ABCD" Question 14 1 / 1 pts What follows the 'with' keyword on a stream An error message The keyword 'out' A range statement A data type Question 15 1 / 1 pts the '?' operator can use pattern matching True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 7 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 16 9/24/19, 6)33 PM 1 / 1 pts You can overload the '+' operator for two floating point real values True False Question 17 1 / 1 pts A modport may contain a clocking declaration True False Question 18 1 / 1 pts When can a hierarchical reference be made to interface elements When passed in an module port list before object binding Never always https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 8 of 9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)33 PM 1 / 1 pts Question 19 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 20 1 / 1 pts Which function used with 'push_front' would create a FIFO pop_back() Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 9 9/24/2019 Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 6 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 24 at 6:32pm This attempt took 6 minutes. Question 1 1 / 1 pts What identifies an assignment pattern {} () '{} [] Question 2 1 / 1 pts Which operator provides string concatenation? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN + [] {} <- Question 3 1 / 1 pts Write the opcode for XNOR ~^ Question 4 1 / 1 pts What is the difference between === and == ? No difference Ascii sorting order two and 4 valued compares How X and Z are handled Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 2/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? Any reads of Valid will flag an error It defines invalid linked to 57 It blocks assignments to vi1 It is a syntax error Question 6 1 / 1 pts Write the opcode for arithmetic shift left <<< Question 7 1 / 1 pts Which operator takes the nand of the bits in a vector? /& !& https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN &~ ~& Question 8 1 / 1 pts '{2{'{3{y}}}} is the same as: '{6y} '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} Question 9 1 / 1 pts what are the two uses of the & operator bitwise and reduction and logical and bitwise and logical and Question 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 4/9 9/24/2019 typedef union tagged { Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b1 Nothing, it is a void item logical 1'b0 NULL Question 11 1 / 1 pts Which is the binary OR operator? // | + || or Question 12 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 5/9 9/24/2019 a[i]+=2; Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN is the same as... (No spaces in answer) a[i]=a[i]+2; Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates c b a An error Question 14 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "A" "B" "C" "D" "ABCD" https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN "DCBA" stream "D" "C" "B" "A" Question 15 1 / 1 pts Which operators can be overloaded for a structure? arithmetic operators assignment operators Bit selection operators Bit scaling operators relational operators Question 16 1 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? bind + qqxi(int, float) float + (int,float) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN bind + function float qqxi(int, float); operator+ float(int,float); Question 17 1 / 1 pts Tasks cannot be placed in an interface True False Question 18 1 / 1 pts How many interface objects can a module contain? 1 4 2 Any number Question 19 1 / 1 pts To convert a string to lower case, use the function tolower() https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/9 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 20 1 / 1 pts for an associative array declared: int bby[integer]; Indicate which are true for the index Indices are signed. Indices smaller than integer are sign extended to 32 bits A 4-state index containing X or Z is assumed zero can be any integral expression Indices larger than integer are truncated to 32 bits Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/9 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ '()*ÿ,-./0.// 123ÿÿ23ÿ4ÿ54# ÿ56789:ÿ24 ÿ;23:9768:ÿ24 <=>7?>@?3ÿ7 8Aÿÿ23ÿ4ÿ544B# ÿC7D3ÿF7D79ÿ24ÿG87 ÿ<??6H3Iÿ<993DJ9:ÿ2 C>d3ÿ9e3ÿ;27fÿ<g>78 KLLMNOLÿQRSLTUV <993DJ9 F<CZWC <993DJ9ÿ[ÿ C7D3 Bÿ#87 WX6Y3 50ÿ"7ÿ"\ÿ24 ]ÿ"!!ÿ4 ^!ÿ4!ÿ8__ "!ÿ\"!ÿ8ÿ4#ÿ[`ÿ"7ÿ"\ÿ24 7a#8_ÿÿ23ÿ4ÿ%53# b 8ÿ4#ÿ""cÿBÿ#87 [ÿhÿ[ÿJ9: ;23:9768ÿ[ !!4 iÿÿ\"AA"^8iÿ"!4"!ÿ!_ ÿ"!_!ÿj5ÿ8ÿ8i k lm ÿ n o ÿ p qq ÿ r q ÿ s t ÿ u vv ÿ 1178!77!"#1"7!15$2%501&789915$2$440 510 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ' (ÿ*ÿ(ÿ+,- ./0-,123ÿ4 5 6ÿ87 888ÿ6 ÿ689 # ÿ6! ÿÿ:;<ÿ (ÿ*ÿ(ÿ+,- ./0-,123ÿ= 5!8ÿÿ"!6"!ÿ"ÿ!68ÿ" ÿ>6?7ÿ"ÿ6ÿ"@! AA (ÿ*ÿ(ÿ+,- ./0-,123ÿB C6ÿÿ8"??"@89ÿ"!6"!ÿ"ÿÿ78!7ÿ87 8" D ÿ EFFGHIJKIL MD ÿ ENNÿLPÿQKRLÿSTINÿFGNK 1178!77!"#1"7!15$2%501&789915$2$440 210 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ''( ÿ )*+,-ÿ/0,-ÿ*123ÿ4+30ÿ/0,- 5( ÿ 3+6+30ÿ/0,-ÿ*123ÿ4+30 <=>;:?@AÿB 7ÿ5ÿ7ÿ9:; CDEÿ7 8" ÿFGGDÿH ÿÿÿI"8DÿIFJ8DK ÿÿÿ8ÿLFJ8DK MÿLK LÿI85NÿI82K I85ÿOÿFGGDÿLFJ8DÿP2$Q$3RK I82ÿOÿFGGDÿIFJ8DK S Fÿ8ÿF8G Dÿ"ÿI82IFJ8DT ÿÿ"8GNÿ8ÿ8ÿFÿI"8Dÿ8#ÿ <=>;:?@AÿU 7ÿ5ÿ7ÿ9:; S Fÿ8ÿÿD8EE! ÿVW ÿOOOÿF DÿOOÿT 1178!77!"#1"7!15$2%501&789915$2$440 $10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ'"(ÿ)ÿ* +ÿ,ÿ*!ÿ* +-+ÿ 45632789ÿ: .ÿ0ÿ.ÿ123 ; 8ÿ"!*"!ÿ*<ÿÿ* +ÿ"=ÿÿ>8ÿ8ÿ*ÿ?"!@ ÿÿABÿ 45632789ÿC .ÿ0ÿ.ÿ123 (!8ÿÿ"!*"!ÿ"ÿ!="!#ÿ*ÿ7 *!Dÿ"!ÿE!+78" ÿ"!F GH 45632789ÿI .ÿ0ÿ.ÿ123 ;!8ÿÿ""+ÿ="!ÿ*!8#8ÿ8=ÿ-= JJJ 45632789ÿ.K 1178!77!"#1"7!15$2%501&789915$2$440 .ÿ0ÿ.ÿ123 310 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( )ÿ*"ÿÿ+,ÿ"!)"!ÿ*"ÿÿ8. ÿÿ)!.ÿÿ/)07ÿ"1ÿÿ)!.ÿ) *ÿÿ!8. ÿ8*ÿ2!8" ÿ 9:;87<=>ÿ33 3ÿ5ÿ3ÿ678 ( 8ÿ"#)!8" ÿ"!)"!ÿ) ÿ!70ÿ8ÿ) ÿ?@? ÿÿ,,ÿ 9:;87<=>ÿ3A 3ÿ5ÿ3ÿ678 B*1ÿ7 8" ÿ)..*ÿC ÿÿ/"8*ÿ/)08*D ÿÿ8ÿE)08*D FÿED Eÿ/85Gÿ/82D /85ÿ,ÿ)..*ÿE)08*ÿH2$I$3JD /82ÿ,ÿ)..*ÿ/)08*D K )ÿ8ÿÿ7!"ÿ"1ÿÿ)8. # ÿ"ÿ/82- 1178!77!"#1"7!15$2%501&789915$2$440 '10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ 'ÿ!()ÿ"*ÿ+(,8)ÿ-8,,ÿ*,(.ÿ( ÿ!!"!ÿ 5674389:ÿ/; /ÿ1ÿ/ÿ234 *"!ÿ<(==>?@) ÿ( )ÿ)ÿ#7ÿ>ÿ"*ÿÿ(#ÿ' ÿÿ(,ÿ 5674389:ÿ/A /ÿ1ÿ/ÿ234 B"7ÿ( ÿ"C!,"()ÿÿDEDÿ"!("!ÿ*"!ÿ-"ÿ*,"(8.ÿ"8ÿ!(,ÿC(,7 ÿÿ(,ÿ 5674389:ÿ/F /ÿ1ÿ/ÿ234 "!ÿÿ),(!(8" ')*ÿ!7ÿG ÿÿÿ>8ÿ8. H ÿÿÿ>8ÿI$4JÿK" H ÿÿÿ>8ÿI544Jÿ#( 8(H Lÿ*,"(H 1178!77!"#1"7!15$2%501&789915$2$440 %10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ' (ÿ)"7*+ÿ!(ÿ( ÿ",!*"(++ÿ-ÿ"!("!ÿ."!ÿ( ÿ8ÿ( +ÿ.*"(/ ÿÿ08+ÿ-ÿ.7 8" ÿ.*"(ÿ&&18283ÿ.*"(45ÿ <=>;:?@Aÿ6B 6ÿ8ÿ6ÿ9:; ' (ÿ."**")ÿÿC)8CÿDE)"!+ÿ" ÿ(ÿ!(# ÿÿÿ!( Fÿ(# ÿ IAJ@KK>J: <=>;:?@Aÿ6H Gÿ8ÿ6ÿ9:; )!8ÿÿ"+ÿ"ÿ8( 8(ÿ(ÿ,(!8(0*ÿC(Cÿ"ÿÿ8!.(ÿC7L8!.(Cÿ8ÿ( #"+7*ÿ2"ÿ1!(ÿ(3ÿ"ÿ(!(#!3ÿ"ÿ(+ÿ,(*74 MNMOPQRSTUVWXTÿZW[\ <=>;:?@Aÿ6] 1178!77!"#1"7!15$2%501&789915$2$440 6ÿ8ÿ6ÿ9:; 10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( )ÿ8ÿÿ*"+ÿ)8,**)ÿ*,-ÿ8ÿÿ#"-"!ÿ-.888" / ÿÿ"ÿÿ*"+ÿ, ÿ0ÿ!.! -ÿ8ÿÿ0*"+ÿ 78965:;<ÿ1= 1ÿ3ÿ1ÿ456 ( ,ÿ>,*7ÿ, "ÿ0ÿ,8? -ÿ"ÿ,ÿ!8?/ ÿÿ4ÿ 78965:;<ÿ@A 1ÿ3ÿ1ÿ456 (!8ÿÿ"-ÿ"ÿ-*ÿ,**ÿ,"8,8>ÿ,!!,)ÿ*# ÿ.!"# !8?ÿ0))BC8?!DE F"ÿB!,ÿ,G HIIJKLMNMOMPQR 1178!77!"#1"7!15$2%501&789915$2$440 '10 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ 6789ÿ"!ÿ'(ÿ"7ÿ")ÿ24 1178!77!"#1"7!15$2%501&789915$2$440 010 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 18 out of 20 ! Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 24 at 6:05pm This attempt took 12 minutes. Question 1 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Any reads of Valid will flag an error It defines invalid linked to 57 It blocks assignments to vi1 Question 2 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed True False Question 3 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Assigns the target the value of the target and the right side expression Is illegal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 9/24/19, 6)07 PM 1 / 1 pts Which operator takes the nand of the bits in a vector? !& &~ ~& /& Question 5 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 6 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{6y} Question 7 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| Question 8 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM Which operator provides concatenation? + {} <-> [] 1 / 1 pts Question 10 What is the difference between === and == ? How X and Z are handled two and 4 valued compares No difference Ascii sorting order 1 / 1 pts Question 11 Arrange the following operators precedence order (1 is highest) () https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM ^ 2 ** 3 * 4 + 5 << 6 Question 12 1 / 1 pts Which operator provides string concatenation? + <{} [] Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM What follows the 'with' keyword on a stream An error message A range statement The keyword 'out' A data type Incorrect Question 14 0 / 1 pts Which operator indicates a data element exists in an array? contains inside search find Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? float + (int,float) bind + function float qqxi(int, float); operator+ float(int,float); bind + qqxi(int, float) Question 16 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error c b a Question 17 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM An interface can be passed through ports True False Question 18 1 / 1 pts Why is the clock typically placed in the modport definition? To create a reverse clock binding To disable the interface clocking block So the clock can be referenced in the block placing a clock on a modport is not allowed Question 19 1 / 1 pts String concatenation is performed by which operators? { } (curly braces) , (comma) + (plus sign) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 6)07 PM . (period) Question 20 1 / 1 pts Which code is correct to initialize an associative array: string sjsu[int] = '{4:"student"}; {"student"<-4}; '{4->"student"}; {"student'[4]} Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM Quiz Ch-10-11 Due 24 Sep at 22:00 Points 20 Available until 24 Sep at 22:05 Questions 20 Time limit 20 Minutes Allowed attempts 2 Take the quiz again Attempt history LATEST Attempt Time Score Attempt 1 10 minutes 18.67 out of 20 ! Correct answers are hidden. Score for this attempt: 18.67 out of 20 Submitted 24 Sep at 17:55 This attempt took 10 minutes. Question 1 1 / 1 pts '{2{'{3{y}}}} is the same as: '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} '{y,y,y.y,y,y} '{6y} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 1 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 9/24/19, 5)56 PM 1 / 1 pts Write the operator to raise one value to a power. ** Question 3 1 / 1 pts Which operator provides string concatenation? [] {} <+ Question 4 1 / 1 pts real values can be anded together True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 2 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 9/24/19, 5)56 PM 1 / 1 pts What does the &= operator do? Requires the left and right side be equal Continues a set of equals == (and equals) Is illegal Assigns the target the value of the target and the right side expression Question 6 1 / 1 pts What identifies an assignment pattern [] {} '{} () Question 7 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 3 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM two and 4 valued compares Ascii sorting order No difference How X and Z are handled Question 8 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? It is a syntax error It defines invalid linked to 57 Any reads of Valid will flag an error It blocks assignments to vi1 Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 4 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 16'sh4321 16'sh1234 32'h11223344 32'h1234 Question 10 1 / 1 pts what are the two uses of the & operator bitwise and logical and bitwise and logical and reduction and Question 11 1 / 1 pts Which operator provides concatenation? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 5 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM <-> {} [] + Question 12 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b0 NULL logical 1'b1 Nothing, it is a void item Question 13 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 6 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates c b An error a Partial Question 14 0.67 / 1 pts Which operators can be overloaded for a structure? Bit selection operators assignment operators relational operators Bit scaling operators arithmetic operators Question 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts Page 7 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "D" "C" "B" "A" "DCBA" stream "A" "B" "C" "D" "ABCD" Question 16 1 / 1 pts for (a==b)?c:d c and d must be of the same type True False Question 17 1 / 1 pts Tasks cannot be placed in an interface True False https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 8 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 18 9/24/19, 5)56 PM 1 / 1 pts When can an interface parameter be passed? At each interface reference in the code Only in an always block In the module parameter list Only in an initial block At interface instantiation Question 19 1 / 1 pts Which types are signed? shortint bit integer logic byte reg https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 9 of 10 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9/24/19, 5)56 PM int Incorrect 0 / 1 pts Question 20 Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete() Quiz score: 18.67 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Page 10 of 10 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 13.33 out of 20 Correct answers are hidden. Score for this attempt: 13.33 out of 20 Submitted Sep 24 at 5:41pm This attempt took 12 minutes. Question 1 1 / 1 pts Which comparison operator can result in an 'X' == =X= ==? === Question 2 1 / 1 pts What is the difference between === and == ? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN two and 4 valued compares No difference How X and Z are handled Ascii sorting order Question 3 1 / 1 pts What has higher precedence than '^' * == && | Question 4 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? NULL https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Nothing, it is a void item logical 1'b0 logical 1'b1 Incorrect Question 5 0 / 1 pts a[i]+=2; is the same as... (No spaces in answer) a[i] = a[i] +2; Question 6 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Partial Question 7 0.33 / 1 pts Arrange the following operators precedence order (1 is highest) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN () 1 ^ 3 ** 4 * 5 + 2 << 6 Question 8 1 / 1 pts real values can be anded together True False Question 9 1 / 1 pts write the operator to perform a unary nor (reduction nor) ~| https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 10 0 / 1 pts Write the opcode for XNOR 101 Question 11 1 / 1 pts Which operator takes the nand of the bits in a vector? /& !& ~& &~ Question 12 1 / 1 pts '{2{'{3{y}}}} is the same as: '{y,y,y.y,y,y} '{6y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/8 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts for (a==b)?c:d c and d must be of the same type True False Incorrect Question 14 0 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "ABCD" stream "A" "B" "C" "D" stream "D" "C" "B" "A" "DCBA" Question 15 1 / 1 pts the '?' operator can use pattern matching True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 16 0 / 1 pts Which operator indicates a data element exists in an array? contains search inside find Question 17 1 / 1 pts An interface can be passed through ports True False Question 18 1 / 1 pts What does the interface keyword mean in a module port list? Prohibits the use of a modport Use the interface type following the keyword https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/8 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN The interface will be created within the module The interface will be passed later Question 19 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Incorrect Question 20 0 / 1 pts for the associative array declared: integer z; string axx[integer]; write a verilog statement (No extra spaces) that will set the variable z to the number of entries in the associative array: z=axx.size(); Quiz Score: 13.33 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/8 012312450 ()*+ÿ-./01/00 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ 234ÿÿ23ÿ5ÿ54# ÿ6789:;ÿ24 ÿ<34;:879;ÿ24 =>?8@?A@4ÿ7 8Bÿÿ23ÿ5ÿ544C# ÿD8E4ÿG8E8:ÿ24ÿH87 ÿ=@@7I4Jÿ=::4EK:;ÿ2 D?d4ÿ:e4ÿ<38fÿ=g?89 LMMNOPMÿRSTMUVW G=D[XD =::4EK: =::4EK:ÿ\ÿ D8E4 24ÿ#87 XY7Z4 55ÿ"7ÿ"]ÿ24 ^ÿ"!!ÿ5 _!ÿ5!ÿ8̀` "!ÿ]"!ÿ8ÿ5#ÿ\\ÿ"7ÿ"]ÿ24 7a#8`ÿÿ23ÿ5ÿ234# b 8ÿ5#ÿ""cÿ24ÿ#87 <34;:879ÿ\ \ÿhÿ\ÿK:; i 8ÿ"!5"!ÿ!"j8̀ÿ" 5 58" k ÿÿlmÿ p9Y7ZZ4Y: <34;:879ÿo nÿhÿ\ÿK:; i!8ÿÿ""`ÿ]"!ÿqr stUV 1178!77!"#1"7!15$2%501&789915$2$440 51' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ./0-,123ÿ4 (ÿ*ÿ(ÿ+,- 567ÿ8"98ÿ:54;ÿ:$4;ÿ<= "!8>?@<>@5A2BAÿ<>@$A3BBC !78ÿ8 ÿÿ5%>52$3ÿ ./0-,123ÿD (ÿ*ÿ(ÿ+,- ÿ"!!E8ÿ" F!6ÿ"ÿE ÿ89!ÿG5ÿ5ÿ"!8" ÿE88ÿGÿ89 6 ÿÿ<!7ÿ J3K2LL0K, ./0-,123ÿI Hÿ*ÿ(ÿ+,- M!8ÿÿ"!E"!ÿ"ÿ!E8ÿ" ÿFE87ÿ"ÿEÿ"N! O J3K2LL0K, ./0-,123ÿP 1178!77!"#1"7!15$2%501&789915$2$440 Hÿ*ÿ(ÿ+,21' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( 8ÿ8ÿÿ)8*!+ÿ,ÿ"!*"!- ÿÿ..ÿ 5674389:ÿ; /ÿ1ÿ/ÿ234 ( 8ÿ"!*"!ÿ!"<8=ÿ!8>ÿ" * *8" - ÿÿ?@ÿ 5674389:ÿA /ÿ1ÿ/ÿ234 ( *ÿ*ÿ8> !ÿ!= ÿ* ÿBCB ÿÿDÿ 1178!77!"#1"7!15$2%501&789915$2$440 $1' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ./0-,123ÿ4 (ÿ*ÿ(ÿ+,- !56ÿ7567ÿ5 ÿ8ÿ5 99ÿ":! ÿÿ56ÿ <3=2>>0=, ./0-,123ÿ(; ;ÿ*ÿ(ÿ+,- ?9@ÿ7 8" ÿ5::9ÿA ÿÿ7"89ÿ75689B ÿÿ8ÿC5689B DÿCB Cÿ785Eÿ782B 785ÿFÿ5::9ÿC5689ÿG2$H$3IB 782ÿFÿ5::9ÿ75689B J 5ÿ8ÿÿ7!"ÿ"@ÿÿ58: # ÿ"ÿ782K ÿÿÿ9@8ÿ875689ÿ68L9ÿ"ÿMÿ ./0-,123ÿ(( (ÿ*ÿ(ÿ+,- N 5ÿ8ÿÿ98@@! ÿ8J ÿFFFÿ5 9ÿFFÿK 1178!77!"#1"7!15$2%501&789915$2$440 31' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ)"*ÿ+ÿ, -ÿ.ÿ,!ÿ, -/-ÿ 678549:;ÿ0< 0ÿ2ÿ0ÿ345 =->ÿ7 8" ÿ,??-ÿ@ ÿÿÿA"8-ÿA,/8-B ÿÿÿ8ÿC,/8-B DÿCB CÿA85EÿA82B A85ÿFÿ,??-ÿC,/8-ÿG2$H$3IB A82ÿFÿ,??-ÿA,/8-B J ,ÿ8ÿ,8? -ÿ"ÿA82A,/8-K ÿÿ"8?Eÿ8ÿ8ÿ,ÿA"8-ÿ8#ÿ 678549:;ÿ0L 0ÿ2ÿ0ÿ345 ÿMKMÿ"!,"!ÿ, ÿ7ÿ,!ÿ#,8? ÿÿN!7ÿ Q;R:SS8R4 678549:;ÿ0P 1178!77!"#1"7!15$2%501&789915$2$440 Oÿ2ÿ0ÿ345 '1( 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ 8ÿÿ(ÿ)ÿ**+ÿ*,*+ÿ* *+ÿ**ÿ-. )ÿ//ÿ)-0 !1 ÿÿ* ,*ÿ 89:76;<=ÿ2> 2ÿ4ÿ2ÿ567 ? 1ÿ@"AA"BÿÿCB8CÿDEB"!Fÿ" ÿ1ÿ!1# ÿÿÿ!1 0ÿ1# ÿ I=J<KK:J6 89:76;<=ÿ2H Gÿ4ÿ2ÿ567 L"7ÿ1 ÿ"M!A"1FÿÿCNCÿ"!1"!ÿ@"!ÿB"ÿ@A"180ÿ"8ÿ!1AÿM1A7 ÿÿO!7ÿ Q=R=7S:K:T 89:76;<=ÿ2P 1178!77!"#1"7!15$2%501&789915$2$440 Gÿ4ÿ2ÿ567 %1' 012312450 =;>:??8>4 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ( 8ÿ)!)#!89*ÿ8!+)ÿ,)-ÿ8ÿ"!!. 678549:;ÿ2< /ÿ1ÿ2ÿ345 ( ÿ) ÿ)ÿ8!)!8)@ÿ!+! ÿAÿ#)*ÿ"ÿ8!+)ÿ@# ÿÿ( ÿ)*ÿ8ÿ) ÿ#"*7@ÿ"!ÿ@8ÿ 678549:;ÿ2B 2ÿ1ÿ2ÿ345 C"ÿ" D!ÿ)ÿ!8Eÿ"ÿ@"F!ÿ)Gÿ7ÿÿ+7 8" HIJIKLMNO =;>:??8>4 678549:;ÿP/ /ÿ1ÿ2ÿ345 ( 8ÿ8*-ÿ)ÿÿ@)ÿ@# ÿ8ÿ)ÿ&77. 1178!77!"#1"7!15$2%501&789915$2$440 1' 012312450 6789ÿ 5455ÿ50ÿ22ÿÿ45ÿÿ ÿ ÿÿ5ÿ 6789ÿ"!ÿ((ÿ"7ÿ")ÿ24 1178!77!"#1"7!15$2%501&789915$2$440 '1' 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Time Limit 20 Minutes Points 20 Questions 20 Allowed Attempts 2 Available until Sep 24 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 14.17 out of 20 Correct answers are hidden. Score for this attempt: 14.17 out of 20 Submitted Sep 24 at 5:24pm This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts Write the opcode for arithmetic shift left sal https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/12 9/24/2019 Incorrect Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 0 / 1 pts What has higher precedence than '^' == && | * Incorrect Question 3 0 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; what is the purpose of the assignment to vi2? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN It is a syntax error It blocks assignments to vi1 Any reads of Valid will flag an error It defines invalid linked to 57 Question 4 1 / 1 pts What does the &= operator do? Assigns the target the value of the target and the right side expression Is illegal Continues a set of equals == (and equals) Requires the left and right side be equal Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 3/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Which operator takes the nand of the bits in a vector? !& &~ /& ~& Question 6 1 / 1 pts what are the two uses of the & operator logical and bitwise and reduction and logical and bitwise and https://sjsu.instructure.com/courses/1326719/quizzes/1323009 4/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 7 1 / 1 pts Which operator provides concatenation? <-> {} + [] Question 8 1 / 1 pts Which operator provides string concatenation? {} [] + <- https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 / 1 pts Question 9 What identifies an assignment pattern [] () {} '{} Partial 0.17 / 1 pts Question 10 Arrange the following operators precedence order (1 is highest) () 1 ^ 6 ** 2 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 6/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN * 3 + 4 << 5 Question 11 1 / 1 pts What is the difference between === and == ? How X and Z are handled two and 4 valued compares Ascii sorting order No difference Question 12 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 7/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN What is the XOR operator? ~ ^ @ % Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a An error c b https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 14 1 / 1 pts What follows the 'with' keyword on a stream The keyword 'out' An error message A data type A range statement Incorrect Question 15 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN bind + function float qqxi(int, float); bind + qqxi(int, float) operator+ float(int,float); float + (int,float) Question 16 1 / 1 pts You can overload the '+' operator for two floating point real values True False Question 17 1 / 1 pts An interface can contain a task definition True https://sjsu.instructure.com/courses/1326719/quizzes/1323009 10/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN False Question 18 1 / 1 pts When can a hierarchical reference be made to interface elements Never When passed in an module port list before object binding always Question 19 1 / 1 pts Which operators work with a chandle variable? !=, !== ==, === https://sjsu.instructure.com/courses/1326719/quizzes/1323009 11/12 9/24/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN +, *, /, % <, >, <=, >= Incorrect Question 20 0 / 1 pts Associative arrays can be passed to a task as a dynamic array. True False Quiz Score: 14.17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 12/12 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Ch-10-11 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.17 out of 20 Correct answers are hidden. Score for this attempt: 15.17 out of 20 Submitted Sep 21 at 3:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What identifies an assignment pattern () {} '{} [] Partial Question 2 0.5 / 1 pts Arrange the following operators precedence order (1 is highest) https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN () ^ ** * 1 6 3 4 + << 2 5 1 / 1 pts Question 3 Write the opcode for arithmetic shift left <<< 1 / 1 pts Question 4 Match the following operators to the desired function = Assignment += https://sjsu.instructure.com/courses/1326719/quizzes/1323009 2/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Add to left hand side <<= /= Incorrect Shift left hand side left divide left hand side Question 5 0 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? logical 1'b0 NULL logical 1'b1 Nothing, it is a void item Question 6 1 / 1 pts '{2{'{3{y}}}} is the same as: https://sjsu.instructure.com/courses/1326719/quizzes/1323009 3/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN '{6y} '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} '{'{y,y,y},'{y,y,y}} Question 7 1 / 1 pts Which operator provides string concatenation? {} <+ [] Incorrect Question 8 0 / 1 pts real values can be anded together True False Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts 4/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN a[i]+=2; is the same as... (No spaces in answer) a[i]=a[i]+2; Question 10 1 / 1 pts what are the two uses of the & operator bitwise and reduction and logical and logical and bitwise and Question 11 1 / 1 pts Which operator takes the nand of the bits in a vector? /& ~& &~ !& https://sjsu.instructure.com/courses/1326719/quizzes/1323009 5/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Question 12 1 / 1 pts What is the XOR operator? @ ~ ^ % Incorrect Question 13 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? bind + qqxi(int, float) float + (int,float) operator+ float(int,float); bind + function float qqxi(int, float); Partial Question 14 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 0.67 / 1 pts 6/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN Which operators can be overloaded for a structure? Bit scaling operators assignment operators Bit selection operators arithmetic operators relational operators Incorrect Question 15 0 / 1 pts You can overload the '+' operator for two floating point real values True False Question 16 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "ABCD" stream "A" "B" "C" "D" "DCBA" https://sjsu.instructure.com/courses/1326719/quizzes/1323009 7/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN stream "D" "C" "B" "A" Question 17 1 / 1 pts Virtual interfaces can be declared as a class property True False Question 18 1 / 1 pts Tasks can be included in an interface definition True False Question 19 1 / 1 pts Which type is zero extended? reg shortreal integer int https://sjsu.instructure.com/courses/1326719/quizzes/1323009 8/9 9/21/2019 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 / 1 pts Question 20 Associative arrays can be passed to a task as a dynamic array. True False Quiz Score: 15.17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/9 Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 of 10 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again LATEST Attempt Time Score Attempt 1 19 minutes 16.5 out of 20 Correct answers are hidden. Score for this attempt: 16.5 out of 20 Submitted Sep 23 at 8:10pm This attempt took 19 minutes. Question 1 1 / 1 pts Which operator takes the nand of the bits in a vector? ~& Question 2 1 / 1 pts What does the &= operator do? 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 2 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Assigns the target the value of the target and the right side expression Question 3 1 / 1 pts write the operator to perform a unary nor (reduction nor) Question 4 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? Nothing, it is a void item 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 3 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Question 5 1 / 1 pts Write the opcode for arithmetic shift left Question 6 1 / 1 pts Which is the binary OR operator? | Partial Question 7 0.17 / 1 pts Arrange the following operators precedence order (1 is highest) () 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 4 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 ^ ** * + << Question 8 1 / 1 pts Which operator provides concatenation? {} Question 9 1 / 1 pts real values can be anded together False 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 5 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Question 10 1 / 1 pts What identifies an assignment pattern '{} Question 11 1 / 1 pts What is the difference between === and == ? How X and Z are handled Question 12 1 / 1 pts Match the following operators to the desired function 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 6 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 = += <<= /= Question 13 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error Incorrect Question 14 0 / 1 pts the '?' operator can use pattern matching 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 7 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 False Partial Question 15 0.33 / 1 pts Which operators can be overloaded for a structure? assignment operators Bit selection operators arithmetic operators Question 16 1 / 1 pts for (a==b)?c:d c and d must be of the same type False Question 17 1 / 1 pts Interfaces cannot have parameters 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 8 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 False Incorrect Question 18 0 / 1 pts When can an interface parameter be passed? At each interface reference in the code Question 19 1 / 1 pts String variables are dynamic (T/F) True Question 20 1 / 1 pts Which of the following are valid indexes for: 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 int qqx[string]; "26" "Hi" Quiz Score: 16.5 out of 20 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 10 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/23/2019, 8:20 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 1 of 10 Due Sep 24 at 10pm Points 20 Available until Sep 24 at 10:05pm https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Attempt Time Score KEPT Attempt 2 9 minutes 20 out of 20 LATEST Attempt 2 9 minutes 20 out of 20 Attempt 1 19 minutes 16.5 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 23 at 8:55pm This attempt took 9 minutes. Question 1 1 / 1 pts What is the XOR operator? ^ Question 2 1 / 1 pts What identifies an assignment pattern 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 2 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 '{} Question 3 1 / 1 pts Which operator takes the nand of the bits in a vector? ~& Question 4 1 / 1 pts 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 3 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 '{2{'{3{y}}}} is the same as: '{'{y,y,y},'{y,y,y}} Question 5 1 / 1 pts Which comparison operator can result in an 'X' == Question 6 1 / 1 pts what are the two uses of the & operator reduction and 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 4 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 bitwise and Question 7 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? Nothing, it is a void item Question 8 1 / 1 pts Which operator provides concatenation? 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 5 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 {} Question 9 1 / 1 pts Write the opcode for arithmetic shift left Question 10 1 / 1 pts What has higher precedence than '^' * Question 11 1 / 1 pts What does the &= operator do? 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 6 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Assigns the target the value of the target and the right side expression Question 12 1 / 1 pts What is the difference between === and == ? How X and Z are handled Question 13 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... stream "A" "B" "C" "D" 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 7 of 10 Question 14 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates An error Question 15 1 / 1 pts What follows the 'with' keyword on a stream A range statement Question 16 1 / 1 pts For the declaration 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 8 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? bind + function float qqxi(int, float); Question 17 1 / 1 pts An interface block may not contain a property statement False Question 18 1 / 1 pts Tasks can be included in an interface definition True 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 9 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 Question 19 1 / 1 pts match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string Question 20 1 / 1 pts Which function used with 'push_front' would create a FIFO Quiz Score: 20 out of 20 9/23/2019, 8:55 PM Quiz Ch-10-11: FA19: EE-272 Sec 01 - SOC DESIGN 10 of 10 https://sjsu.instructure.com/courses/1326719/quizzes/1323009 9/23/2019, 8:55 PM 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Allowed Attempts 2 Points 10 Questions 10 Available until Sep 18 at 10:05pm Time Limit 15 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 9 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 7pm This attempt took 9 minutes. Question 1 1 / 1 pts What function is called before a randomization pre_randomize pre_randomization before_randomize pre_rand https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts The randomize function return a value of a random variable indicating which statement was changed indicating if the constraints were met referencing the target class Question 3 1 / 1 pts The inside operator provides access to randomization inside another class gives a value contained in a set of values Prevents the random variable from outside access Restricts the randization to calls from within the class Question 4 1 / 1 pts A random variable has a _______ distribution? https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Gaussian Uniform Bell Curve Poisson Normal Question 5 1 / 1 pts A constraint restricts where code may be placed in a module limits run time to the constraint parameter limits the random values applied to variables limits the clock cycle time Question 6 1 / 1 pts What function is called after a randomization? post_randomize() https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 1 / 1 pts unpacked structures can be declared randc? True False Question 8 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations provide a string answer for printing/logging determine some variables before others Question 9 1 / 1 pts A dist item cannot appear in another expression True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 1 / 1 pts The the randomize() with construct indicates which object is to be randomized applies a construct to a randomization applys constrints to a randomization constructs a randomization for later use Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 6 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 5:19pm This attempt took 6 minutes. Question 1 1 / 1 pts A dist item cannot appear in another expression True False Question 2 1 / 1 pts The randomize function return a value referencing the target class indicating which statement was changed https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM indicating if the constraints were met of a random variable Question 3 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations determine some variables before others provide a string answer for printing/logging Question 4 1 / 1 pts The randomize function creates random values for rand variables Changes the order of class execution Executes a random statement Must be called from a always @(*) block Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1 / 1 pts 2/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM What function is called before a randomization pre_rand pre_randomization before_randomize pre_randomize Question 6 1 / 1 pts Which function disables a random variable? disable rand_mode variable_off variable_disable Question 7 1 / 1 pts An 'if' is not allowed in a constraint True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts What function is called after a randomization? post_randomize() Question 9 1 / 1 pts An object can be declared randc? True False Question 10 1 / 1 pts Which function disables a constraint? disable constraint_disable constraint_off constraint_mode Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Allowed Attempts 2 Points 10 Questions 10 Available until Sep 18 at 10:05pm Time Limit 15 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 9 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 7pm This attempt took 9 minutes. Question 1 1 / 1 pts What function is called before a randomization pre_randomize pre_randomization before_randomize pre_rand https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts The randomize function return a value of a random variable indicating which statement was changed indicating if the constraints were met referencing the target class Question 3 1 / 1 pts The inside operator provides access to randomization inside another class gives a value contained in a set of values Prevents the random variable from outside access Restricts the randization to calls from within the class Question 4 1 / 1 pts A random variable has a _______ distribution? https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Gaussian Uniform Bell Curve Poisson Normal Question 5 1 / 1 pts A constraint restricts where code may be placed in a module limits run time to the constraint parameter limits the random values applied to variables limits the clock cycle time Question 6 1 / 1 pts What function is called after a randomization? post_randomize() https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 1 / 1 pts unpacked structures can be declared randc? True False Question 8 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations provide a string answer for printing/logging determine some variables before others Question 9 1 / 1 pts A dist item cannot appear in another expression True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 1 / 1 pts The the randomize() with construct indicates which object is to be randomized applies a construct to a randomization applys constrints to a randomization constructs a randomization for later use Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 5 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 6:51pm This attempt took 5 minutes. Question 1 1 / 1 pts Which function disables a constraint? constraint_off constraint_mode constraint_disable disable Question 2 1 / 1 pts The 'solve' keyword is used to https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM determine some variables before others contain complex calculations provide a string answer for printing/logging Determine if the test meets the requirements Question 3 1 / 1 pts A constraint limits the clock cycle time limits run time to the constraint parameter limits the random values applied to variables restricts where code may be placed in a module Question 4 1 / 1 pts A random variable has a _______ distribution? Poisson Normal Uniform Gaussian Bell Curve https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts unpacked structures can be declared randc? True False Question 6 1 / 1 pts The randomize function creates random values for rand variables Executes a random statement Must be called from a always @(*) block Changes the order of class execution Question 7 1 / 1 pts Which function disables a random variable? disable variable_off variable_disable rand_mode https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts The randomize function return a value indicating which statement was changed indicating if the constraints were met referencing the target class of a random variable Question 9 1 / 1 pts The inside operator gives a value contained in a set of values Prevents the random variable from outside access provides access to randomization inside another class Restricts the randization to calls from within the class Question 10 1 / 1 pts randc is guaranteed to work on variable up to how many bits? 8 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM 9/18/19, 5(35 PM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Questions 10 Available until Sep 18 at 10:05pm Allowed Attempts 2 Time Limit 15 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 10 out of 10 ! Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 5:35pm This attempt took 12 minutes. Question 1 1 / 1 pts A constraint limits run time to the constraint parameter limits the clock cycle time restricts where code may be placed in a module limits the random values applied to variables https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 1 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 9/18/19, 5(35 PM 1 / 1 pts randc is guaranteed to work on variable up to how many bits? 8 Question 3 1 / 1 pts An object can be declared rand? True False Question 4 1 / 1 pts What function is called after a randomization? post_randomize() Question 5 1 / 1 pts What function is called before a randomization https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 2 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM 9/18/19, 5(35 PM pre_randomize before_randomize pre_randomization pre_rand Question 6 1 / 1 pts The randomize function return a value indicating if the constraints were met indicating which statement was changed of a random variable referencing the target class Question 7 1 / 1 pts An unpacked structure can be declared rand? True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 3 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 9/18/19, 5(35 PM 1 / 1 pts unpacked structures can be declared randc? True False Question 9 1 / 1 pts The randomize function Must be called from a always @(*) block creates random values for rand variables Changes the order of class execution Executes a random statement Question 10 1 / 1 pts An object can be declared randc? True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 4 of 5 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM 9/18/19, 5(35 PM Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 Page 5 of 5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 6 minutes 10 out of 10 Correct answers are hidden. Score for this attempt: 10 out of 10 Submitted Sep 18 at 5:19pm This attempt took 6 minutes. Question 1 1 / 1 pts A dist item cannot appear in another expression True False Question 2 1 / 1 pts The randomize function return a value referencing the target class indicating which statement was changed https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM indicating if the constraints were met of a random variable Question 3 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements contain complex calculations determine some variables before others provide a string answer for printing/logging Question 4 1 / 1 pts The randomize function creates random values for rand variables Changes the order of class execution Executes a random statement Must be called from a always @(*) block Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1 / 1 pts 2/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM What function is called before a randomization pre_rand pre_randomization before_randomize pre_randomize Question 6 1 / 1 pts Which function disables a random variable? disable rand_mode variable_off variable_disable Question 7 1 / 1 pts An 'if' is not allowed in a constraint True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts What function is called after a randomization? post_randomize() Question 9 1 / 1 pts An object can be declared randc? True False Question 10 1 / 1 pts Which function disables a constraint? disable constraint_disable constraint_off constraint_mode Quiz Score: 10 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/4 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Points 10 Available until Sep 18 at 10:05pm Questions 10 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 15 minutes 8 out of 10 Correct answers are hidden. Score for this attempt: 8 out of 10 Submitted Sep 18 at 2:55pm This attempt took 15 minutes. Question 1 1 / 1 pts randc is guaranteed to work on variable up to how many bits? 8 Question 2 1 / 1 pts The 'solve' keyword is used to Determine if the test meets the requirements provide a string answer for printing/logging https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM determine some variables before others contain complex calculations Question 3 1 / 1 pts The randomize function Must be called from a always @(*) block Changes the order of class execution creates random values for rand variables Executes a random statement Question 4 1 / 1 pts What function is called after a randomization? post_randomize() Question 5 1 / 1 pts An 'if' is not allowed in a constraint True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 6 1 / 1 pts Which function disables a constraint? constraint_mode constraint_disable disable constraint_off Incorrect Question 7 0 / 1 pts A random variable has a _______ distribution? Gaussian Bell Curve Uniform Poisson Normal Question 8 1 / 1 pts The inside operator gives a value contained in a set of values https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM provides access to randomization inside another class Prevents the random variable from outside access Restricts the randization to calls from within the class Question 9 1 / 1 pts Which function disables a random variable? disable variable_disable rand_mode variable_off Incorrect Question 10 0 / 1 pts The the randomize() with construct constructs a randomization for later use indicates which object is to be randomized applys constrints to a randomization applies a construct to a randomization https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM lrm 164 Quiz Score: 8 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/5 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-18 Due Sep 18 at 10pm Time Limit 15 Minutes Points 10 Questions 10 Allowed Attempts 2 Available until Sep 18 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 14 minutes 8 out of 10 Correct answers are hidden. Score for this attempt: 8 out of 10 Submitted Sep 18 at 3:32pm This attempt took 14 minutes. Question 1 1 / 1 pts rand and randc do the same things True False https://sjsu.instructure.com/courses/1327575/quizzes/1324931 1/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts unpacked structures can be declared randc? True False Incorrect Question 3 0 / 1 pts The the randomize() with construct applys constrints to a randomization applies a construct to a randomization indicates which object is to be randomized constructs a randomization for later use https://sjsu.instructure.com/courses/1327575/quizzes/1324931 2/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM lrm 164 Incorrect Question 4 0 / 1 pts An object can be declared randc? True False Question 5 1 / 1 pts The randomize function creates random values for rand variables Must be called from a always @(*) block Changes the order of class execution Executes a random statement https://sjsu.instructure.com/courses/1327575/quizzes/1324931 3/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 6 1 / 1 pts Which function disables a constraint? constraint_disable disable constraint_mode constraint_off Question 7 1 / 1 pts What function is called before a randomization pre_randomize before_randomize pre_rand https://sjsu.instructure.com/courses/1327575/quizzes/1324931 4/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM pre_randomization Question 8 1 / 1 pts What function is called after a randomization? post_randomize() Question 9 1 / 1 pts A constraint limits the clock cycle time limits run time to the constraint parameter limits the random values applied to variables restricts where code may be placed in a module https://sjsu.instructure.com/courses/1327575/quizzes/1324931 5/6 9/18/2019 Quiz Ch-18: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 1 / 1 pts The randomize function return a value referencing the target class of a random variable indicating which statement was changed indicating if the constraints were met Quiz Score: 8 out of 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324931 6/6 quiz ch-6 results for Krishna Kanth Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted 10 Sep at 18:27 This attempt took 8 minutes. Question 1 1 / 1 pts System verilog extends which verilog 2k type event Question 2 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Question 3 Which types are signed? reg byte int 1 / 1 pts integer bit shortint logic Question 4 1 / 1 pts Which operators work with a chandle variable? !=, !== +, ==, === <, >, <=, >= *, /, % Question 5 Which function puts a byte value c in position i of string str? str.substr(i,i+1,c); str.putbyte(i,c); str.putc(i,c); str.set(i,c); 1 / 1 pts Question 6 1 / 1 pts Which type is zero extended? shortreal int integer reg Question 7 1 / 1 pts Write a statement to make a user defined type 'mything' the same as real typedef real mything; Question 8 Assigning null to an event variable Any pending events are reset Triggers an immediate event Creates an event debugging event. 1 / 1 pts The association between the event object and the event variable is broken. Question 9 1 / 1 pts How is a user defined type created? class typedef mytype type Question 10 1 / 1 pts User-defined type names must be used for complex data types in casting. True False Question 11 After assigning event variable b to event variable a, Variable b no longer owns the synchronization object. 1 / 1 pts An event on b's synchronization object will trigger a's synchronization object. A new synchronization object is created. Both event variables refer to the same synchronization object. Question 12 1 / 1 pts The default type for an enum is: int Question 13 1 / 1 pts A packed structure can be used with the '+' operator (T/F) True False Question 14 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 15 1 / 1 pts A structure can contain unions Basic data types tasks functions modules other structures Question 16 1 / 1 pts To case the real value 2.15 to an int, use the following expression (int)2.15 'int(2.15) int'(2.15) int(2.15) Question 17 a shortreal may be converted to a reg (bit for bit), use what function? 1 / 1 pts $shortrealtobits Question 18 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 19 1 / 1 pts The term unpacked array is used to refer to: dimensions declared before the object name dimensions declared after the object name Any array outside a structure any array declared with the unpacked keyword Question 20 'modport' names must be master or slave, or m or s 1 / 1 pts Can be any interface symbol not used otherwise start with the character '$' are optional Quiz score: 20 out of 20 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM Quiz Ch-8 Due Sep 9 at 10pm Points 15 Available until Sep 9 at 10:30pm Questions 15 Time Limit 35 Minutes Allowed Attempts 3 Attempt History Attempt Time Score KEPT Attempt 3 4 minutes 15 out of 15 LATEST Attempt 3 4 minutes 15 out of 15 Attempt 2 6 minutes 14 out of 15 Attempt 1 13 minutes 14 out of 15 ! Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Sep 9 at 6:24pm This attempt took 4 minutes. Question 1 1 / 1 pts If an extended class has a variable with the same name as the extending class, what happens The extending class wins It is a compile time error The variable cannot be accessed outside the class The extended class wins https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 1 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 9/9/19, 9:26 PM 1 / 1 pts For a class named fred, how would you create an instance f fred f f=fred{}; f=new; f= new fred(); f=instance(fred); Question 3 1 / 1 pts What function is used to check whether the assignment to a subclass is legal $cast $check $can_assign $is_legal Question 4 https://sjsu.instructure.com/courses/1327575/quizzes/1324929 1 / 1 pts Page 2 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM A static method is the same as a static property True False Question 5 1 / 1 pts Which keyword is used to refer to elements in the extended class parent super par child Question 6 1 / 1 pts Which keyword refers to class variables in functions written in the class Foo Foo self this my https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 3 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 9/9/19, 9:26 PM 1 / 1 pts A class’s data are referred to as class properties True False Question 8 1 / 1 pts An object is an instance of a class module function task Question 9 1 / 1 pts What is a static class property https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 4 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM class property with out simulation scheduling Only one for all class instances Property fixed for multiple simulations property that can't be modified Question 10 1 / 1 pts What value indicates no object instance has been created? nil NULL NIL null Question 11 1 / 1 pts The scope resolution operator is '.' '->' '=>' https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 5 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM '::' Question 12 1 / 1 pts A virtual class May only be extended Is a forward declaration for a class to be defined later Must extend another class Runs on a virtual machine Question 13 1 / 1 pts A class property may not be const. True False Question 14 1 / 1 pts Class parameters https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 6 of 7 Quiz Ch-8: FA19: EE-273 Sec 01 - Log Verif UVM 9/9/19, 9:26 PM Can only be used with typedef Can be used on each declaration Can only be used once Can be used in each extending class Question 15 1 / 1 pts What keyword prevents access to a class property from outside the class static hidden local private Quiz Score: 15 out of 15 https://sjsu.instructure.com/courses/1327575/quizzes/1324929 Page 7 of 7 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Attempt History Attempt Time Score KEPT Attempt 2 12 minutes 20 out of 20 LATEST Attempt 2 12 minutes 20 out of 20 Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 10 at 3:15pm This attempt took 12 minutes. Question 1 1 / 1 pts What are the values of bits in an integer? z s 1 x 0 u w https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which type is zero extended? shortreal reg integer int Question 3 1 / 1 pts Which function converts a string to an integer atoi Question 4 1 / 1 pts What value cannot be assigned to a string? "%" percent used for formatting "'" (quote) 0 "" empty string value https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 1 / 1 pts System verilog extends which verilog 2k type event Question 6 1 / 1 pts String variables are dynamic (T/F) True False Question 7 1 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type projects forward in the module the typedef keyword comes before the type name The type will be used in an instantiated module Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Write a statement to make a user defined type 'mything' the same as real typedef real mything; Question 9 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Three variables b0,b1,b2 will be created Variable b will be assigned 5 Variable b will be assigned the third value of 4 Variable b will be assigned 3 Question 10 1 / 1 pts How can the size of an enumeration 'enx' be determined in SV? enx.num() size(enx) enx.len() enx.size() https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 11 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{s0=5,s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum {s0<=5,s1,s2} state; enum {s0(5),s1,s2} state; Question 12 1 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum {s0,s1,s2,s3} logic [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Question 13 1 / 1 pts A bit-stream consists of: real types https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Unpacked arrays, structures, or classes of the above types Any integral, packed, or string type Dynamically sized arrays Question 14 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Question 15 1 / 1 pts A union differs from a structure by: A union is a typedef struct They are the same all union elements share the same storage A struct is lexicaly stronger than a union Question 16 1 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates https://sjsu.instructure.com/courses/1326719/quizzes/1323010 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN An error a left justified result a sign extended result a right justified result Question 17 1 / 1 pts A tagged union Prevents reading from a different member than the last written provides debug trace information creates an event variable trigger adds a .tag() function to each variable Question 18 1 / 1 pts System Verilog data types are categorized as either singular or aggregate Question 19 1 / 1 pts A part-select of a packed array shall be signed. https://sjsu.instructure.com/courses/1326719/quizzes/1323010 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 20 1 / 1 pts A virtual interface should not be used (Check all that apply) as ports with clocking In an always block as members of unions as interface items in an initial block Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 15.75 out of 20 Submitted Sep 10 at 1:12am This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? reg logic integer shortint int byte bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function converts a string to an integer assuming the data is hex atohex Question 3 1 / 1 pts which function converts a string to a real value atoreal() Question 4 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.putc(i,c); str.set(i,c); str.substr(i,i+1,c); Question 5 1 / 1 pts Which operators work with a chandle variable? https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN *, /, % <, >, <=, >= ==, === !=, !== +, - Question 6 1 / 1 pts What are the values of bits in an integer? 1 z w x s u 0 Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 8 0 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type will be used in an instantiated module the typedef keyword comes before the type name The type projects forward in the module Question 9 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 10 1 / 1 pts Enum can be used with typedef (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Question 11 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? An error is generated c becomes the value 'yellow' c becomes the value 'green' c is converted to an integer of 1 Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() enx.lastvalue() last(enx) enx[-1] https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 Incorrect quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? $shrtrealtobits Incorrect Question 14 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a sign extended result An error a right justified result Incorrect Question 15 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? new[] Question 16 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A bit-stream consists of: Dynamically sized arrays Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN When the array is used with a smaller number of dimensions, these have to be: packed the slowest varying ones the fasest varing ones unpacked Question 20 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 15.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ &'()ÿ+,-. /01ÿÿ43ÿ2ÿ43# ÿ345678ÿ23 ÿ901875468ÿ23 :;<5=<>=1ÿ6 7?ÿÿ43ÿ2ÿ433@# ÿA5B1ÿD5B57ÿ23ÿE76 ÿ:==4F1Gÿ:771BH78ÿ2 A<f1ÿ7g1ÿ905hÿ:i<56 IJJKLMJÿOPQJRST D:AXUA :771BH7 :771BH7ÿYÿ A5B1 UV4W1 23ÿ#76 4Z@ÿ"6ÿ"[ÿ23 \ÿ"!!ÿ2 ]!ÿ2!ÿ7^^ "!ÿ["!ÿ7ÿ2#ÿY_àbÿ"6ÿ"[ÿ23 6c#7^ÿÿ0ÿ2ÿ4343# d 7ÿ2#ÿ""eÿ23ÿ#76 YÿjÿYÿH78 90187546ÿY #2 ÿÿ["??"]7kÿ[6 7" ÿ"ÿ^[777" ] ! ÿ !ÿ7ÿÿ!7kÿ"ÿ!7lÿÿ^22 7ÿ7ÿ2 ÿ7k!ÿl2!72c?mÿ2 ^ÿ!ÿ7ÿ2ÿ!2?ÿl2!72c? n46;1W78ÿ5671i1Wÿ74ÿg1o 87W56i ÿ QJSpqKrJRstPuv n46;1W78ÿW1<=ÿ74ÿ87W56i ÿ QJSpSKswJRstSuv 90187546ÿx 1167!66!"#1"6!14$2 4015678814$2$343 YÿjÿYÿH78 41% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & 7 ÿ'6 7" ÿ" (!ÿ)ÿ!7*ÿ"ÿ) ÿ7*!ÿ)6#7*ÿÿ+))ÿ7ÿ, -./012 A?B>CC<B8 :;<98=>?ÿ@ 3ÿ5ÿ6ÿ789 & 7 ÿDÿ7ÿ8!"ÿ, ++E ÿÿ"!!)Fÿ :;<98=>?ÿG 6ÿ5ÿ6ÿ789 & )ÿ)!ÿÿHÿ()F6ÿ'"!ÿ)ÿ!*E ÿÿ,ÿ ÿÿ3ÿ ÿÿ4ÿ ÿÿ8ÿ 1167!66!"#1"6!14$2 4015678814$2$343 21% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ2 &ÿ(ÿ&ÿ)*+ 3 4ÿ4!ÿÿ5466ÿ"7ÿ87ÿ7ÿ4 ÿ79!: ÿÿ4ÿ ÿÿ3ÿ ?@A*/@B ,-.+*/01ÿ> ;<=2ÿ(ÿ&ÿ)*+ 3 7 ÿCÿ4!ÿ79 D: ÿÿ79!ÿ ÿÿ7ÿ ÿÿ"!7ÿ 1167!66!"#1"6!14$2 4015678814$2$343 $1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ -./,+012ÿ3 'ÿ)ÿ'ÿ*+, 4!7ÿ5ÿ5# ÿ"ÿ#56ÿ5ÿ6!ÿ7877ÿ9ÿ:#97;:ÿÿ5#ÿ5ÿ!5< =>?@A@BÿD@EFÿG>=HIJKL -./,+012ÿM 'ÿ)ÿ'ÿ*+, N"Oÿ5 ÿÿ78ÿ"8ÿ5 ÿ 6#!57" ÿ: P:ÿQÿ7!#77ÿ7ÿRS ÿÿ P6#TUÿ -./,+012ÿV 'ÿ)ÿ'ÿ*+, 978ÿ5 ÿQÿ<57ÿ7ÿ5 ÿ7!85ÿTW1U ÿÿW!6ÿ -./,+012ÿ'X 'ÿ)ÿ'ÿ*+, 4 7 ÿ5# ÿO7<<ÿ!5ÿ5 ÿ 6#ÿ8"!ÿ3Y4Y2ÿO7ÿ3ÿ57; 7ÿ5ÿZ5<6ÿ"8 [S 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ 6#'3(%)4)2*ÿ+,ÿ 34521678ÿ-- -ÿ/ÿ-ÿ012 "!ÿÿ"9 :9;ÿ 6#ÿ'ÿ!9(3)ÿ<! )ÿ=>6)ÿ:>>"?)ÿ? 7)ÿ=>+@ÿ*ÿ">"!, ">"!ÿ, (=>6, ÿ(ÿ4, A +ÿ+ B ÿÿ ÿ!!"!ÿ7ÿ< !+9ÿ E8F7GG5F1 34521678ÿ-D Cÿ/ÿ-ÿ012 H ÿ9;+6>ÿ:ÿ;"!ÿ+ ÿ 6#ÿ7 IJKLMLN 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3"ÿ457ÿ!66!ÿ67!7789ÿ7ÿ#7 :ÿ"4ÿ9"77" ;ÿ7ÿ!6ÿ7ÿ"##" 9: 64ÿ<7ÿ7 =>?@A@B ,-.+*/01ÿ&C &ÿ(ÿ&ÿ)*+ ÿ6 7D4ÿ!66!ÿ7 ÿ8ÿ7E 4F ÿÿ79ÿ ,-.+*/01ÿ&G &ÿ(ÿ&ÿ)*+ :#ÿH!79"Eÿ477ÿ:ÿ7!ÿ7E"!784ÿ7ÿ7!ÿ7E697!ÿ"! IJJK@JI=@ ,-.+*/01ÿ&L &ÿ(ÿ&ÿ)*+ 5ÿ8"ÿÿ"6!ÿ7 4ÿ4ÿ7!ÿ57M478ÿ:ÿ"5ÿ4755! ÿ78ÿ7 4ÿ7! :ÿ7ÿ6 7D4;ÿ ÿ7ÿ7ÿE !7 1167!66!"#1"6!14$2 4015678814$2$343 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ ÿ!!"!ÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3ÿ4 ÿ5677ÿ77746ÿ7466ÿ5!7" ÿ7ÿ68ÿ97ÿÿ864!47" ÿ"3ÿ4ÿ74!74:6; ÿ77746ÿ47< # ÿ5!7" ÿ977ÿÿ!66!ÿ844ÿ=ÿ466ÿ"7!!78 ÿ5!7" ÿÿ46ÿ @1A0BB.A* ,-.+*/01ÿ&? >ÿ(ÿ&ÿ)*+ C 7 ÿ4!ÿ4<<!<4ÿ844ÿ=D ÿÿ4E8ÿ6 7" ÿ ÿÿ4E8ÿ!66!ÿ ÿÿ4E8ÿ4!!4=ÿ @1A0BB.A* ,-.+*/01ÿ&F 1167!66!"#1"6!14$2 4015678814$2$343 >ÿ(ÿ&ÿ)*+ 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & ÿ'7# 7" ÿ'()!'ÿ)*!ÿÿ"+ÿ)#ÿ)!ÿ!*!!'ÿ"ÿ) ÿÿ,"!ÿ-7'ÿ'7# 7" ÿ <:=9>>7=3 5674389:ÿ;. .ÿ0ÿ1ÿ234 -!7ÿÿ"'ÿ"ÿ7) 7)ÿ)ÿ,)!7)+(ÿ?)?ÿ"ÿÿ7!*)ÿ?6@7!*)?ÿ7ÿ) #"'6(ÿA"ÿB!)ÿ)Cÿ"ÿ)!)#!Cÿ"ÿ)'ÿ,)(6D EFEGHIJKLMNOPLQORS T678ÿ "!ÿ1UVWXÿ"6ÿ"*ÿ23 1167!66!"#1"6!14$2 4015678814$2$343 %1% 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 17.75 out of 20 Correct answers are hidden. Score for this attempt: 17.75 out of 20 Submitted Sep 3 at 11:46pm This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? int integer logic reg byte shortint bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.substr(i,i+1,c); str.putc(i,c); str.set(i,c); Question 3 1 / 1 pts String variables are: (Mark all correct Automatically converted to 'integer' as needed Dynamic indexable Characters is of type shortint An ordered collection of characters Question 4 1 / 1 pts reg is equivalent to which SV logic type? latch https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN logic flipflop shortreg Question 5 1 / 1 pts What are the 4 values for a reg? w z 1 x s u 0 Question 6 1 / 1 pts match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Converts integer to hex string str.hextoa(i); Converts real to string Question 7 str.realtoa(r); 1 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} logic [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{s0=5,s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum {s0(5),s1,s2} state; enum {s0<=5,s1,s2} state; Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 4/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef Question 10 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Variable b will be assigned 5 Variable b will be assigned the third value of 4 Three variables b0,b1,b2 will be created Variable b will be assigned 3 Question 11 1 / 1 pts How is a user defined type created? mytype class https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef type Question 12 1 / 1 pts typedefs can be placed in an interface (T/F) True False Question 13 1 / 1 pts To case the real value 2.15 to an int, use the following expression int'(2.15) 'int(2.15) int(2.15) (int)2.15 Question 14 1 / 1 pts An unpacked structure can be signed? True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 6/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 15 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) integer rv; Question 16 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 17 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Incorrect Question 18 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 0 / 1 pts 7/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN To copy data from an item of one type to an item of another type, use which function? new[] Question 19 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 20 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Quiz Score: 17.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Allowed Attempts 2 Questions 20 Time Limit 20 Minutes Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 18.67 out of 20 ! Correct answers are hidden. Score for this attempt: 18.67 out of 20 Submitted Sep 9 at 12:06am This attempt took 20 minutes. Question 1 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? X Z 0 1 Question 2 1 / 1 pts Which operators work with a chandle variable? <, >, <=, >= !=, !== ==, === +, *, /, % Partial Question 3 0.67 / 1 pts System Verilog adds which data types over Verilog (2k) class shortreal string chandle event realtime Question 4 1 / 1 pts What value cannot be assigned to a string? 0 "%" percent used for formatting "" empty string value "'" (quote) Question 5 1 / 1 pts What are the values of bits in an integer? s 1 0 z u w x Incorrect Question 6 0 / 1 pts Which function compares two strings independent of upper/lower case? icompare(s) Question 7 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum {s0<=5,s1,s2} state; enum {s0(5),s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum{s0=5,s1,s2} state; Question 9 How is a user defined type created? 1 / 1 pts typedef mytype type class Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: enx.name() text(enx) name(enx) enx.text() Question 11 1 / 1 pts User-defined type names must be used for complex data types in casting. True False Question 12 1 / 1 pts User defined types can be hierarchial (T/F) True False Question 13 1 / 1 pts When a string is converted to another type, the item at index 0 is placed: LSB MSB second byte after the length last Question 14 1 / 1 pts The void type is used in a tagged union to: turn the union 'off' turn the union 'on' create a member that will be the last written and holds no useable value Creates debug tracing of assignments Question 15 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 16 1 / 1 pts A tagged union of a,b is declared by: tagged { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged union { int a; int b; } tu; Question 17 A tagged union 1 / 1 pts provides debug trace information adds a .tag() function to each variable Prevents reading from a different member than the last written creates an event variable trigger Question 18 1 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) signed'(rv) Question 19 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same unpacked array dimensions All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the unpacked dimensions shall concatenate the packed dimensions Question 20 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Quiz Score: 18.67 out of 20 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 15 out of 20 Correct answers are hidden. Score for this attempt: 15 out of 20 Submitted Sep 3 at 6:23pm This attempt took 18 minutes. Incorrect Question 1 0 / 1 pts System verilog extends which verilog 2k type string Question 2 1 / 1 pts What are the values of bits in an integer? w x https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 0 s 1 z u Incorrect Question 3 0 / 1 pts Which type is zero extended? reg shortreal int integer Question 4 1 / 1 pts To convert a string to lower case, use the function tolower() Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM What is the purpose of 'void'? Indicates a function returns no value Terminates evaluation of an 'if' condition Indicates no assignment should be performed Assigned to create 'X' values to a variable Question 6 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? Z 0 1 X Incorrect Question 7 0 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM c is converted to an integer of 1 An error is generated c becomes the value 'green' c becomes the value 'yellow' Question 8 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() last(enx) enx[-1] enx.lastvalue() Question 9 1 / 1 pts The default type for an enum is: int Question 10 1 / 1 pts How is a user defined type created? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM class type mytype typedef Question 11 1 / 1 pts How can the size of an enumeration 'enx' be determined in SV? enx.size() enx.len() enx.num() size(enx) Question 12 1 / 1 pts User defined types can be hierarchial (T/F) True False Incorrect Question 13 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 0 / 1 pts 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM a shortreal may be converted to a reg (bit for bit), use what function? realtobits() Question 14 1 / 1 pts A packed structure can be used with the '+' operator (T/F) True False Question 15 1 / 1 pts A bit-stream consists of: Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Dynamically sized arrays Incorrect Question 16 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM a right justified result An error a sign extended result a left justified result Question 17 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Question 18 1 / 1 pts A structure can contain other structures modules unions Basic data types tasks functions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 1 / 1 pts Question 19 In an array with multiple dimensions, which varies most rapidly largest number Right most smallest number left most Question 20 1 / 1 pts A virtual interface should not be used (Check all that apply) as members of unions with clocking in an initial block as ports In an always block as interface items Quiz Score: 15 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ &'()ÿ+,-. /01ÿÿ43ÿ2ÿ43# ÿ345678ÿ23 ÿ901875468ÿ23 :;<5=<>=1ÿ6 7?ÿÿ43ÿ2ÿ433@# ÿA5B1ÿD5B57ÿ23ÿE76 ÿ:==4F1Gÿ:771BH78ÿ2 A<f1ÿ7g1ÿ905hÿ:i<56 IJJKLMJÿOPQJRST D:AXUA :771BH7 :771BH7ÿYÿ A5B1 UV4W1 23ÿ#76 4Z@ÿ"6ÿ"[ÿ23 \ÿ"!!ÿ2 ]!ÿ2!ÿ7^^ "!ÿ["!ÿ7ÿ2#ÿY_àbÿ"6ÿ"[ÿ23 6c#7^ÿÿ0ÿ2ÿ4343# d 7ÿ2#ÿ""eÿ23ÿ#76 YÿjÿYÿH78 90187546ÿY #2 ÿÿ["??"]7kÿ[6 7" ÿ"ÿ^[777" ] ! ÿ !ÿ7ÿÿ!7kÿ"ÿ!7lÿÿ^22 7ÿ7ÿ2 ÿ7k!ÿl2!72c?mÿ2 ^ÿ!ÿ7ÿ2ÿ!2?ÿl2!72c? n46;1W78ÿ5671i1Wÿ74ÿg1o 87W56i ÿ QJSpqKrJRstPuv n46;1W78ÿW1<=ÿ74ÿ87W56i ÿ QJSpSKswJRstSuv 90187546ÿx 1167!66!"#1"6!14$2 4015678814$2$343 YÿjÿYÿH78 41% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & 7 ÿ'6 7" ÿ" (!ÿ)ÿ!7*ÿ"ÿ) ÿ7*!ÿ)6#7*ÿÿ+))ÿ7ÿ, -./012 A?B>CC<B8 :;<98=>?ÿ@ 3ÿ5ÿ6ÿ789 & 7 ÿDÿ7ÿ8!"ÿ, ++E ÿÿ"!!)Fÿ :;<98=>?ÿG 6ÿ5ÿ6ÿ789 & )ÿ)!ÿÿHÿ()F6ÿ'"!ÿ)ÿ!*E ÿÿ,ÿ ÿÿ3ÿ ÿÿ4ÿ ÿÿ8ÿ 1167!66!"#1"6!14$2 4015678814$2$343 21% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ2 &ÿ(ÿ&ÿ)*+ 3 4ÿ4!ÿÿ5466ÿ"7ÿ87ÿ7ÿ4 ÿ79!: ÿÿ4ÿ ÿÿ3ÿ ?@A*/@B ,-.+*/01ÿ> ;<=2ÿ(ÿ&ÿ)*+ 3 7 ÿCÿ4!ÿ79 D: ÿÿ79!ÿ ÿÿ7ÿ ÿÿ"!7ÿ 1167!66!"#1"6!14$2 4015678814$2$343 $1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ -./,+012ÿ3 'ÿ)ÿ'ÿ*+, 4!7ÿ5ÿ5# ÿ"ÿ#56ÿ5ÿ6!ÿ7877ÿ9ÿ:#97;:ÿÿ5#ÿ5ÿ!5< =>?@A@BÿD@EFÿG>=HIJKL -./,+012ÿM 'ÿ)ÿ'ÿ*+, N"Oÿ5 ÿÿ78ÿ"8ÿ5 ÿ 6#!57" ÿ: P:ÿQÿ7!#77ÿ7ÿRS ÿÿ P6#TUÿ -./,+012ÿV 'ÿ)ÿ'ÿ*+, 978ÿ5 ÿQÿ<57ÿ7ÿ5 ÿ7!85ÿTW1U ÿÿW!6ÿ -./,+012ÿ'X 'ÿ)ÿ'ÿ*+, 4 7 ÿ5# ÿO7<<ÿ!5ÿ5 ÿ 6#ÿ8"!ÿ3Y4Y2ÿO7ÿ3ÿ57; 7ÿ5ÿZ5<6ÿ"8 [S 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ 6#'3(%)4)2*ÿ+,ÿ 34521678ÿ-- -ÿ/ÿ-ÿ012 "!ÿÿ"9 :9;ÿ 6#ÿ'ÿ!9(3)ÿ<! )ÿ=>6)ÿ:>>"?)ÿ? 7)ÿ=>+@ÿ*ÿ">"!, ">"!ÿ, (=>6, ÿ(ÿ4, A +ÿ+ B ÿÿ ÿ!!"!ÿ7ÿ< !+9ÿ E8F7GG5F1 34521678ÿ-D Cÿ/ÿ-ÿ012 H ÿ9;+6>ÿ:ÿ;"!ÿ+ ÿ 6#ÿ7 IJKLMLN 1167!66!"#1"6!14$2 4015678814$2$343 %1& 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3"ÿ457ÿ!66!ÿ67!7789ÿ7ÿ#7 :ÿ"4ÿ9"77" ;ÿ7ÿ!6ÿ7ÿ"##" 9: 64ÿ<7ÿ7 =>?@A@B ,-.+*/01ÿ&C &ÿ(ÿ&ÿ)*+ ÿ6 7D4ÿ!66!ÿ7 ÿ8ÿ7E 4F ÿÿ79ÿ ,-.+*/01ÿ&G &ÿ(ÿ&ÿ)*+ :#ÿH!79"Eÿ477ÿ:ÿ7!ÿ7E"!784ÿ7ÿ7!ÿ7E697!ÿ"! IJJK@JI=@ ,-.+*/01ÿ&L &ÿ(ÿ&ÿ)*+ 5ÿ8"ÿÿ"6!ÿ7 4ÿ4ÿ7!ÿ57M478ÿ:ÿ"5ÿ4755! ÿ78ÿ7 4ÿ7! :ÿ7ÿ6 7D4;ÿ ÿ7ÿ7ÿE !7 1167!66!"#1"6!14$2 4015678814$2$343 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ ÿÿ ÿ!!"!ÿ ,-.+*/01ÿ&2 &ÿ(ÿ&ÿ)*+ 3ÿ4 ÿ5677ÿ77746ÿ7466ÿ5!7" ÿ7ÿ68ÿ97ÿÿ864!47" ÿ"3ÿ4ÿ74!74:6; ÿ77746ÿ47< # ÿ5!7" ÿ977ÿÿ!66!ÿ844ÿ=ÿ466ÿ"7!!78 ÿ5!7" ÿÿ46ÿ @1A0BB.A* ,-.+*/01ÿ&? >ÿ(ÿ&ÿ)*+ C 7 ÿ4!ÿ4<<!<4ÿ844ÿ=D ÿÿ4E8ÿ6 7" ÿ ÿÿ4E8ÿ!66!ÿ ÿÿ4E8ÿ4!!4=ÿ @1A0BB.A* ,-.+*/01ÿ&F 1167!66!"#1"6!14$2 4015678814$2$343 >ÿ(ÿ&ÿ)*+ 1% 01012340 5678ÿ ÿ40ÿ22ÿÿ34ÿÿÿ & ÿ'7# 7" ÿ'()!'ÿ)*!ÿÿ"+ÿ)#ÿ)!ÿ!*!!'ÿ"ÿ) ÿÿ,"!ÿ-7'ÿ'7# 7" ÿ <:=9>>7=3 5674389:ÿ;. .ÿ0ÿ1ÿ234 -!7ÿÿ"'ÿ"ÿ7) 7)ÿ)ÿ,)!7)+(ÿ?)?ÿ"ÿÿ7!*)ÿ?6@7!*)?ÿ7ÿ) #"'6(ÿA"ÿB!)ÿ)Cÿ"ÿ)!)#!Cÿ"ÿ)'ÿ,)(6D EFEGHIJKLMNOPLQORS T678ÿ "!ÿ1UVWXÿ"6ÿ"*ÿ23 1167!66!"#1"6!14$2 4015678814$2$343 %1% 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 9 out of 20 Correct answers are hidden. Score for this attempt: 9 out of 20 Submitted Sep 4 at 5:50pm This attempt took 20 minutes. Question 1 1 / 1 pts What are the 4 values for a reg? x 1 u 0 w z s https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 2 1 / 1 pts Which function puts a byte value c in position i of string str? str.substr(i,i+1,c); str.set(i,c); str.putbyte(i,c); str.putc(i,c); Question 3 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? Z 1 X 0 Question 4 1 / 1 pts which function converts a string to a real value atoreal() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/4/2019 Incorrect quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 0 / 1 pts which function converts a string to an integer assuming the data is binary atoi() Incorrect Question 6 0 / 1 pts System verilog extends which verilog 2k type class Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True False Incorrect Question 8 0 / 1 pts The default type for an enum is: 0 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 9 1 / 1 pts typedefs can be placed in an interface (T/F) True False Unanswered Question 10 0 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum logic [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} logic [3:0] state; enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Incorrect Question 11 0 / 1 pts A forward typedef means the typedef keyword comes before the type name The type will be defined later in the code, but can be used now The type projects forward in the module https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM The type will be used in an instantiated module Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx[-1] enx.lastvalue() enx.last() last(enx) Question 13 1 / 1 pts An unpacked structure can be signed? True False Incorrect Question 14 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/4/2019 Incorrect quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 15 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? Incorrect Question 16 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? Question 17 1 / 1 pts The void type is used in a tagged union to: Creates debug tracing of assignments turn the union 'off' turn the union 'on' create a member that will be the last written and holds no useable value Incorrect Question 18 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 0 / 1 pts 6/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM When a string is converted to another type, the item at index 0 is placed: LSB MSB second byte after the length last Incorrect Question 19 0 / 1 pts A packed array dimensions declared after the object name dimensions declared before the object name all arrays declared as bit array declared with the packed keyword Unanswered Question 20 0 / 1 pts 'modport' names Can be any interface symbol not used otherwise start with the character '$' are optional https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/4/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM must be master or slave, or m or s Quiz Score: 9 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 18.25 out of 20 Correct answers are hidden. Score for this attempt: 18.25 out of 20 Submitted Sep 4 at 2:22pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? 0 "'" (quote) "" empty string value "%" percent used for formatting Question 2 1 / 1 pts String variables are dynamic (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Incorrect Question 3 0 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z=all(a,b) Question 4 1 / 1 pts What are the 4 values for a reg? s 0 w z u x 1 Question 5 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 2/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Which function puts a byte value c in position i of string str? str.putc(i,c); str.set(i,c); str.putbyte(i,c); str.substr(i,i+1,c); Partial Question 6 0.25 / 1 pts Which types are signed? byte logic bit shortint reg integer int Question 7 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.name() name(enx) enx.text() text(enx) Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{ with s0 as 5,s1,s2} state; enum {s0<=5,s1,s2} state; enum{s0=5,s1,s2} state; enum {s0(5),s1,s2} state; Question 9 1 / 1 pts Enum can be used with typedef (T/F) True False Question 10 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 4/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM After assigning event variable b to event variable a, An event on b's synchronization object will trigger a's synchronization object. A new synchronization object is created. Variable b no longer owns the synchronization object. Both event variables refer to the same synchronization object. Question 11 1 / 1 pts Assigning null to an event variable The association between the event object and the event variable is broken. Any pending events are reset Triggers an immediate event Creates an event debugging event. Question 12 1 / 1 pts typedef is often used with struct because Enums cannot be used in structs without a typedef structures are dynamically typed Structs have no type https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM To prevent redefining the type over and over. Question 13 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 14 1 / 1 pts To case the real value 2.15 to an int, use the following expression (int)2.15 int'(2.15) 'int(2.15) int(2.15) Question 15 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM typedef Question 16 1 / 1 pts An unpacked structure can be signed? True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A packed structure can be used with the '+' operator (T/F) True False Question 19 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts 7/8 04/09/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM If a packed array is declared as signed, then the array viewed as a single vector shall be signed. True False Question 20 1 / 1 pts An interface block may not contain a property statement True False Quiz Score: 18.25 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 15.75 out of 20 Submitted Sep 10 at 1:12am This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? reg logic integer shortint int byte bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function converts a string to an integer assuming the data is hex atohex Question 3 1 / 1 pts which function converts a string to a real value atoreal() Question 4 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.putc(i,c); str.set(i,c); str.substr(i,i+1,c); Question 5 1 / 1 pts Which operators work with a chandle variable? https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN *, /, % <, >, <=, >= ==, === !=, !== +, - Question 6 1 / 1 pts What are the values of bits in an integer? 1 z w x s u 0 Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 8 0 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type will be used in an instantiated module the typedef keyword comes before the type name The type projects forward in the module Question 9 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 10 1 / 1 pts Enum can be used with typedef (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Question 11 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? An error is generated c becomes the value 'yellow' c becomes the value 'green' c is converted to an integer of 1 Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() enx.lastvalue() last(enx) enx[-1] https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 Incorrect quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? $shrtrealtobits Incorrect Question 14 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a sign extended result An error a right justified result Incorrect Question 15 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? new[] Question 16 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A bit-stream consists of: Dynamically sized arrays Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN When the array is used with a smaller number of dimensions, these have to be: packed the slowest varying ones the fasest varing ones unpacked Question 20 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 15.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 15.75 out of 20 Correct answers are hidden. Score for this attempt: 15.75 out of 20 Submitted Sep 10 at 1:12am This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? reg logic integer shortint int byte bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function converts a string to an integer assuming the data is hex atohex Question 3 1 / 1 pts which function converts a string to a real value atoreal() Question 4 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.putc(i,c); str.set(i,c); str.substr(i,i+1,c); Question 5 1 / 1 pts Which operators work with a chandle variable? https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN *, /, % <, >, <=, >= ==, === !=, !== +, - Question 6 1 / 1 pts What are the values of bits in an integer? 1 z w x s u 0 Question 7 1 / 1 pts User-defined type names must be used for complex data types in casting. True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 8 0 / 1 pts A forward typedef means The type will be defined later in the code, but can be used now The type will be used in an instantiated module the typedef keyword comes before the type name The type projects forward in the module Question 9 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 10 1 / 1 pts Enum can be used with typedef (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 4/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Question 11 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? An error is generated c becomes the value 'yellow' c becomes the value 'green' c is converted to an integer of 1 Question 12 1 / 1 pts What will get the value of the last element of an enumeration 'enx'? enx.last() enx.lastvalue() last(enx) enx[-1] https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/10/2019 Incorrect quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 13 0 / 1 pts a shortreal may be converted to a reg (bit for bit), use what function? $shrtrealtobits Incorrect Question 14 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a sign extended result An error a right justified result Incorrect Question 15 0 / 1 pts To copy data from an item of one type to an item of another type, use which function? new[] Question 16 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 6/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A bit-stream consists of: Dynamically sized arrays Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types real types Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 7/8 9/10/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN When the array is used with a smaller number of dimensions, these have to be: packed the slowest varying ones the fasest varing ones unpacked Question 20 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 15.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 16.33 out of 20 ! Correct answers are hidden. Score for this attempt: 16.33 out of 20 Submitted Sep 3 at 2:06pm This attempt took 20 minutes. Partial Question 1 0.33 / 1 pts String variables are: (Mark all correct indexable Characters is of type shortint An ordered collection of characters Dynamic Automatically converted to 'integer' as needed https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 1 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 2 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts What is the purpose of 'void'? Assigned to create 'X' values to a variable Terminates evaluation of an 'if' condition Indicates no assignment should be performed Indicates a function returns no value https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 2 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 4 reg is equivalent to which SV logic type? latch logic shortreg flipflop Incorrect 0 / 1 pts Question 5 which function converts a string to a real value Atoreal(); Question 6 atoreal() 1 / 1 pts String variables are dynamic (T/F) True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 3 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 9/3/19, 2:07 PM 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Question 8 1 / 1 pts Enum can be used with typedef (T/F) True False Question 9 1 / 1 pts typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 4 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 9/3/19, 2:07 PM 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) enx.name() name(enx) enx.text() Question 11 1 / 1 pts How is a user defined type created? mytype type typedef class Question 12 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts Page 5 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM After assigning event variable b to event variable a, Both event variables refer to the same synchronization object. An event on b's synchronization object will trigger a's synchronization object. Variable b no longer owns the synchronization object. A new synchronization object is created. Question 13 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 14 1 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a sign extended result a right justified result An error https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 6 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM a left justified result Incorrect 0 / 1 pts Question 15 A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union tagged { int a; int b; } tu; right answer union { int a; int b; } tagged tu; tagged { int a; int b; } tu; Incorrect 0 / 1 pts Question 16 A union differs from a structure by: They are the same A struct is lexicaly stronger than a union all union elements share the same storage right answer A union is a typedef struct https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 7 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 9/3/19, 2:07 PM 1 / 1 pts The default type of a packed structure is? the same as the first element unsigned signed integer Question 18 1 / 1 pts System Verilog data types are categorized as either singular or aggregate Question 19 1 / 1 pts A packed array can have multiple dimensions True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 8 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 20 9/3/19, 2:07 PM 1 / 1 pts An interface can be passed through ports True False Quiz Score: 16.33 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 9 of 9 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-7 Due Sep 4 at 10pm Time Limit 15 Minutes Points 20 Questions 20 Allowed Attempts 2 Available until Sep 4 at 10:30pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 15 minutes 18 out of 20 Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 2 at 11:41pm This attempt took 15 minutes. Question 1 1 / 1 pts A part-select of a packed array shall be signed. True False Question 2 1 / 1 pts Unpacked arrays can be made of any data type. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 3 1 / 1 pts An integer type with a predefined width n is not a packed array. True False Question 4 1 / 1 pts A packed array can have multiple dimensions True False Question 5 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the packed dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions https://sjsu.instructure.com/courses/1327575/quizzes/1324930 2/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM shall concatenate the unpacked dimensions Incorrect Question 6 0 / 1 pts Multiple packed dimensions can also be defined in stages with typedef . True False Question 7 1 / 1 pts A dynamic array can be assigned to a fixed array True False Question 8 1 / 1 pts How is a dynamic array defined? [%] [] [$] [*] https://sjsu.instructure.com/courses/1327575/quizzes/1324930 3/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 9 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 10 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. True False Question 11 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. True False Question 12 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1 / 1 pts 4/7 9/3/2019 Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM In SystemVerilog the term 'slice'Quiz refers to The code accessing an array splitting an array into two target arrays a selection of one or more contiguous elements of an array a random selection of bits from an array Question 13 1 / 1 pts Associative arrays can be assigned to dynamic arrays True False Question 14 1 / 1 pts Which statement will return a queue of all entries in array IA >5 qi = IA.find( x ) with ( x > 5 ); qi = IA.extract( IA>5 ) qi = IA.find( >5 ); qi = IA.select( >5 ); Question 15 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1 / 1 pts 5/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM A struct may not be an associative array index. True False Question 16 1 / 1 pts What value does the associative array 'exists' function return if the element exists? 1 Question 17 1 / 1 pts Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete; Question 18 1 / 1 pts A queue can be used as a LIFO True https://sjsu.instructure.com/courses/1327575/quizzes/1324930 6/7 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM False Question 19 1 / 1 pts what is the string code for a vertical tab? \v Incorrect Question 20 0 / 1 pts write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; integer c; } (no spaces in the literal) '{a:1,b:2,c:3}; Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 7/7 Quiz Ch-7 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 15 minutes 18 out of 20 ! Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 2 at 11:58pm This attempt took 15 minutes. Question 1 1 / 1 pts An integer type with a predefined width n is not a packed array. True False Question 2 System Verilog supports only a single dimension True 1 / 1 pts False Question 3 1 / 1 pts A packed array all arrays declared as bit dimensions declared after the object name array declared with the packed keyword dimensions declared before the object name Question 4 1 / 1 pts SystemVerilog accepts only a two number range, to specify the size of an unpacked array True False Question 5 A packed array can have multiple dimensions True 1 / 1 pts False Incorrect Question 6 0 / 1 pts The dimensions declared after the object name are referred to as: vector width dimension the array dimensions array range bit width Question 7 1 / 1 pts One array can be assigned to the other if the what conditions are met? (Check all required) The right most indexes are the same they are declared with the first character of the name uppercase the left most indexes are the same each element is an equivelent type each dimension length the same the same number of unpacked dimensions Question 8 1 / 1 pts What array type can be indexed by a string? associative static queue dynamic Question 9 1 / 1 pts Which built-in-method returns the number of elements in an array? size() Question 10 1 / 1 pts For the code integer bob[]; bob = new[100]; write the code to extend the array to 300 elements keeping the old values: (no spaces in answer) bob=new[300](bob); Question 11 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. True False Question 12 1 / 1 pts How is a dynamic array defined? [%] [$] [] [*] Question 13 1 / 1 pts For the associative array pwx defined below, write the SV code to remove entry "turkey" integer pwx[string]; (no extra spaces) pwx.delete("turkey"); Question 14 1 / 1 pts The empty string ("") is not a valid associative array index. True False Question 15 1 / 1 pts An associative array may not have a class as an index type. True False Question 16 For the string array defined as: string s[] = { "Graduate", "from", "sjsu" }; write the code to reverse the order in the array 1 / 1 pts s.reverse; 1 / 1 pts Question 17 Associative arrays can be assigned to dynamic arrays True False 1 / 1 pts Question 18 match the values read from a non-existent associative array location 4-state integral type 'X 2-state integral type '0 enumeration base type default initial value string "" event null Question 19 1 / 1 pts Which is a time literal? 40 nano seconds 40 ns 40ns 40n Incorrect Question 20 0 / 1 pts write a literal to initialize a twenty element array of a structure containing two elements a and b with 4 given to a and -1 given to b (no spaces in answer) Quiz Score: 18 out of 20 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-6 Due Sep 10 at 10pm Points 20 Available until Sep 10 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 17.75 out of 20 Correct answers are hidden. Score for this attempt: 17.75 out of 20 Submitted Sep 3 at 11:46pm This attempt took 20 minutes. Partial Question 1 0.75 / 1 pts Which types are signed? int integer logic reg byte shortint bit https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts Which function puts a byte value c in position i of string str? str.putbyte(i,c); str.substr(i,i+1,c); str.putc(i,c); str.set(i,c); Question 3 1 / 1 pts String variables are: (Mark all correct Automatically converted to 'integer' as needed Dynamic indexable Characters is of type shortint An ordered collection of characters Question 4 1 / 1 pts reg is equivalent to which SV logic type? latch https://sjsu.instructure.com/courses/1326719/quizzes/1323010 2/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN logic flipflop shortreg Question 5 1 / 1 pts What are the 4 values for a reg? w z 1 x s u 0 Question 6 1 / 1 pts match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable https://sjsu.instructure.com/courses/1326719/quizzes/1323010 3/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN Converts integer to hex string str.hextoa(i); Converts real to string Question 7 str.realtoa(r); 1 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} logic [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; Question 8 1 / 1 pts Which statement will create an enum for s0,s1,s2 with s0 assigned a value of 5? enum{s0=5,s1,s2} state; enum{ with s0 as 5,s1,s2} state; enum {s0(5),s1,s2} state; enum {s0<=5,s1,s2} state; Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 1 / 1 pts 4/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef Question 10 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Variable b will be assigned 5 Variable b will be assigned the third value of 4 Three variables b0,b1,b2 will be created Variable b will be assigned 3 Question 11 1 / 1 pts How is a user defined type created? mytype class https://sjsu.instructure.com/courses/1326719/quizzes/1323010 5/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN typedef type Question 12 1 / 1 pts typedefs can be placed in an interface (T/F) True False Question 13 1 / 1 pts To case the real value 2.15 to an int, use the following expression int'(2.15) 'int(2.15) int(2.15) (int)2.15 Question 14 1 / 1 pts An unpacked structure can be signed? True https://sjsu.instructure.com/courses/1326719/quizzes/1323010 6/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN False Incorrect Question 15 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) integer rv; Question 16 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 17 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Incorrect Question 18 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 0 / 1 pts 7/8 9/3/2019 quiz ch-6: FA19: EE-272 Sec 01 - SOC DESIGN To copy data from an item of one type to an item of another type, use which function? new[] Question 19 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 20 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); Quiz Score: 17.75 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323010 8/8 quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 12 out of 20 ! Correct answers are hidden. Score for this attempt: 12 out of 20 Submitted Sep 3 at 11:24pm This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts System verilog extends which verilog 2k type 2008 Question 2 To convert a string to lower case, use the function tolower() 1 / 1 pts Incorrect Question 3 0 / 1 pts System Verilog adds which data types over Verilog (2k) class shortreal realtime string event chandle Incorrect Question 4 0 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z= a>b; Question 5 What are the 4 values for a reg? x 1 / 1 pts u 1 s 0 z w Question 6 1 / 1 pts String concatenation is performed by which operators? + (plus sign) , (comma) { } (curly braces) . (period) Question 7 After assigning event variable b to event variable a, A new synchronization object is created. Variable b no longer owns the synchronization object. 1 / 1 pts An event on b's synchronization object will trigger a's synchronization object. Both event variables refer to the same synchronization object. Incorrect Question 8 0 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: name(enx) enx.name() text(enx) enx.text() Incorrect Question 9 0 / 1 pts Which statement will create an enumeration consisting of a logic value of 4 bits? enum {s0,s1,s2,s3} logic [3:0] state; enum [3:0] {s0,s1,s2,s3} state; enum {s0,s1,s2,s3} [3:0] state; enum logic [3:0] {s0,s1,s2,s3} state; Incorrect Question 10 0 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c is converted to an integer of 1 An error is generated c becomes the value 'green' c becomes the value 'yellow' Question 11 1 / 1 pts User defined types can be hierarchial (T/F) True False Question 12 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Incorrect Question 13 0 / 1 pts write an expression that will change a reg value rv to a signed result (no spaces) logic rv; Question 14 1 / 1 pts A bit-stream consists of: Dynamically sized arrays real types Any integral, packed, or string type Unpacked arrays, structures, or classes of the above types Question 15 A union differs from a structure by: 1 / 1 pts A union is a typedef struct A struct is lexicaly stronger than a union all union elements share the same storage They are the same Incorrect Question 16 0 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a left justified result a right justified result a sign extended result An error Question 17 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 18 1 / 1 pts A structure member may be initialized in the structure definition (T/F) True False Question 19 1 / 1 pts Multiple packed dimensions can also be defined in stages with typedef . True False Question 20 A virtual interface should not be used (Check all that apply) as interface items with clocking as ports In an always block as members of unions in an initial block 1 / 1 pts Quiz Score: 12 out of 20 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN quiz ch-25 Due Sep 3 at 10pm Points 20 Available until Sep 3 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 16 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:14pm This attempt took 16 minutes. Question 1 1 / 1 pts A specify block cannot use an interface True False Question 2 1 / 1 pts modport namespaces are unique to each interface don't exist https://sjsu.instructure.com/courses/1326719/quizzes/1323014 1/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN are globally shared are common in a module Question 3 1 / 1 pts A net declared in an interface bundle is of default direction in inout ref out Question 4 1 / 1 pts An interface block may not contain a property statement True False Question 5 1 / 1 pts A modport may contain a clocking declaration True https://sjsu.instructure.com/courses/1326719/quizzes/1323014 2/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN False Question 6 1 / 1 pts Virtual interfaces can be declared as a class property True False Question 7 1 / 1 pts interface parameters may be declared 'automatic' True False Question 8 1 / 1 pts An interface can contain a task definition True False Question 9 https://sjsu.instructure.com/courses/1326719/quizzes/1323014 1 / 1 pts 3/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN Interfaces cannot have parameters True False Question 10 1 / 1 pts A virtual interface should not be used (Check all that apply) in an initial block In an always block as interface items with clocking as ports as members of unions Question 11 1 / 1 pts Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) [input logic clk]; interface sjsu_bus PARAM(AWIDTH = 11, DWIDTH = 9) (input logic clk); interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); https://sjsu.instructure.com/courses/1326719/quizzes/1323014 4/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN interface #(AWIDTH = 11, DWIDTH = 9) sjsu_bus(input logic clk); Question 12 1 / 1 pts A module can be instantiated in an interface True False Question 13 1 / 1 pts Why is the clock typically placed in the modport definition? So the clock can be referenced in the block placing a clock on a modport is not allowed To disable the interface clocking block To create a reverse clock binding Question 14 1 / 1 pts When can a hierarchical reference be made to interface elements before object binding always https://sjsu.instructure.com/courses/1326719/quizzes/1323014 5/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN When passed in an module port list Never Question 15 1 / 1 pts When can an interface parameter be passed? At interface instantiation Only in an initial block In the module parameter list Only in an always block At each interface reference in the code Question 16 1 / 1 pts Tasks can be included in an interface definition True False Question 17 1 / 1 pts What functions could be used with a 'for' statement and an associative array to iterate through all elements? https://sjsu.instructure.com/courses/1326719/quizzes/1323014 6/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN end last start step first begin continue next more 1 / 1 pts Question 18 match the values read from a non-existent associative array location 4-state integral type 2-state integral type enumeration string event https://sjsu.instructure.com/courses/1326719/quizzes/1323014 'X '0 base type default initial v "" null 7/8 9/2/2019 quiz ch-25: FA19: EE-272 Sec 01 - SOC DESIGN Question 19 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 20 1 / 1 pts If a packed array is declared as signed, then the array viewed as a single vector shall be signed. True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323014 8/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Quiz Ch-7 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 15 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 9 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:50pm This attempt took 9 minutes. Question 1 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 2 1 / 1 pts An integer type with a predefined width n is not a packed array. True https://sjsu.instructure.com/courses/1327575/quizzes/1324930 1/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM False Question 3 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the unpacked dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions shall concatenate the packed dimensions Question 4 1 / 1 pts When the array is used with a smaller number of dimensions, these have to be: the slowest varying ones the fasest varing ones unpacked packed https://sjsu.instructure.com/courses/1327575/quizzes/1324930 2/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts Unpacked arrays can be made of any data type. True False Question 6 1 / 1 pts A dimension before a variable in a declaration is referred to as: bit size bit range variable width vector width dimension Question 7 1 / 1 pts A dynamic array of 100 elements can be assigned to a fixed array of 75 elements True False https://sjsu.instructure.com/courses/1327575/quizzes/1324930 3/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 8 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array True False Question 9 1 / 1 pts Which built-in-method returns the number of elements in an array? size() Question 10 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 11 1 / 1 pts the term part-select refers to The right most data element https://sjsu.instructure.com/courses/1327575/quizzes/1324930 4/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM a selection of one or more contiguous bits of a single- dimension packed array. The left most data element a selection of one or more contiguous bits of a single- dimension unpacked array.False Question 12 1 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. True False Question 13 1 / 1 pts Which function used with 'push_front' would create a FIFO pop_back Question 14 1 / 1 pts Which of the following are valid indexes for: https://sjsu.instructure.com/courses/1327575/quizzes/1324930 5/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM int qqx[string]; 3.1415926 16'h1234 "26" 23 "Hi" Question 15 1 / 1 pts Which character index is used to create a queue '%' [%] none [] '$' [$] '*' [*] Question 16 1 / 1 pts Associative arrays can be assigned to dynamic arrays True False https://sjsu.instructure.com/courses/1327575/quizzes/1324930 6/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts A queue can be used as a LIFO True False Question 18 1 / 1 pts What value does the associative array 'exists' function return if the element exists? 1 Question 19 1 / 1 pts write a time literal representing 0.1 nano seconds 0.1ns Question 20 1 / 1 pts what is the special string character \a https://sjsu.instructure.com/courses/1327575/quizzes/1324930 7/8 9/3/2019 Quiz Ch-7: FA19: EE-273 Sec 01 - Log Verif UVM vertical tab bell hex character code form feed Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324930 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 20 out of 20 Correct answers are hidden. Score for this attempt: 20 out of 20 Submitted Sep 2 at 11:40pm This attempt took 20 minutes. Question 1 1 / 1 pts What value cannot be assigned to a string? "'" (quote) 0 "%" percent used for formatting "" empty string value Question 2 1 / 1 pts match the following functions to definitions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts How can the number of characters in string str be determined? str.size() size(str) str.len() len(str) Question 4 1 / 1 pts Which function converts a string to an integer atoi https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 5 1 / 1 pts String variables are dynamic (T/F) True False Question 6 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin Question 7 1 / 1 pts enumerations (enum) are converted to integers by the compiler with needed (T/F) True False Question 8 1 / 1 pts User defined types can be hierarchial (T/F) https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM True False Question 9 1 / 1 pts For the code: typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' An error is generated c becomes the value 'green' c is converted to an integer of 1 Question 10 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) name(enx) enx.name() https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM enx.text() Question 11 1 / 1 pts Assigning null to an event variable Any pending events are reset Creates an event debugging event. Triggers an immediate event The association between the event object and the event variable is broken. Question 12 1 / 1 pts Enum can be used with typedef (T/F) True False Question 13 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 14 1 / 1 pts A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union { int a; int b; } tagged tu; union tagged { int a; int b; } tu; tagged { int a; int b; } tu; Question 15 1 / 1 pts For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes Question 16 1 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 1 / 1 pts An unpacked structure can be signed? True False Question 18 1 / 1 pts A tagged union creates an event variable trigger provides debug trace information Prevents reading from a different member than the last written adds a .tag() function to each variable Question 19 1 / 1 pts When assigning to an unpacked array left most is assigned to left most Is not packed and ready for a trip to Vegas right most is assigned to right most https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/8 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM the source and target must be arrays with the same number of unpacked dimensions, and the length of each dimension must be the same. elements are reversed Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/8 1 / 1 pts Question 5 `x is a valid literal True False ab abarr ='{1, 1.0}; is an example of: A compiler error An integer concatenation A structure literal A class literal 1 / 1 pts Question 8 If a string literal is assigned to an unpacked array of bytes, what happens if the sizes are different? compile error results string left justified in array string right justified in array Question 1 A specify block cannot use an interface True False An interface can contain a task definition True Question 4 1 / 1 pts write the code to instantiate a variable 'a' to the interface 'sjsu_interface' in a module. (No extra spaces, no parameters, no passed values) sjsu_interface a(); 1 / 1 pts an interface can be passed through ports True False Virtual interfaces can be declared as a class property True False Question 7 What do interface modport declarations provide? limits interface signals and thier directions Modifies bus sizes in real time models a port for bus connections provides the ability to modify a port during simulation Question 8 A module can be instantiated in an interface True False what is an 'unspecified interface reference' ? An error a interface placeholder to be selected at module instantiation in implicit interface An unused interface object 1 / 1 pts Question 10 1 / 1 pts A virtual interface should not be used (Check all that apply) in an initial block In an always block as members of unions as ports with clocking as interface items Question 11 1 / 1 pts Access to all declared interface objects is always available by simulation termination interface enums hierarchical reference Question 12 1 / 1 pts A net declared in an interface bundle is of default direction out inout in Tasks can be included in an interface definition True False Question 14 How many interface objects can a module contain? 2 Any Number 1 / 1 pts 1 / 1 pts Question 15 Why is the clock typically placed in the modport definition? placing a clock on a modport is not allowed To disable the interface clocking block To create a reverse clock binding So the clock can be referenced in the block 1 / 1 pts Question 19 For the code integer bob[]; bob = new[100]; write the code to extend the array to 300 elements keeping the old values:(no spaces in answer) bob=new[300](bob); quiz ch-25: FA19: EE-273 Sec 01 - Log Verif UVM The term unpacked array is used to refer to: dimensions declared before the object name Any array outside a structure any array declared with the unpacked keyword dimensions declared after the object name Question 5 int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: Integer concatenation Use of an array literal A compile error nested class initialization Question 2 1 / 1 pts In Verilog, a comma-separated list of array declarations All arrays in the list shall have the same data type and the same packed array dimensions shall concatenate the packed dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions shall concatenate the unpacked dimensions Question 3 1 / 1 pts In an array with multiple dimensions, which varies most rapidly Right most largest number smallest number left most Question 6 1 / 1 pts When the array is used with a smaller number of dimensions, these have to be: the fasest varing ones unpacked the slowest varying ones Question 7 1 / 1 pts For the array defined as: int mark[]; mark=new[50]; mark.delete; Write the code (no spaces) to empty the array. Question 8 A dynamic array size can be changed with which operator? new[] 1 / 1 pts 1 / 1 pts Question 9 Which built-in-method returns the number of elements in an array? size() 1 / 1 pts Question 11 In the statement: event evx[*]; What type of array is created? associative static dynamic A dynamic array of 100 elements can be assigned to a fixed array of 75 elements True False Question 14 1 / 1 pts For the string array defined as: string s[] = { "Graduate", "from", "sjsu" }; write the code to reverse the order in the array s.reverse; Question 15 1 / 1 pts for the associative array declared: integer z; string axx[integer]; write a verilog statement (No extra spaces) that will set the variable z to the number of entries in the associative array: z=axx.num; Question 17 1 / 1 pts Which function can be used to determine if a queue is empty size() Question 20 1 / 1 pts int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: Integer concatenation A compile error Use of an array literal nested class initialization A dynamic array of 100 elements can be assigned to a fixed array of 75 elements True False A packed array (choose all correct) Can be used as an integer in an expression always consists of 2-valued elements Is packed and ready for a short trip to Vegas can accept assignment from an integer Can be used as a floating point number in any expression Question 5 A dimension before a variable in a declaration is referred to as: vector width dimension variable width bit size bit range 1 / 1 pts 1 / 1 pts Question 7 If an index expression is out of bounds or any address bit is X or Z, then the index shall be zero invalid the left most data element The right most data element 1 / 1 pts Question 10 A dynamic array can be assigned to a fixed array True False 1 / 1 pts Question 11 Array Slices can only apply to a single dimension True False Question 12 In SystemVerilog the term 'slice' refers to a random selection of bits from an array splitting an array into two target arrays a selection of one or more contiguous elements of an array Which character index is used to create a queue '$' [$] match the values read from a non-existent associative array location 4-state integral type 'X 2-state integral type enumeration base type default initial v string "" event null Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); 1, DWIDTH = 9) sjsu_bus(input logic clk); Question 3 1 / 1 pts An interface block may not contain a property statement True False Question 5 1 / 1 pts Tasks cannot be placed in an interface True False Question 8 1 / 1 pts Interfaces cannot have parameters True False Question 9 1 / 1 pts modport namespaces don't exist are unique to each interface are globally shared are common in a module Question 10 'modport' names start with the character '$' must be master or slave, or m or s are optional Can be any interface symbol not used otherwise 1 / 1 pts Question 13 1 / 1 pts How many interface objects can a module contain? 2 4 1 Any number Question 14 1 / 1 pts What does the interface keyword mean in a module port list? The interface will be passed later Prohibits the use of a modport Use the interface type following the keyword Question 16 1 / 1 pts When can a hierarchical reference be made to interface elements When passed in an module port list always before object binding Never Question 2 interface parameters may be declared 'automatic' True False A modport may contain a clocking declaration True False 1 / 1 pts When can an interface parameter be passed? Only in an initial block At each interface reference in the code At interface instantiation In the module parameter list Question 18 1 / 1 pts A signed packed array cannot be an associative array index. True False Question 1 Integer types with predefined widths cannot have packed array dimensions declared. True False Question 2 1 / 1 pts If a packed array is declared as signed, then the array viewed as a single vector shall be signed. True False Question 3 1 / 1 pts When accessing an array, packed dimensions come first, and then unpacked dimensions True False Question 5 SystemVerilog accepts only a two number range, to specify the size of an unpacked array True False 1 / 1 pts 1 / 1 pts Question 6 Multiple unpacked dimensions cannot also be defined in stages with typedef . True False What do the statements: integer bob[]; bob = new[100]; do? create a new array element containing a value of 100 assigns the array bob elememt 100 of array new set all array values to 100 creates an integer dynamic array with 100 members set to the default value Question 14 Which statement will return a queue of all entries in array IA >5 qi = IA.find( >5 ); qi = IA.select( >5 ); qi = IA.extract( IA>5 ) qi = IA.find( x ) with ( x > 5 ); Question 15 1/1 pts Associative arrays can be passed to a task as a dynamic array. True False Question 16 Which function used with 'push_front' would create a FIFO pop_back 1 / 1 pts Question 18 1/1 pts for an associative array declared: int bby[integer]; Indicate which are true for the index can be any integral expression A 4-state index containing X or Z is assumed zero Indices are signed. Indices larger than integer are truncated to 32 bits Indices smaller than integer are sign extended to 32 bits Question 8 write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; } integer c; (no spaces in the literal) '{a:1,b:2,c:3} A packed array dimensions declared before the object name System Verilog supports only a single dimension False The dimensions declared after the object name are referred to as: the array dimensions Unpacked arrays can be made of any data type. True What array type can be indexed by a string? associative 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array False The empty string ("") is not a valid associative array index. False Question 15 Write the code to delete all associative array elements from: string byyx[integer]; (No extra spaces) byyx.delete(); What value does the associative array 'exists' function return if the element exists? 1 Associative arrays can be assigned to dynamic arrays False Which keyword is used to refer to elements in the extended class super What function is used to check whether the assignment to a subclass is legal $cast Which keyword refers to class variables in functions written in the class Foo this A class is a type Which keyword allows one class inherit things from another class. extends A class cannot hold a real value False What is a static class property Only one for all class instances Question 8 Assuming a class Foo, in the following code: Foo A = new; Foo B =A; A. = 5; B.x = 7 What is the value of A.x? 7 If a class B contains a function butterfly, how can the class function be called B.butterfly(5) A class's subroutines are called methods The scope resolution operator applies to dynamic class members False In the code: function new(); super.new(5); endfunction What does super.new(5); do Calls new in the subclass Class parameters Can be used on each declaration A class property may not be canst. False System verilog objects are removed from memory Automatically A static method is the same as a static property False Which keyword allows one class inherit things from another class. extends An object is an instance of a class What value indicates no object instance has been created null If a class q contains a member x, how can the value of x be referenced q.x The scope resolution operator is '::' How can an out of block declaration be performed qualify the method name with the class name and a pair of colons A class and a structure are the same False What is the return type of new Nothing A class's data are referred to as class properties True What keyword prevents access to a class property from outside the class local Polymorphism At run time, the system correctly binds the method from the appropriate class. How are parameters specified on a class? #(int bits=32) The randomize function creates random values for rand variables A dist item cannot appear in another expression True An object can be declared randc? False A constraint limits the random values applied to variables An object can be declared rand? True The inside operator gives a value contained in a set of values rand and randc do the same things False Which function disables a constraint? constraint_mode unpacked structures can be declared randc? False randc is guaranteed to work on variable up to how many bits? 8 A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. False A packed array can have multiple dimensions True Question 9 1 / 1 pts A dynamic array size can be changed with which operator? new[] Question 12 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array False Which code is correct to initialize an associative array: string sjsu[int] = '{4:"student"}; Question 15 A struct may not be an associative array index. False What are the 4 values for a reg? s z w x 0 u 1 1 / 1 pts reg is equivalent to which SV logic type? latch flipflop logic To convert a string to lower case, use the function Tolower() String concatenation is performed by which operators? + (plus sign) { } (curly braces) , (comma) . (period) typedefs can be placed in an interface (T/F) True False User­defined type names must be used for complex data types in casting. True In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? Variable b will be assigned 5 Three variables b0,b1,b2 will be created Variable b will be assigned 3 Variable b will be assigned the third value of 4 A string containing the name of an enumeration value in a variable 'enx' may be obtained by: enx.text() enx.name() name(enx) What will get the value of the last element of an enumeration 'enx'? enx.last() last(enx) enx[­1] enx.lastvalue() For the purposes of a bit­stream cast, a string is considered a dynamic array of bytes A packed structure can be used with the '+' operator (T/F) True An unpacked structure can be signed? False The default type of a packed structure is? unsigned Multiple packed dimensions can also be defined in stages with typedef . True False A part-select of a packed array shall be signed. True False A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. True A queue can be used as a LIFO True False Which of the following are valid indexes for: int qqx[string]; 3.1415926 "26" 23 "Hi" 16'h1234 How is dynamic array storage allocated? new[] the term part­select refers to a selection of one or more contiguous bits of a single­ dimension packed array. How is a dynamic array defined? [] [$] [*] [%] An associative array may not have a class as an index type. True False Which index accesses the last element in a queue? 0 $ ­1 A part-select of a packed array shall be signed. True False Which function converts a string to an integer atoi() String variables are dynamic (T/F) True to convert a string to upper case, use the function Toupper() which function converts a string to a real value Atoreal() typedef is often used with struct because Enums cannot be used in structs without a typedef To prevent redefining the type over and over. Structs have no type For the code: structures are dynamically typed typedef enum { red=0, green, blue, yellow, white, black } Colors; Colors c; c=blue; c = 1; What happens? c becomes the value 'yellow' c is converted to an integer of 1 An error is generated c becomes the value 'green' User defined types can be hierarchial (T/F) True False The default type for an enum is: int Which are aggregate data types? unpacked union unpacked structure packed structure packed array packed union unpacked array enumerations (enum) are converted to integers by the compiler with needed (T/F) True False When a string is converted to another type, the item at index 0 is placed: last second byte after the length LSB MSB For the purposes of a bit-stream cast, a string is considered a dynamic array of bytes quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 16.33 out of 20 ! Correct answers are hidden. Score for this attempt: 16.33 out of 20 Submitted Sep 3 at 2:06pm This attempt took 20 minutes. Partial Question 1 0.33 / 1 pts String variables are: (Mark all correct indexable Characters is of type shortint An ordered collection of characters Dynamic Automatically converted to 'integer' as needed https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 1 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 2 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string str.hextoa(i); str.realtoa(r); Question 3 1 / 1 pts What is the purpose of 'void'? Assigned to create 'X' values to a variable Terminates evaluation of an 'if' condition Indicates no assignment should be performed Indicates a function returns no value https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 2 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM 1 / 1 pts Question 4 reg is equivalent to which SV logic type? latch logic shortreg flipflop Incorrect 0 / 1 pts Question 5 which function converts a string to a real value Atoreal(); Question 6 atoreal() 1 / 1 pts String variables are dynamic (T/F) True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 3 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 7 9/3/19, 2:07 PM 1 / 1 pts An enumeration (enum) cannot be used as an integer in an expression? True False Question 8 1 / 1 pts Enum can be used with typedef (T/F) True False Question 9 1 / 1 pts typedef is often used with struct because To prevent redefining the type over and over. Structs have no type structures are dynamically typed Enums cannot be used in structs without a typedef https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 4 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 10 9/3/19, 2:07 PM 1 / 1 pts A string containing the name of an enumeration value in a variable 'enx' may be obtained by: text(enx) enx.name() name(enx) enx.text() Question 11 1 / 1 pts How is a user defined type created? mytype type typedef class Question 12 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1 / 1 pts Page 5 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM After assigning event variable b to event variable a, Both event variables refer to the same synchronization object. An event on b's synchronization object will trigger a's synchronization object. Variable b no longer owns the synchronization object. A new synchronization object is created. Question 13 1 / 1 pts The number of bits in a structure can be found with which function? $bits() Question 14 1 / 1 pts If both the source and dest are fixed-size types of different sizes and either type is unpacked, then a cast generates a sign extended result a right justified result An error https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 6 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 9/3/19, 2:07 PM a left justified result Incorrect 0 / 1 pts Question 15 A tagged union of a,b is declared by: tagged union { int a; int b; } tu; union tagged { int a; int b; } tu; right answer union { int a; int b; } tagged tu; tagged { int a; int b; } tu; Incorrect 0 / 1 pts Question 16 A union differs from a structure by: They are the same A struct is lexicaly stronger than a union all union elements share the same storage right answer A union is a typedef struct https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 7 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 17 9/3/19, 2:07 PM 1 / 1 pts The default type of a packed structure is? the same as the first element unsigned signed integer Question 18 1 / 1 pts System Verilog data types are categorized as either singular or aggregate Question 19 1 / 1 pts A packed array can have multiple dimensions True False https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 8 of 9 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Question 20 9/3/19, 2:07 PM 1 / 1 pts An interface can be passed through ports True False Quiz Score: 16.33 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 Page 9 of 9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM quiz ch-6 Due Sep 4 at 10pm Points 20 Available until Sep 4 at 10:30pm Questions 20 Time Limit 20 Minutes Allowed Attempts 2 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 20 minutes 18 out of 20 Correct answers are hidden. Score for this attempt: 18 out of 20 Submitted Sep 3 at 6:50pm This attempt took 20 minutes. Question 1 1 / 1 pts How can the number of characters in string str be determined? str.len() len(str) str.size() size(str) Question 2 1 / 1 pts What are the 4 values for a reg? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 1/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM s u z 0 w x 1 1 / 1 pts Question 3 match the following functions to definitions where: str is the string to receive the data i is an integer variable, and r is a real variable Converts integer to hex string Converts real to string Question 4 str.hextoa(i); str.realtoa(r); 1 / 1 pts Match the data types to the definitions. https://sjsu.instructure.com/courses/1327575/quizzes/1324927 2/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM shortint int 2-state SystemVerilog dat 2-state SystemVerilog dat longint byte bit 2-state SystemVerilog dat 2-state SystemVerilog dat 2-state SystemVerilog dat logic reg integer time Question 5 4-state SystemVerilog dat 4-state Verilog data type, 4-state Verilog data type, 4-state Verilog data type, 1 / 1 pts When converting from a 4 valued variable to a 2 valued variable, the X and Z values are converted to? Z 1 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 3/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM 0 X Question 6 1 / 1 pts reg is equivalent to which SV logic type? flipflop shortreg logic latch Incorrect Question 7 0 / 1 pts User-defined type names must be used for complex data types in casting. True False Question 8 1 / 1 pts In the statement enum { a=2,b[3],c,d} state; What will happen to variable b? https://sjsu.instructure.com/courses/1327575/quizzes/1324927 4/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Variable b will be assigned 5 Three variables b0,b1,b2 will be created Variable b will be assigned the third value of 4 Variable b will be assigned 3 Question 9 1 / 1 pts The default type for an enum is: int Question 10 1 / 1 pts Enum can be used with typedef (T/F) True False Question 11 1 / 1 pts After assigning event variable b to event variable a, Variable b no longer owns the synchronization object. A new synchronization object is created. https://sjsu.instructure.com/courses/1327575/quizzes/1324927 5/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM Both event variables refer to the same synchronization object. An event on b's synchronization object will trigger a's synchronization object. Question 12 1 / 1 pts For the statement: enum {a, b=7, c,d} state; What will be the value associated with d? 3 9 8 2 Question 13 1 / 1 pts A structure can contain tasks Basic data types unions other structures functions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 6/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM modules Question 14 1 / 1 pts An unpacked structure can be signed? True False Incorrect Question 15 0 / 1 pts If an explicit initial value expression is used with the declaration of a variable, the initial assignment expression within the structure data type shall override the expression. True False Question 16 1 / 1 pts The void type is used in a tagged union to: turn the union 'off' Creates debug tracing of assignments create a member that will be the last written and holds no useable value https://sjsu.instructure.com/courses/1327575/quizzes/1324927 7/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM turn the union 'on' Question 17 1 / 1 pts A tagged union creates an event variable trigger Prevents reading from a different member than the last written provides debug trace information adds a .tag() function to each variable Question 18 1 / 1 pts To define structure variables in many code locations, a struct is commonly used with a typedef Question 19 1 / 1 pts In Verilog, a comma-separated list of array declarations shall concatenate the unpacked dimensions https://sjsu.instructure.com/courses/1327575/quizzes/1324927 8/9 9/3/2019 quiz ch-6: FA19: EE-273 Sec 01 - Log Verif UVM All arrays in the list shall have the same data type and the same packed array dimensions All arrays in the list shall have the same data type and the same unpacked array dimensions shall concatenate the packed dimensions Question 20 1 / 1 pts Interfaces cannot have parameters True False Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1327575/quizzes/1324927 9/9 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6)16 PM Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 17 minutes 15 out of 15 ! Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 6:16pm This attempt took 17 minutes. Question 1 1 / 1 pts How can the top level scope be specified? $home $root /home /top https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 1 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 10/3/19, 6)16 PM 1 / 1 pts 'ref' is a valid port direction (T/F) True False Question 3 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '*>' '::' '->' '.' Question 4 1 / 1 pts A structure can be passed through a port (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 2 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 5 10/3/19, 6)16 PM 1 / 1 pts A package may contain an initial block (T/F) True False Question 6 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps They may never be used non qualified place the package in the module use an import statement Question 7 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 3 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6)16 PM False Question 8 1 / 1 pts what does .* do on a module port? matches anything placed on a port Allows for a variable number of port maps indicates this is an unconnected output maps variables with the same name as the port Question 9 1 / 1 pts int qr[1:2][1:6] = '{2{'{3{4, 5}}}}; is an example of: Integer concatenation nested class initialization Use of an array literal A compile error https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 4 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 10 10/3/19, 6)16 PM 1 / 1 pts A module port requires providing the following information (Select all that are correct) Default values Direction Drive strength Size Name Name Hierarchy Question 11 1 / 1 pts 'reg' is used to create All of the above Flip-flops Latches Logic None of the above https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 5 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 10/3/19, 6)16 PM Registers Question 12 1 / 1 pts System Verilog adds which data types over Verilog (2k) class realtime shortreal string event chandle Question 13 1 / 1 pts reg is equivalent to which SV logic type? logic shortreg latch flipflop https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 6 of 7 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 14 10/3/19, 6)16 PM 1 / 1 pts Tasks cannot be placed in an interface True False Question 15 1 / 1 pts Virtual interfaces can be declared as a class property True False Quiz Score: 15 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 Page 7 of 7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 12 out of 15 Submitted Oct 3 at 12am This attempt took 14 minutes. Incorrect Question 1 0 / 1 pts How can package routines be accessed with non qualified names? use an import statement They may never be used non qualified https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Type the names in all Caps place the package in the module Question 2 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port matches anything placed on a port indicates this is an unconnected output Allows for a variable number of port maps Question 3 1 / 1 pts How can the top level scope be specified? /home https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN $home /top $root Question 4 1 / 1 pts A package may contain an initial block (T/F) True False Question 5 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 6 1 / 1 pts A package may contain a module (T/F) True False Question 7 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package fred { }; package ; name : fred; endpackage Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 4/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN A structure can be passed through a port (T/F) True False Question 9 1 / 1 pts what is the string code for a vertical tab? \v Question 10 1 / 1 pts 'reg' is used to create Latches Flip-flops None of the above https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Registers Logic All of the above Incorrect Question 11 0 / 1 pts Why is there more than one type of 'always' block in System Verilog? Provide for multiple logic families Indicate purpose of the block Provide FF resert Provide FF set Question 12 1 / 1 pts What value cannot be assigned to a string? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN "'" (quote) "%" percent used for formatting "" empty string value 0 Question 13 1 / 1 pts Which function converts a string to an integer atoi() Incorrect Question 14 0 / 1 pts modport namespaces are globally shared don't exist https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN are unique to each interface are common in a module Question 15 1 / 1 pts A net declared in an interface bundle is of default direction ref in inout out Quiz Score: 12 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 12 out of 15 Submitted Oct 3 at 12am This attempt took 14 minutes. Incorrect Question 1 0 / 1 pts How can package routines be accessed with non qualified names? use an import statement They may never be used non qualified https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Type the names in all Caps place the package in the module Question 2 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port matches anything placed on a port indicates this is an unconnected output Allows for a variable number of port maps Question 3 1 / 1 pts How can the top level scope be specified? /home https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN $home /top $root Question 4 1 / 1 pts A package may contain an initial block (T/F) True False Question 5 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 6 1 / 1 pts A package may contain a module (T/F) True False Question 7 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package fred { }; package ; name : fred; endpackage Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 4/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN A structure can be passed through a port (T/F) True False Question 9 1 / 1 pts what is the string code for a vertical tab? \v Question 10 1 / 1 pts 'reg' is used to create Latches Flip-flops None of the above https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Registers Logic All of the above Incorrect Question 11 0 / 1 pts Why is there more than one type of 'always' block in System Verilog? Provide for multiple logic families Indicate purpose of the block Provide FF resert Provide FF set Question 12 1 / 1 pts What value cannot be assigned to a string? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN "'" (quote) "%" percent used for formatting "" empty string value 0 Question 13 1 / 1 pts Which function converts a string to an integer atoi() Incorrect Question 14 0 / 1 pts modport namespaces are globally shared don't exist https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN are unique to each interface are common in a module Question 15 1 / 1 pts A net declared in an interface bundle is of default direction ref in inout out Quiz Score: 12 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/8 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History Attempt Time Score KEPT Attempt 2 10 minutes 14.5 out of 15 LATEST Attempt 2 10 minutes 14.5 out of 15 Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 14.5 out of 15 Submitted Oct 3 at 5:28pm This attempt took 10 minutes. Question 1 1 / 1 pts what does .* do on a module port? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN indicates this is an unconnected output matches anything placed on a port Allows for a variable number of port maps maps variables with the same name as the port Question 2 1 / 1 pts What can be passed through a module port in system verilog? reg, integer, time Any data type reg, logic, int, integer, time variables, functions, tasks Question 3 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 2/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN How can the top level scope be specified? $root $home /top /home Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 6 1 / 1 pts what is an 'extern module'? A module compiled separatly reference to 'C' code A module with a unique name space A module from another design. No relation to this design. Question 7 1 / 1 pts Packages create a named scope within a module (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN False Question 8 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps They may never be used non qualified place the package in the module use an import statement Question 9 1 / 1 pts write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; integer c; https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN } (no spaces in the literal) '{a:1,b:2,c:3} Partial Question 10 0.5 / 1 pts Which are valid module port directions? output global input bidirectional inout Question 11 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 6/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Why is there more than one type of 'always' block in System Verilog? Indicate purpose of the block Provide for multiple logic families Provide FF resert Provide FF set Question 12 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Question 13 1 / 1 pts What are the values of bits in an integer? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 1 s w u z x 0 Question 14 1 / 1 pts what is an 'unspecified interface reference' ? An error a interface placeholder to be selected at module instantiation An unused interface object in implicit interface https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 15 1 / 1 pts A modport may contain a clocking declaration True False Quiz Score: 14.5 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 9/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Take the Quiz Again Attempt History Attempt Time Score KEPT Attempt 2 10 minutes 14.5 out of 15 LATEST Attempt 2 10 minutes 14.5 out of 15 Attempt 1 14 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 14.5 out of 15 Submitted Oct 3 at 5:28pm This attempt took 10 minutes. Question 1 1 / 1 pts what does .* do on a module port? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN indicates this is an unconnected output matches anything placed on a port Allows for a variable number of port maps maps variables with the same name as the port Question 2 1 / 1 pts What can be passed through a module port in system verilog? reg, integer, time Any data type reg, logic, int, integer, time variables, functions, tasks Question 3 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 2/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN How can the top level scope be specified? $root $home /top /home Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 6 1 / 1 pts what is an 'extern module'? A module compiled separatly reference to 'C' code A module with a unique name space A module from another design. No relation to this design. Question 7 1 / 1 pts Packages create a named scope within a module (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN False Question 8 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps They may never be used non qualified place the package in the module use an import statement Question 9 1 / 1 pts write a structure literal to initialize a to 1, b to 2, and c to 3. struct { reg [3:0] a,b; integer c; https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN } (no spaces in the literal) '{a:1,b:2,c:3} Partial Question 10 0.5 / 1 pts Which are valid module port directions? output global input bidirectional inout Question 11 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 6/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Why is there more than one type of 'always' block in System Verilog? Indicate purpose of the block Provide for multiple logic families Provide FF resert Provide FF set Question 12 1 / 1 pts which function converts a string to an integer assuming the data is binary atobin() Question 13 1 / 1 pts What are the values of bits in an integer? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN 1 s w u z x 0 Question 14 1 / 1 pts what is an 'unspecified interface reference' ? An error a interface placeholder to be selected at module instantiation An unused interface object in implicit interface https://sjsu.instructure.com/courses/1326719/quizzes/1323011 8/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Question 15 1 / 1 pts A modport may contain a clocking declaration True False Quiz Score: 14.5 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 9/9 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 12 out of 15 Correct answers are hidden. Score for this attempt: 12 out of 15 Submitted Oct 3 at 4:54pm This attempt took 18 minutes. Question 1 1 / 1 pts What is the scope resolution operator (Shown in single quotes)? '->' '.' '*>' '::' Question 2 1 / 1 pts A structure can be passed through a port (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Incorrect Question 3 0 / 1 pts What can be passed through a module port in system verilog? Any data type reg, integer, time variables, functions, tasks reg, logic, int, integer, time Question 4 1 / 1 pts A package may contain a module (T/F) True False Question 5 1 / 1 pts what is an 'extern module'? A module with a unique name space https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN reference to 'C' code A module compiled separatly A module from another design. No relation to this design. Incorrect Question 6 0 / 1 pts 'ref' is a valid port direction (T/F) True False Question 7 1 / 1 pts What syntax correctly declares a package? package fred { }; package ; name : fred; endpackage fred package { }; package fred; endpackage Question 8 1 / 1 pts How can package routines be accessed with non qualified names? https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN use an import statement They may never be used non qualified place the package in the module Type the names in all Caps Question 9 1 / 1 pts ab abarr ='{1, 1.0}; is an example of: A class literal A structure literal An integer concatenation A compiler error Question 10 1 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... end https://sjsu.instructure.com/courses/1326719/quizzes/1323011 4/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 11 1 / 1 pts Event variables are used to: track falling edge events signal and wait for events track rising edge events record event times Question 12 1 / 1 pts write code that provides a >0, 0, <0 value to int z after comparing strings a,b to each other z=a.compare(b); Question 13 1 / 1 pts How can the number of characters in string str be determined? len(str) https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN str.size() size(str) str.len() Question 14 1 / 1 pts A virtual interface should not be used (Check all that apply) with clocking as ports in an initial block as interface items as members of unions In an always block Incorrect Question 15 0 / 1 pts Which parameterized interface syntax is correct? interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) [input logic clk]; interface sjsu_bus PARAM(AWIDTH = 11, DWIDTH = 9) (input logic clk); interface #(AWIDTH = 11, DWIDTH = 9) sjsu_bus(input logic clk); interface sjsu_bus #(AWIDTH = 11, DWIDTH = 9) (input logic clk); https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/7 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz Score: 12 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 7/7 Quiz ch-23 Due Oct 3 at 10pm Time Limit 20 Minutes Points 15 Questions 15 Allowed Attempts 3 Available until Oct 3 at 10:05pm Attempt History Attempt Time Score KEPT Attempt 3 10 minutes 15 out of 15 LATEST Attempt 3 10 minutes 15 out of 15 Attempt 2 20 minutes 14 out of 15 Attempt 1 9 minutes 14 out of 15 Correct answers are hidden. Score for this attempt: 15 out of 15 Submitted Oct 3 at 7:02pm This attempt took 10 minutes. Question 1 1 / 1 pts A package may contain an initial block (T/F) True False Question 2 1 / 1 pts what is an 'extern module'? A module from another design. No relation to this design. / A module compiled separatly A module with a unique name space reference to 'C' code Question 3 1 / 1 pts A structure can be passed through a port (T/F) True False Question 4 1 / 1 pts Packages create a named scope within a module (T/F) True False Question 5 1 / 1 pts timeprecision must be a power of 20 units True False / Question 6 1 / 1 pts What syntax correctly declares a package? package fred; endpackage fred package { }; package ; name : fred; endpackage package fred { }; Question 7 1 / 1 pts what does .* do on a module port? indicates this is an unconnected output maps variables with the same name as the port Allows for a variable number of port maps matches anything placed on a port Question 8 1 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps / place the package in the module use an import statement They may never be used non qualified Question 9 1 / 1 pts `x is a valid literal True False Question 10 1 / 1 pts The following code synthesizes: integer i; reg [4:0] limit; for(i=0; i < limit; i=i+1) begin ... end True False Question 11 1 / 1 pts / Event variables are used to: track rising edge events record event times track falling edge events signal and wait for events Question 12 1 / 1 pts which function converts a string to a real value atoreal Question 13 1 / 1 pts What value cannot be assigned to a string? 0 "'" (quote) "" empty string value "%" percent used for formatting Question 14 1 / 1 pts / Interfaces cannot have parameters True False Question 15 1 / 1 pts Tasks cannot be placed in an interface True False Quiz Score: 15 out of 15 / 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN Quiz ch-23 Due Oct 3 at 10pm Points 15 Available until Oct 3 at 10:05pm Questions 15 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 12 minutes 12.33 out of 15 Correct answers are hidden. Score for this attempt: 12.33 out of 15 Submitted Oct 3 at 4:35pm This attempt took 12 minutes. Question 1 1 / 1 pts A structure can be passed through a port (T/F) True False Question 2 1 / 1 pts what does .* do on a module port? maps variables with the same name as the port indicates this is an unconnected output https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1/6 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN matches anything placed on a port Allows for a variable number of port maps Partial Question 3 0.33 / 1 pts In old verilog, what could be passed through a module port? (Check all that apply) int logic reg real time integer short Question 4 1 / 1 pts How can the top level scope be specified? /home $root /top https://sjsu.instructure.com/courses/1326719/quizzes/1323011 2/6 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN $home Incorrect Question 5 0 / 1 pts How can package routines be accessed with non qualified names? Type the names in all Caps use an import statement place the package in the module They may never be used non qualified Question 6 1 / 1 pts timeprecision must be a power of 20 units True False Question 7 1 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323011 3/6 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN False Question 8 1 / 1 pts A package may contain an initial block (T/F) True False Question 9 1 / 1 pts `x is a valid literal True False Question 10 1 / 1 pts How many bits are in a Verilog integer 32 Question 11 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 1 / 1 pts 4/6 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN What will be the value of XX after executing the following code? reg[36:0] XX; XX=0; repeat(8) repeat(4) XX=XX+3; 96 Incorrect Question 12 0 / 1 pts System verilog extends which verilog 2k type 2007 Question 13 1 / 1 pts To convert a string to lower case, use the function tolower() Question 14 1 / 1 pts A net declared in an interface bundle is of default direction out https://sjsu.instructure.com/courses/1326719/quizzes/1323011 5/6 10/3/2019 Quiz ch-23: FA19: EE-272 Sec 01 - SOC DESIGN inout in ref Question 15 1 / 1 pts A specify block cannot use an interface True False Quiz Score: 12.33 out of 15 https://sjsu.instructure.com/courses/1326719/quizzes/1323011 6/6 This attempt took 20 minutes. Incorrect Question 1 0 / 1 pts Module declaration names can be reused in different files compiled together (T/F) True False Question 2 A structure can be passed through a port (T/F) 1 / 1 pts True False Incorrect Question 3 Packages create a named scope within a module (T/F) True At the top level False 0 / 1 pts Incorrect Question 4 0 / 1 pts what does .* do on a module port? Allows for a variable number of port maps indicates this is an unconnected output matches anything placed on a port maps variables with the same name as the port Incorrect Question 5 0 / 1 pts In old verilog, what could be passed through a module port? (Check all that apply) integer logic short Not old verilog, but system verilog real reg int time Question 6 1 / 1 pts 'ref' is a valid port direction (T/F) True False Incorrect Question 7 0 / 1 pts A package may contain a module (T/F) True False Question 8 How can the top level scope be specified? /top /home 1 / 1 pts $home $root Question 9 1 / 1 pts what is the string character code for a form feed? \f Question 10 1 / 1 pts 'reg' is used to create Logic Registers None of the above Latches Flip-flops All of the above Question 11 1 / 1 pts A module port requires providing the following information (Select all that are correct) Size Name Hierarchy Direction Default values Drive strength Name Question 12 1 / 1 pts which function converts a string to a real value atoreal() Question 13 Which function converts a string to an integer assuming the data is hex atohex() 1 / 1 pts Question 14 1 / 1 pts Tasks cannot be placed in an interface True False Question 15 Virtual interfaces can be declared as a class property True 1 / 1 pts False Quiz Score: 10 out of 15 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN quiz CH-12 Due Oct 17 at 10pm Points 20 Available until Oct 17 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 18 out of 20 Score for this attempt: 18 out of 20 Submitted Oct 16 at 9:26pm This attempt took 18 minutes. Question 1 1 / 1 pts How can a value be returned from a function? The first parameter is the return value Correct! assign a value to the function name The last expression is the return value place the value in a variable, and use the variable in endfunction Question 2 1 / 1 pts A function may contain the '@' statement (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1/8 10/16/2019 Correct! quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN False Question 3 0 / 1 pts functions can enable tasks (T/F) You Answered orrect Answer True False Question 4 1 / 1 pts A task may be automatic (T/F) Correct! True False Question 5 1 / 1 pts A function must have at least one argument Correct! True False https://sjsu.instructure.com/courses/1326719/quizzes/1323013 2/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 6 1 / 1 pts A function cannot have non-blocking assignments (T/F) Correct! True False Question 7 1 / 1 pts What argument types are not allowed on a function? input Correct! inout Correct! output Question 8 1 / 1 pts A task must have at least one argument (T/F) True Correct! False Question 9 1 / 1 pts tasks can modify variables outside the task (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323013 3/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Correct! True False 1 / 1 pts Question 10 A task returns a value (T/F) True Correct! False 1 / 1 pts Question 11 Match the following operators to the desired function Correct! = Correct! += Correct! <<= Correct! /= Assignment Add to left hand side Shift left hand side left divide left hand side Other Incorrect Match Options: Clocked assignment Not equal Reverse divide https://sjsu.instructure.com/courses/1326719/quizzes/1323013 4/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 12 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed Correct! True False Question 13 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? NULL Correct! Nothing, it is a void item logical 1'b1 logical 1'b0 Question 14 1 / 1 pts What follows the 'with' keyword on a stream https://sjsu.instructure.com/courses/1326719/quizzes/1323013 5/8 10/16/2019 Correct! quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN A range statement An error message The keyword 'out' A data type Question 15 1 / 1 pts for (a==b)?c:d c and d must be of the same type True Correct! False Question 16 1 / 1 pts Which operators can be overloaded for a structure? Correct! arithmetic operators Bit selection operators Bit scaling operators Correct! assignment operators Correct! relational operators https://sjsu.instructure.com/courses/1326719/quizzes/1323013 6/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 17 1 / 1 pts One array can be assigned to the other if what conditions are met? (Check all required) Correct! each dimension length the same the left most indexes are the same Correct! the same number of unpacked dimensions Correct! each element is an equivelent type The right most indexes are the same they are declared with the first character of the name uppercase Question 18 1 / 1 pts For the statement: event evx[*]; What type of index is required? Correct! All of the above Integral string bit Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1 / 1 pts 7/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array True Correct! False Question 20 0 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. You Answered orrect Answer True False Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 8/8 quiz CH-12 Due Oct 17 at 10pm Points 20 Available until Oct 17 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 11 minutes 15.5 out of 20 Score for this attempt: 15.5 out of 20 Submitted Oct 16 at 5:45pm This attempt took 11 minutes. Question 1 1 / 1 pts A function may contain the '@' statement (T/F) True Correct! False Question 2 Tasks can enable other tasks Correct! True False 1 / 1 pts Question 3 0 / 1 pts A task returns a value (T/F) You Answered orrect Answer True False Question 4 1 / 1 pts A task must have at least one argument (T/F) True Correct! False Question 5 Which keyword enables a recursive function? None, all functions are recursive reentrant Correct! automatic recursive 1 / 1 pts Question 6 1 / 1 pts A function may be used in place of a constant (T/F) Correct! True False Question 7 1 / 1 pts tasks can modify variables outside the task (T/F) Correct! True False Question 8 0 / 1 pts A function call must be part of an expression (T/F) orrect Answer You Answered True False Question 9 0 / 1 pts A function cannot have non-blocking assignments (T/F) orrect Answer You Answered True False Question 10 0.5 / 1 pts What argument types are not allowed on a function? Correct! orrect Answer inout output input Question 11 '{2{'{3{y}}}} is the same as: '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} Correct! '{'{y,y,y},'{y,y,y}} '{6y} 1 / 1 pts Question 12 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed Correct! True False Question 13 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) Correct! orrect Answers a[i]=a[i]+2; a[i]=a[i]+2; Question 14 1 / 1 pts the '?' operator can use pattern matching Correct! True False Question 15 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a c Correct! An error b Question 16 For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? operator+ float(int,float); float + (int,float) bind + qqxi(int, float) Correct! bind + function float qqxi(int, float); 1 / 1 pts Question 17 1 / 1 pts For the code integer bob[]; bob = new[100]; write the code to extend the array to 300 elements keeping the old values: (no spaces in answer) Correct! orrect Answers bob=new[300](bob); bob=new[300](bob); Question 18 1 / 1 pts Which built-in-method returns the number of elements in an array? Correct! orrect Answers size() size() size( ) size Question 19 For the statement: event evx[*]; What type of index is required? 1 / 1 pts bit Correct! All of the above Integral string Question 20 0 / 1 pts Array Slices can only apply to a single dimension orrect Answer You Answered True False Quiz Score: 15.5 out of 2 quiz CH-12 Due Oct 17 at 10pm Time Limit 20 Minutes Points 20 Questions 20 Allowed Attempts 3 Available until Oct 17 at 10:05pm Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 19 out of 20 Score for this attempt: 19 out of 20 Submitted Oct 16 at 9:25pm This attempt took 18 minutes. Question 1 0 / 1 pts A function must have at least one argument Correct Answer True You Answered False Question 2 1 / 1 pts A task returns a value (T/F) True Correct! False Question 3 tasks can modify variables outside the task (T/F) Correct! True 1 / 1 pts False Question 4 1 / 1 pts How can a value be returned from a function? Correct! assign a value to the function name The first parameter is the return value place the value in a variable, and use the variable in endfunction The last expression is the return value Question 5 1 / 1 pts variables in an automatic task may not be assigned using non-blocking assignments (T/F) Correct! True False Question 6 1 / 1 pts A function may contain the '@' statement (T/F) True Correct! False Question 7 What argument types are not allowed on a function? input 1 / 1 pts Correct! inout Correct! output Question 8 1 / 1 pts A task may be automatic (T/F) Correct! True False Question 9 1 / 1 pts functions can enable tasks (T/F) True Correct! False Question 10 1 / 1 pts Which keyword enables a recursive function? recursive reentrant None, all functions are recursive Correct! automatic Question 11 1 / 1 pts typedef logic [1:0] [3:0] T; shortint'({T'{1,2}, T'{3,4}}) results in: 32'h1234 16'sh4321 32'h11223344 Correct! 16'sh1234 Question 12 1 / 1 pts Which is the binary OR operator? // or + Correct! | || Question 13 What has higher precedence than '^' Correct! * | && == 1 / 1 pts Question 14 1 / 1 pts for (a==b)?c:d c and d must be of the same type True Correct! False Question 15 1 / 1 pts You can overload the '+' operator for two floating point real values True Correct! False Question 16 1 / 1 pts int j = { "A", "B", "C", "D" }; { >> {j}} generates... "DCBA" "ABCD" Correct! stream "A" "B" "C" "D" stream "D" "C" "B" "A" Question 17 For the array defined as: int mark[]; mark=new[50]; 1 / 1 pts Write the code (no spaces) to empty the array. Correct! mark.delete; Correct Answers mark.delete(); mark.delete; Question 18 1 / 1 pts What do the statements: integer bob[]; bob = new[100]; do? assigns the array bob elememt 100 of array new create a new array element containing a value of 100 Correct! creates an integer dynamic array with 100 members set to the default value set all array values to 100 Question 19 1 / 1 pts How is a dynamic array defined? Correct! [] [*] [$] [%] Question 20 A dynamic array size can be changed with which operator? 1 / 1 pts Correct! Correct Answers new[] new new[ ] new[] Quiz Score: 19 out of 20 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 quiz CH-12 Results for Anushree Manoharan Score for this attempt: 17 out of 20 Submitted Oct 17 at 4:50pm This attempt took 20 minutes. Question 1 1 / 1 pts A function may contain the '@' statement (T/F) True Correct! False Question 2 1 / 1 pts Which keyword enables a recursive function? None, all functions are recursive reentrant Correct! automatic https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 1/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 recursive Question 3 0 / 1 pts A function may be used in place of a constant (T/F) Correct Answer True You Answered False Question 4 1 / 1 pts tasks can modify variables outside the task (T/F) Correct! True False Question 5 https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 1 / 1 pts 2/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 What argument types are not allowed on a function? input Correct! inout Correct! output Question 6 1 / 1 pts A task returns a value (T/F) True Correct! False Question 7 1 / 1 pts functions can enable tasks (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 3/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 True Correct! False Question 8 1 / 1 pts How many levels of task enabling are allowed? None, only a module can enable a task 256 Correct! Any number 1 Question 9 0 / 1 pts A function call must be part of an expression (T/F) Correct Answer True https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 4/10 10/17/2019 You Answered Anushree Manoharan's Quiz History: quiz CH-12 False Question 10 1 / 1 pts A task must have at least one argument (T/F) True Correct! False Question 11 1 / 1 pts Which comparison operator can result in an 'X' ==? === Correct! == =X= https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 5/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 Question 12 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) Correct! Correct Answers a[i]=a[i]+2; a[i]=a[i]+2; Question 13 1 / 1 pts What is the difference between === and == ? two and 4 valued compares Correct! How X and Z are handled Ascii sorting order No difference https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 6/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 Question 14 1 / 1 pts the '?' operator can use pattern matching Correct! True False Question 15 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates Correct! An error c a b https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 7/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 Question 16 0 / 1 pts Which operators can be overloaded for a structure? Correct Answer assignment operators You Answered Bit scaling operators You Answered Bit selection operators Correct! arithmetic operators Correct Answer relational operators Question 17 1 / 1 pts How is a dynamic array defined? [*] Correct! [] https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 8/10 10/17/2019 Anushree Manoharan's Quiz History: quiz CH-12 [%] [$] Question 18 1 / 1 pts In the statement: event evx[*]; What type of array is created? queue Correct! associative static dynamic Question 19 1 / 1 pts A dynamic array can be assigned to a fixed array https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 9/10 10/17/2019 Correct! Anushree Manoharan's Quiz History: quiz CH-12 True False Question 20 1 / 1 pts For the array defined as: int mark[]; mark=new[50]; Write the code (no spaces) to empty the array. Correct! Correct Answers mark.delete(); mark.delete; mark.delete(); Quiz Score: 17 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323013/history?version=1 10/10 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN quiz CH-12 Due Oct 17 at 10pm Time Limit 20 Minutes Points 20 Questions 20 Allowed Attempts 3 Available until Oct 17 at 10:05pm Attempt History Attempt Time Score KEPT Attempt 3 12 minutes 20 out of 20 LATEST Attempt 3 12 minutes 20 out of 20 Attempt 2 15 minutes 17 out of 20 Attempt 1 20 minutes 17 out of 20 Score for this attempt: 20 out of 20 Submitted Oct 17 at 5:26pm This attempt took 12 minutes. Question 1 1 / 1 pts A task may be automatic (T/F) Correct! True False https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 2 1 / 1 pts tasks can modify variables outside the task (T/F) Correct! True False Question 3 1 / 1 pts How can a value be returned from a function? The last expression is the return value The first parameter is the return value Correct! assign a value to the function name place the value in a variable, and use the variable in endfunction https://sjsu.instructure.com/courses/1326719/quizzes/1323013 2/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 4 1 / 1 pts A function may contain the '@' statement (T/F) True Correct! False Question 5 1 / 1 pts A task must have at least one argument (T/F) True Correct! False Question 6 1 / 1 pts A function call must be part of an expression (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323013 3/11 10/17/2019 Correct! quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN True False Question 7 1 / 1 pts functions can enable tasks (T/F) True Correct! False Question 8 1 / 1 pts A function may be used in place of a constant (T/F) Correct! True False https://sjsu.instructure.com/courses/1326719/quizzes/1323013 4/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 9 1 / 1 pts A task output port may be enabled with an expression (T/F) True Correct! False Question 10 1 / 1 pts variables in an automatic task may not be assigned using non-blocking assignments (T/F) Correct! True False Question 11 1 / 1 pts Write the opcode for arithmetic shift left https://sjsu.instructure.com/courses/1326719/quizzes/1323013 5/11 10/17/2019 Correct! Correct Answers quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN <<< <<< Question 12 1 / 1 pts Which operator provides concatenation? [] <-> Correct! {} + Question 13 1 / 1 pts Which comparison operator can result in an 'X' =X= https://sjsu.instructure.com/courses/1326719/quizzes/1323013 6/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN ==? Correct! == === Question 14 1 / 1 pts You can overload the '+' operator for two floating point real values True Correct! False Question 15 1 / 1 pts the '?' operator can use pattern matching Correct! True False https://sjsu.instructure.com/courses/1326719/quizzes/1323013 7/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 16 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a b c Correct! An error Question 17 1 / 1 pts For the statement: event evx[*]; What type of index is required? https://sjsu.instructure.com/courses/1326719/quizzes/1323013 8/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Integral string Correct! All of the above bit Question 18 1 / 1 pts What array type can be indexed by a string? Correct! associative queue static dynamic Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1 / 1 pts 9/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN What do the statements: integer bob[]; bob = new[100]; do? create a new array element containing a value of 100 set all array values to 100 assigns the array bob elememt 100 of array new Correct! creates an integer dynamic array with 100 members set to the default value Question 20 1 / 1 pts If an index expression is out of bounds or any address bit is X or Z, then the index shall be the left most data element The right most data element Correct! invalid https://sjsu.instructure.com/courses/1326719/quizzes/1323013 10/11 10/17/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN zero Quiz Score: 20 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 11/11 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN 10/17/19, 4:02 PM quiz CH-12 Due Oct 17 at 10pm Points 20 Available until Oct 17 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 19 minutes 13 out of 20 Score for this attempt: 13 out of 20 Submitted Oct 17 at 4:01pm This attempt took 19 minutes. Question 1 1 / 1 pts A task returns a value (T/F) True Correct! False Question 2 1 / 1 pts functions can enable tasks (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 1 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN 10/17/19, 4:02 PM True Correct! False Question 3 0 / 1 pts tasks can modify variables outside the task (T/F) Correct Answer True You Answered False Question 4 1 / 1 pts What argument types are not allowed on a function? Correct! output input Correct! inout Question 5 1 / 1 pts Tasks can enable other tasks https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 2 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Correct! 10/17/19, 4:02 PM True False Question 6 1 / 1 pts A task output port may be enabled with an expression (T/F) True Correct! False Question 7 1 / 1 pts Which keyword enables a recursive function? reentrant Correct! automatic None, all functions are recursive recursive Question 8 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1 / 1 pts Page 3 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN 10/17/19, 4:02 PM A function may contain the '@' statement (T/F) True Correct! False Question 9 1 / 1 pts How many levels of task enabling are allowed? 256 None, only a module can enable a task Correct! Any number 1 Question 10 0 / 1 pts A function must have at least one argument Correct Answer True You Answered False https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 4 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 11 10/17/19, 4:02 PM 0 / 1 pts Which comparison operator can result in an 'X' ==? =X= You Answered === Correct Answer == Question 12 1 / 1 pts Write the opcode for arithmetic shift left Correct! Correct Answers <<< <<< Question 13 0 / 1 pts Which is the binary OR operator? + or https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 5 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN 10/17/19, 4:02 PM // You Answered || Correct Answer | Question 14 1 / 1 pts What follows the 'with' keyword on a stream Correct! A range statement A data type An error message The keyword 'out' Question 15 0 / 1 pts Which operator indicates a data element exists in an array? You Answered find contains search https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 6 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Correct Answer 10/17/19, 4:02 PM inside Question 16 0 / 1 pts For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? operator+ float(int,float); bind + qqxi(int, float) Correct Answer bind + function float qqxi(int, float); You Answered float + (int,float) Question 17 1 / 1 pts In the statement: event evx[*]; What type of array is created? queue https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 7 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN 10/17/19, 4:02 PM dynamic Correct! associative static Question 18 1 / 1 pts A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array True Correct! False Question 19 0 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type. In this case, the assignment creates a new dynamic array with a size equal to the length of the fixed-size array. Correct Answer True You Answered False Question 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1 / 1 pts Page 8 of 9 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN 10/17/19, 4:02 PM For the statement: event evx[*]; What type of index is required? Correct! All of the above Integral string bit Quiz Score: 13 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 Page 9 of 9 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ '()*ÿ,-./0 123ÿÿ03ÿ4ÿ01# ÿ56789:ÿ41 ÿ;23:9768:ÿ41 <=>7?>@?3ÿ7 8Aÿÿ03ÿ4ÿ011B# ÿC7D3ÿF7D79ÿ41ÿG87 ÿ<??6H3Iÿ<993DJ9:ÿ$ C>c3ÿ9d3ÿ;27eÿ<f>78 KLLMNOLÿQRSLTUV F<CZWC <993DJ9 <993DJ9ÿ[ÿ C7D3 $ÿ#87 WX6Y3 41ÿ"7ÿ"\ÿ41 "!ÿ\"!ÿ8ÿ4#ÿ]^ÿ"7ÿ"\ÿ41 7_#8`ÿÿ03ÿ4ÿ%44# a8ÿ4#ÿ""bÿ$ÿ#87 ;23:9768ÿ[ [ÿgÿ[ÿJ9: 4bÿ4 ÿ#"`8\hÿi4!84_Aÿ"78̀ÿÿ4bÿja2k l6YY3X9m ÿÿa!7ÿ ;23:9768ÿ] [ÿgÿ[ÿJ9: a4bÿ4 ÿ 4_Aÿ"!ÿ4b l6YY3X9m ÿÿa!7ÿ 2278!77!"#2"7!20$4%30526789920$4$10$ 02& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ -./,+012ÿ3 'ÿ)ÿ'ÿ*+, 45!8567ÿ8ÿ5 ÿ57"#58ÿ58ÿ#59ÿ"ÿ6ÿ58: ;ÿ78:ÿ" 67"88: 58: # ÿ<=2> ?1@@/A+B ÿÿ=!7ÿ -./,+012ÿC 'ÿ)ÿ'ÿ*+, D8ÿ89E"!;ÿ 567ÿ5ÿ!7!84ÿF7 8" G ?1@@/A+B ÿÿ57"#58ÿ -./,+012ÿH 'ÿ)ÿ'ÿ*+, ÿF7 8" ÿ5 "ÿ54ÿ" 67"88:ÿ58: # ÿ<=2> ?1@@/A+B ÿÿ=!7ÿ -./,+012ÿI 2278!77!"#2"7!20$4%30526789920$4$10$ 'ÿ)ÿ'ÿ*+, 42& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ ÿ'7 8" ÿ#()ÿ" (8ÿÿ*+*ÿ(# ÿ,-2. 01223456 ÿÿ(/ÿ <=3;5>1?ÿ@ 7ÿ9ÿ7ÿ:5; '7 8" ÿ( ÿ (A/ÿ(Bÿ,-2. 01223456 ÿÿ(/ÿ <=3;5>1?ÿC 7ÿ9ÿ7ÿ:5; ÿ'7 8" ÿ#7ÿ(Dÿ(ÿ/(ÿ" ÿ(!E7# 01223456 ÿÿ-!7ÿ <=3;5>1?ÿF 7ÿ9ÿ7ÿ:5; ÿ'7 8" ÿ(//ÿ#7ÿAÿ(!ÿ"'ÿ( ÿG!8" ÿ,-2. 01223456 ÿÿ-!7ÿ 2278!77!"#2"7!20$4%30526789920$4$10$ $2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ ./0-,123ÿ(4 (ÿ*ÿ(ÿ+,- "5ÿ#6 7ÿ898ÿ":ÿ6;ÿ 6<88=ÿ6!ÿ688"5>? @2AA0B,C ÿÿ 7ÿ7#<!ÿ ./0-,123ÿ(( (ÿ*ÿ(ÿ+,- D6ÿ8ÿÿ>8::! ÿ<5 ÿEEEÿ6 >ÿEEÿ? @2AA0B,C ÿÿ"5ÿFÿ6 >ÿGÿ6!ÿ6 >8>ÿ ./0-,123ÿ(H (ÿ*ÿ(ÿ+,- D8ÿ"!6"!ÿ6;ÿÿ6 >ÿ":ÿÿ<8ÿ8ÿ6ÿ9"!? @2AA0B,C ÿÿIJÿ 2278!77!"#2"7!20$4%30526789920$4$10$ &2' 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ ./0-,123ÿ(4 (ÿ*ÿ(ÿ+,- 5!8ÿÿ"!6"!ÿ"ÿ!68ÿ" ÿ7687ÿ"ÿ6ÿ"9! ;2<<0=,> 2<<0=,ÿ?3-@0<- :: AAÿ ./0-,123ÿ(B (ÿ*ÿ(ÿ+,- "!ÿÿC86!68" DCEÿ!7ÿF ÿÿÿG8ÿ8H I ÿÿÿG8ÿJ$1KÿL" I ÿÿÿG8ÿJ011Kÿ#6 86I MÿE8"6I 56ÿ9"78Cÿ!6ÿ6 ÿ"7!8"6CCÿNÿ"!6"!ÿE"!ÿ6 ÿ8ÿ6 CÿE8"6O ;2<<0=,> ÿÿG8CÿNÿE7 8" ÿE8"6ÿ66L8P8QÿE8"6RIÿ ./0-,123ÿ(S (ÿ*ÿ(ÿ+,- 58ÿ"!6"!ÿ6 ÿGÿ"7!8"6CCÿE"!ÿ6ÿ!77!O 2278!77!"#2"7!20$4%30526789920$4$10$ &2' 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ )*++,-./ ÿÿ'8( # ÿ"!'"!ÿ ÿÿ'!8#8ÿ"!'"!ÿ )*++,-./ ÿÿ!0'8" '0ÿ"!'"!ÿ )*++,-./ 67,5.8*9ÿ1: 1ÿ3ÿ1ÿ4.5 ;8ÿ"!'"!ÿ8<8'ÿ'ÿ<''ÿ0# ÿ=8ÿ8ÿ' ÿ'!!'>? )*++,-./ ÿÿ88<ÿ 67,5.8*9ÿ1@ 1ÿ3ÿ1ÿ4.5 "Aÿ8ÿ'ÿ<>'#8ÿ'!!'>ÿ<B8<? )*++,-./ ÿÿCÿDÿ 2278!77!"#2"7!20$4%30526789920$4$10$ %2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ -./,+012ÿ'3 'ÿ)ÿ'ÿ*+, "!ÿÿ4# 5 ÿ56789: ;4ÿ<ÿ"=ÿ8>6ÿ8ÿ!678!>? B1CC/D+E ÿÿ@@ÿ"=ÿÿ4A"5ÿ -./,+012ÿ'F 'ÿ)ÿ'ÿ*+, ÿ!#ÿ4!@ÿ!=!ÿ" B1CC/D+E ÿ 4ÿ@8" ÿ"=ÿ" ÿ"!ÿ#"!ÿ" 8G7"7ÿA8ÿ"=ÿ4ÿ8G@ÿ>8# 8" ÿ4H>ÿ4!!4< -./,+012ÿIJ 'ÿ)ÿ'ÿ*+, ÿ<#K!8@"Gÿÿ!#ÿL@8Lÿ!=!ÿ" 2278!77!"#2"7!20$4%30526789920$4$10$ 32& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ ,-../012 ÿÿ'ÿ(8" ÿ")ÿ" ÿ"!ÿ#"!ÿ" 8*7"7ÿ(# ÿ")ÿ' ÿ'!!'+ÿ 3789ÿ"!ÿ45ÿ"7ÿ")ÿ41 2278!77!"#2"7!20$4%30526789920$4$10$ &2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ '()*ÿ,-./0 123ÿÿ03ÿ4ÿ01# ÿ56789:ÿ41 ÿ;23:9768:ÿ41 <=>7?>@?3ÿ7 8Aÿÿ03ÿ4ÿ011B# ÿC7D3ÿF7D79ÿ41ÿG87 KLLMNOLÿQRSLTUV Z[5C F<C[WC <993DJ9 <993DJ9ÿ\ÿ <993DJ9ÿ^ÿ <993DJ9ÿ_ÿ <993DJ9ÿ\ÿ C7D3 $ÿ#87 Aÿ4 ÿ0ÿ#87 Aÿ4 ÿ0ÿ#87 $ÿ#87 ÿ<??6H3Iÿ<993DJ9:ÿ$ WX6Y3 41ÿ"7ÿ"]ÿ41 1ÿ"7ÿ"]ÿ41 1ÿ"7ÿ"]ÿ41 41ÿ"7ÿ"]ÿ41 "!ÿ]"!ÿ8ÿ4#ÿ`ÿ"7ÿ"]ÿ41 7a#8bÿÿ03ÿ4ÿ%4c# d8ÿ4#ÿ""eÿAÿ4 ÿ0ÿ#87 g8>8:H3Y3I ;23:9768ÿ\ `ÿfÿ\ÿJ9: h4ÿ4!i7# ÿjÿ4!ÿ"ÿ4AA"kbÿ" ÿ4ÿ]7 8" l 6YY3X9ÿ<8:H3Y ÿÿ8"7ÿ 6YY3X9ÿ<8:H3Y ÿÿ"77ÿ g8>8:H3Y3I ;23:9768ÿ_ `ÿfÿ\ÿJ9: 4eÿ4 ÿ#"b8]jÿm4!84aAÿ"78bÿÿ4eÿnd2o 6YY3X9ÿ<8:H3Y ÿÿd!7ÿ 2278!77!"#2"7!20$4%30526789920$4$10$ 02& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 5363-70809 ./0-,123ÿ4 'ÿ)ÿ*ÿ+,- ÿ:;ÿ#7ÿ:<ÿ:ÿ=:ÿ" ÿ:!>7# ÿ?@2A 2880B,ÿC3-708 5363-70809 ÿÿ:=ÿ ./0-,123ÿD 'ÿ)ÿ*ÿ+,- ÿE7 8" ÿ:==ÿ#7ÿFÿ:!ÿ"Eÿ: ÿG!8" ÿ?@2A 2880B,ÿC3-708 5363-70809 ÿÿ@!7ÿ ./0-,123ÿH 'ÿ)ÿ*ÿ+,- "Iÿ: ÿ:ÿ<:=7ÿFÿ!7!JÿE!"#ÿ:ÿE7 8" K 2880B,ÿC3-708 5363-70809 ÿÿ:8> ÿ:ÿ<:=7ÿ"ÿÿE7 8" ÿ:#ÿ ./0-,123ÿL 2278!77!"#2"7!20$4%30526789920$4$10$ 'ÿ)ÿ*ÿ+,42& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ ÿ'7 8" ÿ( "ÿ()ÿ" *+",8-ÿ(8- # ÿ./20 122345ÿ789:32 C8D89:323E ÿÿ/!7ÿ ?@395A18ÿB ;ÿ<ÿ=ÿ>59 )(!8(*+ÿ8ÿ( ÿ(7"#(8ÿ(,ÿ#(Fÿ"ÿ*ÿ(8- Gÿ78-ÿ" *+",8(8- # ÿ./20 122345ÿ789:32 C8D89:323E ÿÿ/!7ÿ ?@395A18ÿH ;ÿ<ÿ=ÿ>59 ÿ(,ÿ"77ÿ"!ÿ#(Fÿ*ÿ (*+GÿI8ÿ( ÿJ!8" ÿ./20 122345ÿ789:32 C8D89:323E ÿÿ(+ÿ ?@395A18ÿK ;ÿ<ÿ=ÿ>59 ÿ'7 8" ÿ#(Fÿ*ÿ7Gÿ8ÿ+(ÿ"'ÿ(ÿ" ( ÿ./20 122345ÿ789:32 ÿÿ/!7ÿ 2278!77!"#2"7!20$4%30526789920$4$10$ $2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 5464.71819 /01.-234ÿ+( (ÿ*ÿ+ÿ,-. ÿ:;ÿ!7!ÿ:ÿ<:=7ÿ>?2@ 3881A-ÿB4.718 5464.71819 ÿÿ:=ÿ /01.-234ÿ++ (ÿ*ÿ+ÿ,-. CDEÿ7 8" ÿ:FFDÿG ÿÿÿ<"8Dÿ<:=8DH ÿÿÿ8ÿI:=8DH JÿIH Iÿ<80Kÿ<84H <80ÿLÿ:FFDÿI:=8Dÿ>4$M$&@H <84ÿLÿ:FFDÿ<:=8DH N:ÿ8ÿ:8F Dÿ"ÿ<84<:=8DO 3881A-ÿB4.718 5464.71819 ÿÿ"8FKÿ8ÿ8ÿ:ÿ<"8Dÿ8#ÿ /01.-234ÿ+P (ÿ*ÿ+ÿ,-. QG4GQG$GCJJJJ 2278!77!"#2"7!20$4%30526789920$4$10$ &2' 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 8ÿÿ(#ÿ( .//012ÿ45670/ @5A5670/0B ÿÿ)*)*+,+,+-,)*+,+,+--ÿ <=062>.5ÿ:? 8ÿ9ÿ:ÿ;26 C(ÿ(ÿ8D!ÿ!E ÿ( ÿ)F) .//012ÿ45670/ @5A5670/0B ÿÿGÿ <=062>.5ÿ:H 8ÿ9ÿ:ÿ;26 I"!ÿJ(KKLMNE ÿ( EÿEÿ#7ÿLÿ"Iÿÿ(#ÿ+ .//012ÿ45670/ @5A5670/0B ÿÿ(Oÿ <=062>.5ÿ:P 2278!77!"#2"7!20$4%30526789920$4$10$ 8ÿ9ÿ:ÿ;26 &2' 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 8ÿÿ'ÿ(ÿ))*ÿ)+)*ÿ) )*ÿ))ÿ,(ÿ..ÿ(,, / !0 122345ÿ789:32 C8D89:323E ÿÿ!0#ÿ))ÿ)+)ÿ) )ÿ))ÿ ?@395A18ÿ=B ;ÿ<ÿ=ÿ>59 8ÿ0*ÿF*ÿG"/8ÿH011Iÿ7ÿH$1IG"/8ÿH000Iÿ0*ÿ4*ÿ$*ÿJ8ÿÿ'ÿ(..(ÿ0*ÿF*ÿÿ,,/ !0 122345ÿ789:32 C8D89:323E ÿÿ ÿ!!"!ÿ ?@395A18ÿ=K ;ÿ<ÿ=ÿ>59 ÿÿ0# L ÿLMHNI- 2278!77!"#2"7!20$4%30526789920$4$10$ %2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ '(ÿ)ÿ"*ÿ(!!()ÿ8ÿ!(+, .//012ÿ45670/ @5A5670/0B ÿÿ("8(8-ÿ <=062>.5ÿ:? 8ÿ9ÿ:ÿ;26 ÿ)#C!8D"Eÿÿ!#ÿFD8Fÿ!*!ÿ" .//012ÿ45670/ @5A5670/0B ÿÿ(ÿD8" ÿ"*ÿ" ÿ"!ÿ#"!ÿ" 8E7"7ÿD# ÿ"*ÿ( ÿ(!!()ÿ <=062>.5ÿ:G 8ÿ9ÿ:ÿ;26 ÿ+)(#8ÿ(!!()ÿ"!ÿ(ÿ" +8# 8" (Dÿ*8H+89ÿ(!!()ÿ( ÿIÿ(8E +ÿ"ÿ( +)(#8ÿ(!!()ÿ"*ÿ(ÿ"#(8IDÿ)ÿ( +8Eÿ"ÿÿ"!8E8(Dÿ(!Eÿ(!!() -(D7 .//012ÿ45670/ ÿÿ(Dÿ 2278!77!"#2"7!20$4%30526789920$4$10$ 32& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 5363-70809 ./0-,123ÿ4' 'ÿ)ÿ*ÿ+,- ÿ:;<#8ÿ<!!<;ÿ"=ÿ011ÿ># ÿ< ÿÿ?ÿ<8@ :ÿ"ÿ<ÿ=8A:ÿ<!!<;ÿ"=ÿ3B ># 2880C,ÿD3-708 ÿÿ<>ÿ E789ÿ"!ÿ'ÿ"7ÿ"=ÿ41 2278!77!"#2"7!20$4%30526789920$4$10$ &2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ '()*ÿ,-./0 123ÿÿ03ÿ4ÿ01# ÿ56789:ÿ41 ÿ;23:9768:ÿ41 <=>7?>@?3ÿ7 8Aÿÿ03ÿ4ÿ011B# ÿC7D3ÿF7D79ÿ41ÿG87 ÿ<??6H3Iÿ<993DJ9:ÿ$ C>d3ÿ9e3ÿ;27fÿ<g>78 KLLMNOLÿQRSLTUV Z[5C F<C[WC <993DJ9 <993DJ9ÿ\ÿ <993DJ9ÿ^ÿ <993DJ9ÿ\ÿ C7D3 $ÿ#87 Aÿ4 ÿ0ÿ#87 $ÿ#87 WX6Y3 41ÿ"7ÿ"]ÿ41 1ÿ"7ÿ"]ÿ41 41ÿ"7ÿ"]ÿ41 "!ÿ]"!ÿ8ÿ4#ÿ_ÿ"7ÿ"]ÿ41 7`#8aÿÿ03ÿ4ÿ%4$# b8ÿ4#ÿ""cÿAÿ4 ÿ0ÿ#87 i8>8:H3Y3I ;23:9768ÿ\ _ÿhÿ\ÿJ9: "jÿ4 ÿ4ÿk4A7ÿ̀ÿ!7!aÿ]!"#ÿ4ÿ]7 8" l 6YY3X9ÿ<8:H3Y i8>8:H3Y3I ÿÿ48m ÿ4ÿk4A7ÿ"ÿÿ]7 8" ÿ4#ÿ ;23:9768ÿ^ _ÿhÿ\ÿJ9: ÿ]7 8" ÿ#7ÿ4kÿ4ÿA4ÿ" ÿ4!m7# 2278!77!"#2"7!20$4%30526789920$4$10$ 02& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ ())*+,ÿ./01*) :/;/01*)*< ÿÿ'!7ÿ 67*0,8(/ÿ9 2ÿ3ÿ4ÿ5,0 "=ÿ#> ?ÿ@A@ÿ"Bÿ>Cÿ >D@8Eÿ>!ÿ>@@"=FG ())*+,ÿ./01*) :/;/01*)*< ÿÿ ?ÿ7#D!ÿ 67*0,8(/ÿH 2ÿ3ÿ4ÿ5,0 ÿB7 8" ÿ#>?ÿ" >8ÿÿIJIÿ># ÿK'2L ())*+,ÿ./01*) :/;/01*)*< ÿÿ>@ÿ 67*0,8(/ÿM 2ÿ3ÿ4ÿ5,0 '>Cÿ> ÿ >D@ÿ"!ÿ>C ())*+,ÿ./01*) ÿÿ'!7ÿ 2278!77!"#2"7!20$4%30526789920$4$10$ 42& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 5363-70809 ./0-,123ÿ4 'ÿ)ÿ*ÿ+,- ÿ:7 8" ÿ#;<ÿ=ÿ7>ÿ8ÿ?;ÿ":ÿ;ÿ" ; ÿ@A2B 2880C,ÿD3-708 5363-70809 ÿÿA!7ÿ ./0-,123ÿE 'ÿ)ÿ*ÿ+,- ;Fÿ; ÿ#">8:<ÿG;!8;=?ÿ"78>ÿÿ;Fÿ@A2B 2880C,ÿD3-708 5363-70809 ÿÿA!7ÿ ./0-,123ÿH 'ÿ)ÿ*ÿ+,- ÿ:7 8" ÿ; "ÿ;Gÿ" =?"F8Iÿ;8I # ÿ@A2B 2880C,ÿD3-708 5363-70809 ÿÿA!7ÿ ./0-,123ÿJ 'ÿ)ÿ*ÿ+,- ÿ;Fÿ"77ÿ"!ÿ#;<ÿ=ÿ ;=?>ÿK8ÿ; ÿL!8" ÿ@A2B 2278!77!"#2"7!20$4%30526789920$4$10$ $2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ *++,-.ÿ0123,+ ;1<123,+,= ÿÿ()ÿ 89,2.:*1ÿ64 4ÿ5ÿ6ÿ7.2 >(!8(?)ÿ8ÿ( ÿ(7"#(8ÿ(@ÿ#(Aÿ"ÿ?ÿ(8B Cÿ78Bÿ" ?)"@8B (8B # ÿDE2F *++,-.ÿ0123,+ ;1<123,+,= ÿÿE!7ÿ 89,2.:*1ÿ66 4ÿ5ÿ6ÿ7.2 G8ÿ"!("!ÿ!">8Cÿ" ( (8" H *++,-.ÿ0123,+ ;1<123,+,= ÿÿIJÿ 89,2.:*1ÿ6K 4ÿ5ÿ6ÿ7.2 ACLÿ7 8" ÿ(BBCÿI ÿÿ>"8Cÿ>()8CM ÿÿ8ÿN()8CM JÿNM Nÿ>80Oÿ>84M 2278!77!"#2"7!20$4%30526789920$4$10$ &2' 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ (80ÿ)ÿ*++,ÿ-*.8,ÿ/4$0$123 (84ÿ)ÿ*++,ÿ(*.8,3 4*ÿ8ÿÿ7!"ÿ"5ÿÿ*8+ # ÿ"ÿ(846 899:;<ÿ>?@A:9 J?K?@A:9:L ÿÿ 7ÿ!*,ÿ"5ÿ-*.8,ÿ48..ÿ5.*+ÿ* ÿ!!"!ÿ FG:@<H8?ÿDI BÿCÿDÿE<@ M*ÿ*ÿ8+!ÿ!, ÿ* ÿNON 899:;<ÿ>?@A:9 J?K?@A:9:L ÿÿPÿ FG:@<H8?ÿDQ BÿCÿDÿE<@ 8ÿÿ)ÿRÿSSTÿSUSTÿS STÿSSÿV3 RÿWWÿRVV + !* 899:;<ÿ>?@A:9 ÿÿ!*#ÿSSÿSUSÿS SÿSSÿ 2278!77!"#2"7!20$4%30526789920$4$10$ &2' 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ 5363-70809 ./0-,123ÿ*4 'ÿ)ÿ*ÿ+,- :"!ÿ;<==>?@A ÿ< AÿAÿ#7ÿ>ÿ":ÿÿ<#ÿB 2880D,ÿE3-708 5363-70809 ÿÿ<Cÿ ./0-,123ÿ*F 'ÿ)ÿ*ÿ+,- G8ÿ"!<"!ÿ< ÿ>ÿ"H!C"<AAÿ:"!ÿ<ÿ!77!@ 2880D,ÿE3-708 ÿÿ<!8#8ÿ"!<"!ÿ 2880D,ÿE3-708 ÿÿ!C<8" <Cÿ"!<"!ÿ ÿÿ<8I # ÿ"!<"!ÿ 2880D,ÿE3-708 5363-70809 ./0-,123ÿ*J 'ÿ)ÿ*ÿ+,- G<ÿA"ÿÿ<# 8I!ÿ>">KLM 2278!77!"#2"7!20$4%30526789920$4$10$ %2& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ '"'ÿ(ÿ)*011+, -". 566789ÿ;<=>76 G<H<=>767I ÿ !/ÿ/ ÿ80!ÿ-1/#8ÿ/!!/1ÿ)8ÿ011ÿ##'!ÿÿ"ÿÿ-2/73ÿ4/37ÿ CD7=9E5<ÿAF ?ÿ@ÿAÿB9= "!ÿÿ/# 4 ÿ4J*K+, L/ÿ1ÿ"2ÿ8-Jÿ8ÿ!678!-. 566789ÿ;<=>76 G<H<=>767I ÿÿ33ÿ"2ÿÿ/'"4ÿ CD7=9E5<ÿAM ?ÿ@ÿAÿB9= ÿ-1/#8ÿ/!!/1ÿ"!ÿ/ÿ" -8# 8" /3ÿ28J-89ÿ/!!/1ÿ/ ÿ'ÿ/80 -ÿ"ÿ/ -1/#8ÿ/!!/1ÿ"2ÿ/ÿ"#/8'3ÿ1ÿ/ -80ÿ"ÿÿ"!808/3ÿ/!0ÿ/!!/1 4/37 2278!77!"#2"7!20$4%30526789920$4$10$ 32& 0120324105 6789ÿ 04ÿ05ÿ434ÿÿ10ÿÿ ÿ )**+,-ÿ/012+* ;0<012+*+= ÿÿ'(ÿ 78+1-9)0ÿ:3 3ÿ4ÿ5ÿ6-1 ÿ8>!ÿ?ÿ8ÿ'7#@ÿ"ÿAÿ7#A!@ÿ@"B ÿ"ÿ1 )**+,-ÿ/012+* ÿÿC!7ÿ D789ÿ"!ÿ3ÿ"7ÿ"Eÿ41 2278!77!"#2"7!20$4%30526789920$4$10$ &2& 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN quiz CH-12 Due Oct 17 at 10pm Points 20 Available until Oct 17 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 18 minutes 18 out of 20 Score for this attempt: 18 out of 20 Submitted Oct 16 at 9:26pm This attempt took 18 minutes. Question 1 1 / 1 pts How can a value be returned from a function? The first parameter is the return value Correct! assign a value to the function name The last expression is the return value place the value in a variable, and use the variable in endfunction Question 2 1 / 1 pts A function may contain the '@' statement (T/F) True https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1/8 10/16/2019 Correct! quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN False Question 3 0 / 1 pts functions can enable tasks (T/F) You Answered orrect Answer True False Question 4 1 / 1 pts A task may be automatic (T/F) Correct! True False Question 5 1 / 1 pts A function must have at least one argument Correct! True False https://sjsu.instructure.com/courses/1326719/quizzes/1323013 2/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 6 1 / 1 pts A function cannot have non-blocking assignments (T/F) Correct! True False Question 7 1 / 1 pts What argument types are not allowed on a function? input Correct! inout Correct! output Question 8 1 / 1 pts A task must have at least one argument (T/F) True Correct! False Question 9 1 / 1 pts tasks can modify variables outside the task (T/F) https://sjsu.instructure.com/courses/1326719/quizzes/1323013 3/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Correct! True False 1 / 1 pts Question 10 A task returns a value (T/F) True Correct! False 1 / 1 pts Question 11 Match the following operators to the desired function Correct! = Correct! += Correct! <<= Correct! /= Assignment Add to left hand side Shift left hand side left divide left hand side Other Incorrect Match Options: Clocked assignment Not equal Reverse divide https://sjsu.instructure.com/courses/1326719/quizzes/1323013 4/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 12 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed Correct! True False Question 13 1 / 1 pts typedef union tagged { void Invalid; int Valid; } VInt; VInt vi1, vi2; vi1 = tagged Valid (23+34); vi2 = tagged Invalid; What is assigned to vi2.Invalid? NULL Correct! Nothing, it is a void item logical 1'b1 logical 1'b0 Question 14 1 / 1 pts What follows the 'with' keyword on a stream https://sjsu.instructure.com/courses/1326719/quizzes/1323013 5/8 10/16/2019 Correct! quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN A range statement An error message The keyword 'out' A data type Question 15 1 / 1 pts for (a==b)?c:d c and d must be of the same type True Correct! False Question 16 1 / 1 pts Which operators can be overloaded for a structure? Correct! arithmetic operators Bit selection operators Bit scaling operators Correct! assignment operators Correct! relational operators https://sjsu.instructure.com/courses/1326719/quizzes/1323013 6/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN Question 17 1 / 1 pts One array can be assigned to the other if what conditions are met? (Check all required) Correct! each dimension length the same the left most indexes are the same Correct! the same number of unpacked dimensions Correct! each element is an equivelent type The right most indexes are the same they are declared with the first character of the name uppercase Question 18 1 / 1 pts For the statement: event evx[*]; What type of index is required? Correct! All of the above Integral string bit Question 19 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 1 / 1 pts 7/8 10/16/2019 quiz CH-12: FA19: EE-272 Sec 01 - SOC DESIGN A subroutine that accepts a one-dimensional fixed-size array cannot be passed a dynamic array True Correct! False Question 20 0 / 1 pts A dynamic array or a one-dimensional fixed-size array can be assigned to a dynamic array of a compatible type appending to the original target array values. You Answered orrect Answer True False Quiz Score: 18 out of 20 https://sjsu.instructure.com/courses/1326719/quizzes/1323013 8/8 quiz CH-12 Due Oct 17 at 10pm Points 20 Available until Oct 17 at 10:05pm Questions 20 Time Limit 20 Minutes Allowed Attempts 3 Take the Quiz Again Attempt History LATEST Attempt Time Score Attempt 1 11 minutes 15.5 out of 20 Score for this attempt: 15.5 out of 20 Submitted Oct 16 at 5:45pm This attempt took 11 minutes. Question 1 1 / 1 pts A function may contain the '@' statement (T/F) True Correct! False Question 2 Tasks can enable other tasks Correct! True False 1 / 1 pts Question 3 0 / 1 pts A task returns a value (T/F) You Answered orrect Answer True False Question 4 1 / 1 pts A task must have at least one argument (T/F) True Correct! False Question 5 Which keyword enables a recursive function? None, all functions are recursive reentrant Correct! automatic recursive 1 / 1 pts Question 6 1 / 1 pts A function may be used in place of a constant (T/F) Correct! True False Question 7 1 / 1 pts tasks can modify variables outside the task (T/F) Correct! True False Question 8 0 / 1 pts A function call must be part of an expression (T/F) orrect Answer You Answered True False Question 9 0 / 1 pts A function cannot have non-blocking assignments (T/F) orrect Answer You Answered True False Question 10 0.5 / 1 pts What argument types are not allowed on a function? Correct! orrect Answer inout output input Question 11 '{2{'{3{y}}}} is the same as: '{y,y,y.y,y,y} '{'{y,y},'{y,y},'{y,y}} Correct! '{'{y,y,y},'{y,y,y}} '{6y} 1 / 1 pts Question 12 1 / 1 pts A shortreal converted to an integer by type coercion shall be signed Correct! True False Question 13 1 / 1 pts a[i]+=2; is the same as... (No spaces in answer) Correct! orrect Answers a[i]=a[i]+2; a[i]=a[i]+2; Question 14 1 / 1 pts the '?' operator can use pattern matching Correct! True False Question 15 1 / 1 pts int a, b, c; logic [10:0] up [3:0]; logic [11:1] p1, p2, p3, p4; int j = {>>{ a, b, c }}; generates a c Correct! An error b Question 16 For the declaration typedef struct { bit sign; bit [3:0] exponent; bit [10:0] mantissa; } float; What would create an overloaded + operator for an int and float? operator+ float(int,float); float + (int,float) bind + qqxi(int, float) Correct! bind + function float qqxi(int, float); 1 / 1 pts Question 17 1 / 1 pts For the code integer bob[]; bob = new[100]; write the code to extend the array to 300 elements keeping the old values: (no spaces in answer) Correct! orrect Answers bob=new[300](bob); bob=new[300](bob); Question 18 1 / 1 pts Which built-in-method returns the number of elements in an array? Correct! orrect Answers size() size() size( ) size Question 19 For the statement: event evx[*]; What type of index is required? 1 / 1 pts bit Correct! All of the above Integral string Question 20 0 / 1 pts Array Slices can only apply to a single dimension orrect Answer You Answered True False Quiz Score: 15.5 out of 2