Uploaded by Doston Sayfulloyev

Namuna individual loyiha uchun

advertisement
O’ZBEKISTON RESPUBLIKASI AXBOROT TEXNOLOGIYALARI VA
KOMMUNIKASIYALARINI RIVOJLANTIRISH VAZIRLIGI
MUHAMMAD AL-XORAZMIY NOMIDAGI
TOSHKENT AXBOROT TEXNOLOGIYALARI UNIVERSITETI
KOMPYUTER INJINIRINGI FAKULTETI
INDIVIDUAL LOYIHA
Mavzu: Qurilmalarning dasturiy ta’minotini ishlab chiqish
Guruh: 081-20
Bajardi:Yuldasheva Dilsaz
Rahbar:Atajanova Nozima
Тoshkent - 2022
INDIVIDUAL LOYIHA
haqida ma’lumotlar
1.
Loyiha ishini bajargan talaba haqida ma’lumot
Yuldasheva Dilsaz Sabirjanovna
3-kurs. KIF ikkinchi oliy ta’lim
081-20 Kio’ guruhi talabasi
Talaba rasmi
Ta`lim yo`nalishi: Kompyuter
injiniringi (Kompyuter injiniringi)
Tel nomeri: (+998-99-481-03-36)
2.
Tanlangan mavzu nomi
Qurilmalarning dasturiy ta’minotini ishlab chiqish
3.
Anatasiya
Bu indivudai loyiha maqsadi o’rnatilgan dasturiy ta’minotni ishlab chiqish
fanida o’tilganlarni nazariy bilimlarni mustahkamlash edi. indivudai loyiha,
dastlab, o’rnatilgan qurilmalardan biri – altera firmasining Cyclon III oilasi
qurilmalari, ularda ishlatiluvchi dasturiy tillar haqida ma’lumot berildi. Keyingi
qismlarda ushbu qurilmalarning
dasturiy ta’minotini ishlab chiqishda
foydalaniluvchi Quartus II dasturiy muhiti haqida ma’lumot berildi. Bunda
Quartus II dasturiy muhitidagi dasturiy tillar, unda loyiha yaratish, loyiha
yaratilganda hosil bo’luvchi fayl va oynalar haqida ma’lumot berildi.
Amaliy qismda dastlab Quartus II dasturiy muhitining imkoniyatlarini
kengaytiruvchi MegaWizard Plug-In, undagi tayyor plaginlar va ushbu indivudai
loyihaning asosini tashkil qiluvchi Interface plagini to’plami haqida so’z yuritildi.
Amaliy qismning ikkinchi qismida esa Interface plaginlar to’plami imkoniyatini
yaxshiroq tushuntirish maqsadida Quartus II dasturiy muhitida SDI
2
megafunksiyalaridan qanday foydalanish kerakligi ko’rsatib o’tildi. Yangi loyiha
yaratib uning natijasigacha bo’lgan jarayon qadamba-qadam ko’rsatildi va
simulyatsiya natijasi orqali tugatildi.
4.
Loyiha ishini bajarishdan olingan natijalar
Ushbu individual loyiha ishi davomida o`rgangan bilimlarim va olgan
xulosalarim keyinchalik o`z kasbimning yetuk mutaxxasisi bo`lishim uchun
yordam berishiga ishonaman.
5.
Ishni topshirish muddati
10.04.2022
MUNDARIJA
3
KIRISH ………………………………………………………………………………. 3
I NAZARIY QISM ………………………………………………………………. …..4
1.1. Dasturlanuvchi mantiqiy integral sxemalar………………………………. 4
1.2. Altera firmasi mikrosxemalari……………………………………………. 6
1.3. Foydalanuvchili dasturlanuvchi matritsali mikrosxemalari……………. . 10
1.4. Quartus II dasturiy muhiti imkoniyatlari………………………………… 16
II AMALIY QISM………………………………………………………………. …..20
2.1. MegaWizard Plug-In Interface plagini………………………………….. 20
2.2. MegaWizard Plug-In Interface plagini SDI dasturi misolida…………… 21
XULOSA……………………………………………………………………………... 29
FOYDALANILGAN ADABIYOTLAR ………………………………………….. 30
ILOVA………………………………………………………………………………. .31
4
Kirish
Axborot texnologiyalarining rivojlanishi natijasida bugungi kunda juda
ko’plab soxalarda insonlarni ishlari yengillashtirilmoqda. Bu soxalarga misol qilib
sanoat, bizness, qishloq xo’jaligi, ta’lim va tibbiyot soxalarini olish mumkin.
Korxonalarda zamonaviy texnikalarni qo’llanilishi mahsulot sifatini oshirib ishchi
kuchini kamaytirmoqda. Inson qo’li bilan qilib bo’lmaydigan ishlarni texnika orqali
qilinmoqda.
Tibbiyot
soxasida zamonaviy texnologiyalarning
ahamiyatini
aytmasdan iloji yo’q. Oldingi vaqtlarda juda ko’plab kasalliklarni davolashni ilojisi
bo’lmagani uchun anchagina insonlar vafot etgan. Bugungi kunda esa zamonaviy
texnologiyalar orqali bu kasalliklar jarrohlik yo’li bilan yoki kimyoviy vositalar
orqali davolanmoqda. Ishab chiqarishda ham tibbiyotda ham ishlatilinayotgan
qurilmalarning aksariyat qismi o’rnatilgan tizimlardir. Ya’ni ular ma’lum bir maxsus
vazifani bajarish uchun qurilmalarga o’rnatiladi. Misol uchun ishlab chiqarish
korxonalarida stanoklarni ishlarini nazorat qilish uchun o’nlab yoki yuzlab sensorlar
va mikrokontrollerlardan foydalaniladi. Shu sababli bugungi kunda kichik hajmdagi
mikrosxemalarga talab oshmoqda.
Shu muammolarni hisobga olinib, ko’pgina firmalar o’z hisoblash
qurilmalarini taqdim etishdi. Bularga misol qilib Altera firmasi mikroprotsessorlari,
Arduino mikrokontrollerlari, BlackBerry qurilmalarini keltirish mumkin. Bu
qurilmalar turli xil maqsadlarda qo’llaniladi va shunga qarab ularning imkoniyatlari
ham har xil. Ushbu kurs ishida shunday o’rnatilgan tizimlardan biri – Altera
firmasining Cyclon III oilasiga mansub DE0 qurilmasida Quartus II dasturiy
muhitining imkoniyatlari MegaWizard Plug-In Interface plagini misolida
o’rganilgan.
5
I BOB. Nazariy qism.
1.1 Dasturlanuvchi mantiqiy integral sxemalar.
Dasturlanuvchi mantiqiy integral sxemalar(DMIS, ing. programmable logic
device, PLD) – raqamli integral sxemalarni yaratishda foydalaniladigan electron
component. Oddiy raqamli mikrosxemalar farqli ravishda, DMISning bajaradigan
ishini
ishlab
chiqilish
paytda
belgilab
qo’yilmaydi.
Uning
vazifasi
dasturlash(loyihalash) paytida beriladi. Dasturlashda Verilog, VHDL, AHDL
apparatura tavsiflash maxsus tillaridagi dasturlar yoki prinsipial elektr sxemalar
ko’rinishidagi raqamli qurilmalarga ixtiyoriy tuzilishni berishga imkon beruvchi
programmator
va
otladchik
vositalaridan
foydalaniladi.Alternativ
DMIS
quyidagicha bo’ladi: matritsa asosli zavodda ishlab chiqarish jarayonida dasturlash
talabili
kristalllar; ASIC – maxsus buyurtma qilinuvchili katta integral
sxemalar(KIS); maxsuslashtirilgan kompyuterlar, protsessorlar(masalan, raqamli
signal protsessorlari) yoki mikrokontrollerlar. Ba’zi MDIS ishlab chiqaruvchilar
o’zlari ishlab chiqaruvchi MDISlariga aniq vazifa bo’yicha o’zgaritirish mumkin
bo’lgan, keyin esa MDISga o’rnatiladigan dasturiy protsessorlarni taklif qiladilar.
Dasturlanuvchi mantiqiy integral sxemalar(MDIS) 15 yil avval dasturlanuvchi
mantiqiy matritsalar(DMM) alternativasi sifatida paydo bo’ldi. Dastlabki MDISlar
keyingilaridan arxitekturasi va tayyorlanish texnologiyasi bo’yicha farq qiladi.
DMMlar triggerli ko’pkiruvchili mantiqiy elementlar matritsa ko’rinishida bo’ladi.
DMIS kam kiruvchili mantiqiy elementlar, triggerlar, aloqa chiziqlari
kesiklari,
maydon
tranzistorlarining
birlashtiruvchi
ulagichlari
matritsasi
ko’rinishida bo’ladi. DMISning inglizcha nomi ustida fikr yuritadigan bo’lsak –
Field Programmable Gate Array(FPGA) – DMIS yuqorida tilga olingan
tranzistorlarning zatvoridagi elektr maydon darajasi o’zgarishi orqali dasturlanadi.
FPGAning boshqalaridan, masalan, LPGA - Laser Programable Gate Arraydan farqi
“dasturlanuvchi” barcha maydon tranzistorlari zatvori bitta DMISni dasturlash
paytida to’ldiriladigan uzun suriluvchi registrning trigger chiqishiga ulanadi. Bu
registrning ba’zi qismlari operativ xotira yacheykesi kabi rolni bajaradi.
6
Yozilgan “proshivka” odatda DMIS qatorida turuvchi operativ xotirada
saqlanadi va elektr manbasiga ulangandan yoki o’chirish signalidan keyin u
qaytadan dasturlanuvchi suriladigan DMIS registriga yoziladi. Bu jarayon DMISni
konfiguratsiyalash deb nomlanadi. Chunki DMIS asosini “prishvaka”ni saqlovchi
triggerlar tashkil etadi. DMIS static operativ xotira mikrosxema texnologiyasi
asosida ishlab chiqariladi.
DMISni CPLD bilan solishtiradigan bo’lsak DMISning ustunliklari ko’p.
Birinchidan, DMISni cheklanmagan sonda qayta dasturlash mumkin. Ikkinchidan,
kam energiya sarf qiladi.
Odatda, CPLD hamda static operativ xotiraga qaraganda DMIS 2 – 3 ta
mantiqiy klapanlar soniga ekvivalent katta sig’im tartibiga ega bo’ladi. U
o’zgarishlar mavjud bo’lmagan paytda deyarli energiya talab qilmaydi. Bundan
tashqari DMISda tartib ishonchliligi CPLDga qaraganda yuqori bo’ladi.
Foydalanuvchilarda ko’pgina gumonlar loyihani nusxalashdan himoya qilish
bilan paydo bo’ladi. Haqiqatdan ham, DMISdagi proshivaka(bu yerda proshivka
loyihaning dasturiy kodi ma’nosida) oddiy nusxalash imkonini paydo qiluvchi tashqi
operativ
xotirada
saqlanadi.
Lekin,
proshivkani
o’zgartirish
yoki
uni
rasshifrovkalash, masalan, yashirin mualliflik uchun yoki sxemani qayta tiklash
uchun, amaliy jihatdan mumkin emas. Chunki proshivka faylidagi bitlar semantikasi
– firma siri hisoblanadi,uni ehtiyotsizlik bilan o’zgartirish esa MDISni ishdan
chiqaradi. Agar himoyalash kerak bo’lsa, unda dasturni yuklash loyiha himoyasini
ta’minlovchi avtomat - tashqi CPLD yordamida amalga oshiriladi. MDISning yangi
avlodlarida proshivkani shifelash ko’rib chiqiladi, masalan, o’rnatilgan DES
shifrlagich yordamida. Bunda DES shifrlagichning kalitini saqlash batareyalar
yordamida amalga oshiriladi.
Mantiqiy
dasturlanuvchi
integral
sxemalar
–
MDIS
raqamli
sxemotexnikaning eng ko’zga ko’rinarli elementlaridan hisoblanadi. MDIS katta
sondagi oddiy mantiqiy elementlarni o’z ichiga olgan kristall ko’rinishida bo’ladi.
Boshlanishida bu elementlar o’zaro bog’lanmagan bo’ladi. Bog’lanishlar shu
kristallada joylashgan electron kalit yordamida amalga oshiriladi. Elektron kalitlar
7
raqamli sxemalarning konfiguratsiya kodini tashuvchi yacheykalardagi maxsus
xotirani boshqaradi. Shunday qilib, MDIS xotirasiga belgilangan kodni yozish orqali
har qanday murakkab ko’rinishdagi raqamli qurilmani yig’ish mumkin(bu MDIS
parametriga
va
kristalda
joylashgan
elementlar
soniga
bog’liq).
Mikroprotsessorlardan farqli ravishda MDISda apparat darajasidagi raqamli qayta
ishlash algoritmlarini tashkillashtirish mumkin. Bunda raqamli qayta ishlash tezligi
keskin oshadi. MDIS asosidagi qurilmalarda loyihalash texnologiyasinign
afzalliklari quyidagilar hisoblanadi:

Sxemalarni
ishlab
chiqishda
vaqt
sarfining
minimalligi(MDISning xotirasiga konfiguratsiya kodini kiritish kerak xolos);

Oddity raqamli sxemotexnika elementlaridan farqli ravishda bu
yerda murakkab bosma platalar ishlab chiqish va tayyorlash zaruriyati ajralib
chiqadi.

Raqamli
sxemaning
bir
ko’rinishdan
boshqasiga
tez
o’zgarishi(xotiradagi sxema konfiguratsiyasi kodining almashishi).

DMIS asosida yasaluvchi qurilmaga murakkab texnologik
mahsulot talab qilinmaydi. DMIS ishlab chiquvchining kompyuteri
yordamida dasturlanadi. Shuning uchun bu texnologiya ba’zida “stoldagi
fabrika” deb nomlanadi.
DMISning qo’llanilish sohalari: signallarni raqamli qayta ishlashda,
foydalanuvchi elektronikasi, ma’lumotlarni yig’ish tizmi, boshqaruv tizimi,
telekommunikatsiya qurilmalarida, simsiz aloqani ta’minlovchi tizim qurilmalarida,
umumiy maqsaddagi kompyuter qurilmalarida.
1.2. Altera firmasi mikrosxemalari
Altera
–
dasturlanuvchi
mantiqiy
integral
sxema
ASIC
ishlab
chiqaruvchilarning eng kattasidir. Unga 1983-yilda asos solingan. Korxona S&P 500
aksiya baholanishi indeksiga kirgan. Altera birinchi navbatda VHDL, Verilog va
xususiy AHDL kabi apparaturalar tavsifidagi dasturlash tillariga asoslanuvchi
modul va sxemalarni ishlab chiqaradi. Mikrosxema ishlab chiqarish sohasida turli
xil boshqa ishlab chiqaruvchilar bilan hamkorlik qiladi.
8
Asosiy mahsulot bo’lib dasturlanuvchi mikrosxemalar hisolanadi. Bundan
tashqari korxona ASICda dasturlanuvchi mantiqiy integral sxemalar(DMIS) ostida
ishlovchi loyihalarni qayta qurish bo’yicha xizmatlar bilan ham shug’ullanadi.
Shuningdek kompaniya DMIS uchun o’rnatilgan dasturiy ta’minot uchun dasturlar
ishlab chiqaradi. Va yana xususiy ishlanmalar protsessor yadrosi uchun
komplyatorlar ham chiqaradi.
Asosiy raqobatchisi Xilinx kompaniyasidir. Yana bir kata raqobatchilar –
Lattice Semiconductor va Acteldir.
Altera ishlab chiqaruvchilarga eng kichik(32 makroyacheykali mantiqiy
hajmdagi)dan eng katta(1mln mantiqiy elementga ekvivalent bo’lgan mantiqiy
hajmadagi)gacha bo’lgan mantiqiy dasturlanuvchi mikrosxemalarning keng
sohasini taklif qiladi. Altera korporatsiyasining yangi oilasi mikrosxemalari
zamonaviy kompleks tizimlar talablarini qoniqtiradi. Alteraning mikrosxema
oilalari quyidagilar:

Stratix seriyasidagi katta mantiqiy hajmli mikrosxemalar

Arria seriyasidagi o’rta diapazondagi mikrosxemalari

Cyclone seriyasidagi arzon mikrosxemalar.
Arria V va Cyclone Voilasi tarkibiga ARM Cortex A9 o’rnatilgan apparat
protsessor yadrosili Kristal tizim sinfi qurilmalariga kiradi.
Radioelektronika sohasida o’rnatilgan yuqori tezlikli uzatib qabul qiluvchi
Altera mikrosxemalari eng ko’p tan olingan.
Alteraning zamonaviy mikrosxemalari qat’iy normalar asosida TSMC tayvan
firmasida ishb chiqiladi.
Altera mikrosxemalari loyihalash oqimining barcha elementlarini – loyiha
tavsifidan butun mikrosxema konfiguratsiyalari yuklanishlari va olingan
rezultatlarni vaqtinchalik tahlilgacha o’zida saqlaydi. Alterada loyiha muhitini
yaratishda apparatura tavsifidagi standart tillardan foydalaniladi(VHDL, Verilog,
SystemVerilog). Hozirgi paytda Altera mantiqiy dasturlash asosidagi qurilmalarni
ishlab
chiqish
jarayonida
OpenCL
standarti
bo’yicha
faol
ish
olib
bormoqda.Foydalanishda qulay va kuchli bo’lgan Quartus II ishlab chiqish muhiti
9
Mentor Graphics, Synopsys va Candence – jahon liderlarining dasuriy ta’minot I
loyihalari oqimini birlashtirish imkonini beradi. Bunday funksiyalar
Cyclone III ning umumiy ta’riflanishi. Cyclone III oilasi o’zida yuqori
funksionallik, kam energiya sarfi va past narx kabi afzalliklarni jamlagan. Taiwan
Semiconductor Manufacturing Company(TSMC) kompaniyasidan kam iste’mol
texnologik jarayonini asos qilib olib, minimal energiya sarfiga erishish uchun
kristallni va dasturiy ta’minotni optimizatsiyalashda Cyclone III oilasi sizning
ilovangiz uchun ideal yechimni taklif qiladi. Loyihaning unikal talabiga mos holda
Cyclone III oilasi ikki xil variantda ishlab chiqariladi:

Cyclone III – kam energiya talabli, yuqori funksiyali va arzon
narxli.

Cyclone III LS – kam energiya talabli va himoyalangan FPGA.
5000dan 200000gacha mantiqiy elementlar(LE)ga, 0.5 dan 8 Mbgacha
xotiraga va0.25 Vatdan kam bo’lmagan o’zgarmas quvvat talabiga ega bo’lgan,
Cyclone III oilasi energiyani tejagan holda sizning hisoblashlaringizni amalga
oshiradi. Cyclone III LS chiplari kam sarfli va yuqori funksional FPGA
platformasidagi dasturlar va intellectual o’ziga xos xususiyatlar(IP)ning kristall
darajasidagi himoya to’plami ishlab chiqilgan qurilmalarning birinchisi hisoblanadi.
Himoya muhiti to’plami IPni xalaqitlardan va ko’chirmakashliklardan himoyalaydi.
Qo’shimcha qilib aytganda, Cylone III LS oilasi sizga ilovangizning energiya sarfini
va zichligini, o’lchamini kamaytiruvchi chipda zahira hosil qilish imkonini beruvchi
loyihani bo’lishni amalga oshirishni qo’llab quvvatlaydi.
Cyclone III oilasi muhiti. Cyclone III oilasi quyidagi muhitlarni taklif qiladi:
Kam energiya sarflovchi FPGAlar

Altera energiya tejash jarayoni loyihasi va TSMC kam energiya
sarflovchi texnologik jarayondan foydalanish hisobiga kam energiya sarflash
xususiyati

Kam energiya sarflovchi ishi quyidagi afzalliklarni keltirib
chiqaradi:
o
Ko’chma uskunanig xizmat muddatini oshiradi
10
o
Narxini kamaytiradi
o
Issiq muhitda ishlash
Cyclone III oilasining arxitekturasi. Cyclone III oilasi zichligi, xotirasi,
o’rnatilgan ko’paytirgichi va I/O optsiyasi bo’yicha o’zgartiriladigan portativ
qo’llash uchun optimallashtirilgan belgilangan buyurtmali vositalarga ega. Cyclone
oilasi odatda katta loyihalarda foydalaniluvchi I/O protokolli va tashqi xotirali ko’p
sondagi interfeyslarni qo’llab quvvatlaydi.
Quartus II dasturiy muhiti va parametrlashtirilgan IP yadrosi Cyclone III
oilasidagi protokollar va interfeyslardan foydalanishni osonlashtiradi.
Mantiqiy elementlar va mantiq massivlari bloki. Mantiq massivlari
bloki(MMB) 16ta mantiqiy elemendan va MMB modulini boshqaruvchi blokdan
tashkil topgan. Har bir mantiqiy element to’rtta kirishga, to’rtala kiruvchi
o’zgartirish jadvaliga, registrga va chiquvchi mantiqqa ega bo’ladi. To’rtala kiruvchi
o’zgartirish jadvali(LUT) – bu to’rtta o’zgaruvchi yordamida har qanday funksiyani
ishlab chiquvchi funksiya generatori.
Xotira bloki. Cyclone III oilasidagi har bir M9K xotira bloki Cyclone III
chiplarida 315 MHz chastotagacha va Cyclone III LS chiplarida 274 MHz
chastotagacha ishlash imkoniga ega ichki chipli xotiraga ega bo’ladi. Ichki xotira
tuzilishi RAM, FIFO buferi yoki ROM kabi konfiguratsiyalash mikoniyatiga ega
bo’lgan M9K xotira bloklari ustunidan tashkil topgan boladi. Cyclone III oilasining
xotira bloklari tez almashinuvchi paketlarni qayta ishlash, o’rnatilgan protsessorlar
uchun dastur va ichki ma’lumotlarni saqlash singari ilovalarda qo’llaniladi.
Quartus II dasturi sizga maxsus megafunksiyalar masterini instalyatsiyalash
yordamida yoki Verilog kod yokiVHDL kodingizdan to’g’ridan-to’g’ri sintez qilish
yordamida M9K xotira bloklaridan foydalanishning afzalliklarini ishlatish imkonini
beradi.
M9K xotira bloklari bir portli, soddalashtirilgan ikki portli va to’liq ikki portli
xotiralarni qo’llab quvvatlaydi. Bir portli va soddalashtirilgan ikki portli xotiralar
ma’lumotlar portlarining barcha kombinatsiyalari – x1, x2, x4, x8, x9, x16, x18, x32,
11
x36 larni qo’llab quvvatlaydi. To’liq ikki portli xotira x1, x2, x4, x8, x9, x16, x18
ma’lumot portlarining kombinatsiya kengliklarini qo’llab quvvatlaydi.
Matnli tarmoqlar va PLL. Cyclone III oilasi chiplari 20 ta matnli
tarmoqlarni o’zida jamlagan. Global matnli tarmoqlar uchun signallarni siz maxsus
taktli chiqishlar, ikki marta tayinlangan chiqish taktlari, foydalanuvchi mantig’I va
PLL biln ishlatishingiz mumkin. Cyclone III oilasi chiplari aniq boshqarishni
kafolatlash va matnli signallarni sintezlash uchun to’rttagacha PLLga ega bo’lishi
mumkin. Bunda har bir PLLda beshta chiqishi mavjud bo’ladi. Siz PLLdan chip
ichida takt signallarini, tashqi takt signallari tizimini va I/O interfeyslarini
boshqarish uchun foydalaniladi.
Cyclone III oilasi chiplarida qurilma ishi vaqtida tashqi xotira avto
kalibrovkasini ishlab chiqish uchun PLLni dinamik rekonfiguratsiyalash mumkin.
Bu vosita bir nechta signal chastotalari manbaalari kirishlarini va ko’paytirish,
bo’lish va chastotani fazali surish bo’yicha mos keluvchi talablarini qo’llab
quvvatlashga imkon beradi. Tashqi berilgan bitta takt signalidan o’ntagacha ichki
takt signali va ikkita tashqi takt signalini generatsiyalash uchun Cyclone III oilasi
chiplarida PLL kaskadli ulangan bo’lishi mumkin.
I/O(kiritish/chiqarish) vositalari. Cyclone III oilasi chiplarida 11 ta I/O
banklari mavjud. Barcha I/O banklari bittalik va diferensial I/O standartlarini qo’llab
quvvatlaydi. Bu I/Olar quyidagi jadvalda(1-jadval) berilgan:
1-jadval.
I/O turi
Standart
Bittalik I/O
LVTTL, LVCMOS, SSTL, HSTL, PCI, PCI-X
Diferensial I/O
SSTL, HSTL, LVPECL, BLVDS, mini-LVDS, RSDS, PPDS
1.3. Foydalanuvchili dasturlanuvchi matritsali mikrosxemalari
FPGA(Field Programmable Gate Arrays) foydalanuvchi klapan matritsalarida
dasturlanuvchi mikrosxemalar. FPGA odatda signallarni qayta ishlashda
foydalaniladi. U CPLDga qaraganda mantiqiy elementlari ko’proq va arxitekturasi
12
moslashuvchanroq bo’ladi. FPGAga mo’ljallangan dasturlar energiyaga bog’liq
static operativ xotira yacheykasi asosidagi kabi bajariluvchi maxsus xotirada
saqlanadi(Bunday sxemalar Xilinx va Altera kabi firmalar tomonidan ishlab
chiqariladi). Bunday holatda dastur mikrosxemada elektr toki yo’qolganda
saqlanmaydi. Energiyaga bog’liq Flash-xotira yacheykasi yoki antifuse ulagich
asosidagida esa dastur elektr toki yo’qolgan paytda saqlanadi.
FPGA asosi matritsa ko’rinishida qator va ustunlar bo’ylab joylashgan ko’p
sondagi konfiguratsiyalanuvchi mantiqiy bloklardan tashkil topadi. Bu bloklar
orasida o’zaro aloqani ta’minlovchi trassalangan resurslar bilan bog’lanadi. FPGA
arxitekturasi MPGA arxitekturasiga o’xshab ketadi. Ularning farqi, FPGA tayyor,
standart va hech bo’lmaganda dasturlanmagan, biror iste’molchiga
bog’liq
bo’lmagan trassalangan resurslarga ega bo’ladi.
FPGA arxitekturasi Xilinx, Actel, Altera, Atmel, Agere System, QuickLogic
va shu kabi firmalar tomonidan ishlab chiqariladi.
SOPC(System On Programmable Chip) atamasi ya’ni “dasturlanuvchi
kristaldagi tizim” yuzminglab yoki hatto millionlab ekvivalent klaplardan tashkil
topgan eng katta integratsiya darajasidagi MDISga olib boradi.Bunday yuqori
darajadagi integratsiyalashishga faqat eng zamonaviy texnologiya jarayonlari
yordamida yetib boriladi. Texnologik jarayonlarning o’sib borishi asosida bir vaqtda
yuqori tezlikli va yuqori darajada integratsiyalashgan KIS ta’minlaydi. Natijada
ushbu imkoniyatli integratsiya bitta kristallda butun yuqori ishlab chiquvchan
tizimga olib keladi. Integratsiya va arxitektura orasidagi bog’lanish sababli
tasniflash unchalik ham qat’iy emas, va unda ikkita belgining bir qancha aralashishi
mavjud, biroq u korsatilgan ko’rinishda qabul qilingan.
Hozirda bozorda katta miqdordagi turli xil SOPClar paydo bo’ldi va ular
orasida o’zlarining sinfostilarini va oriyentatsiya muammolarini mo’ljallab oldilar.
Barcha variantlarni detallab sinflamasdan maqsadga muvofiq holda blokli SOPCni
hech bo’lmaganda ikki guruhga bo’lamiz: apparat yadro protsessoriga ega bo’lgan
va bo’lmagani. Birinchisi KISni haqiqiy universal ko’rinishda beradi. Bunda u
mikroprotsessor tizimlariga xarakterli bo’lgan to’liq bloklar to’plamini o’zida
13
saqlaydi(tizim raqamli ko’rinishdagi tizim qismiga ega bo’ladi, lekin, aytib o’tish
kerakki, ba’zi SOPClarning kirishlari uchun analog signallarni dastlabki qayta
ishlash va keying raqamlashtirishga mo’ljallangan analog bloklar mavjud bo’ladi).
Ikkinchisi yuqoridagi kabi yoki boshqa aniq ilovaga maxsuslashtirilgan yoki
yo’naltirilgan bo’ladi. Lekin bu yerda shuni aytish kerakki, ba’zi ikkinchi turdagi
muhit interfeyslari bunday SOPClar qo’llaniluvchi butun tizimlarni o’rnatishni
osonlashtiradi. Bunday MDISlarga misol qilib Altera firmasining Cyclone III va
Stratix III mikrosxema oilasini keltirish mumkin. Cyclone III oilasi yuqori
funksional, kam energiya sarflaydi va kam xarajatlidir.
65 nmli zamonaviy
texnologik protsessning va Quartus II dasturiy taminotining qo’llanilishi oldingi
Cyclone II oilasiga qaraganda 50%ga kam energiya sarflash imkonini beradi.
Cyclone III oilasining asosiy resurslari quyidagi rasmda berilgan:
1.1-rasm. Cyclone III ning resurslari va ularning kristallda joylashishi
Resurslar hajmi(120K ЛЭgacha, 4 Mbgacha o’rnatilgan xotira, 288gacha
o’rnatilgan ko’paytirgichlar, 535gacha kiritish/chiqarish liniyalari) – oilaning yuqori
funksionalligi haqida xabar beradi. Cyclone III arxitekturasi 160 DMIPSdan yuqori
unumdorlikka ega NIOS II o’rnatilgan dastur protsessorini qo’llab quvvatlaydi.
Phase-Locked
Loops
sxemalari
tizim
darajasidagi
sinxronimpulsli
moslashuvchan boshqarish uchun ishlatiladi. Bungacha mos sxemali yechim sifatida
faqat yuqori sifatli diskret PLL qurilmalarda qo’llanilgan.
Cyclone III qurilmasi ,ular 4 tagacha PLL bloke va 10 tagacha
sinxronchastotali tizim zanjiriga egaligi, loyihalovchi foydalanuvchining talabini
14
qondirish uchun tuzilgan. Ular kiritish-chiqarish tez harakatlanuvchi diferensial
interfeysining sinxronizatsiya signalini shakllantirishda va shuningdek umumiy
berilgan vazifani bajarishga ketgan vaqtni ko’rsatishda ishlatiladi. Quyidagi rasmda
Cyclone III uchun PLLning o’ziga xos xususiyatlari tasvirlangan:
1.2-rasm. Cyclone III uchun PLL
9 kbitli M9K o’rnatilgan xotira bloklari oddiy operativ xotira, ikki kiruvchili
operativ xotira, doimiy xotira qurilmasi, FIFO va БИХ va КИХ filtrlarini ishlab
chiqish uchun ishlatiladigan siljuvchi regstrlarni qurishda ishlatiladi. Har bir blok
kerakli proporsiyada ikkiga bo’linadi. M9K bloklar soni yig’indisi 432tagacha
bo’lishi mumkin.
1.3-rasm. M9K o’rnatilgan xotira bloke xarakteristikalari
18x18 o’rnatilgan ko’paytirish bloklari 260MHz gacha takt chastotali
signallarni qayta ishlash funksiyalarini ishlatish uchun samarali vosita bo’lib
hisoblanadi. Zaruriy holatda ularni har birini ikkita 9x9 ko’rinishdagisiga bo’lish
mumkin. Ularning xotira registry elementlari tarkibida mavjud bo’lishi hisoblashni
konveyrlashga imkon beradi.
15
1.4-rasm. O’rnatilgan DMIS III ko’pytirgichi
Mantiqiy bloklar local bog’lanish matritsalari bilan bog’langan 16tadan
mantiqiy elementlardan tashkil topgan. Lokal boshqaruv shinasida ikkita liniya
blokda taktlanadi. Bloklar soning yig’indisi 7443tagacha bo’lishi mumkin. Mantiqiy
elementlarning soni esa 119088tagacha borishi mumkin. Mantiqiy element Cyclone
III arxitekturasidagi eng kichik mantiqiy blokdir. Har bir LE barcha aloqa kanallari
bo’yicha o’z signallarini uzatadi. Bu aloqa kanallar quyidagilar: qator bo’ylab, ustun
bo’ylab, LUT zanjiri bo’ylab, registrlar zanjiri bo’ylab va to’g’ridan-to’g’ri aloqa
qiluvchi local kanallardir. Har bir dasturlanuvchi LE registr D, T, JK va SR
rejimlardagi ishlar uchun sozlangan bo’lishi mumkin. Har bir registrda ma’lumotlar
kirishi, tashlab yuborishni amalga oshirish uchun asinxron kirishlar, sinxron
chastotalar kirishi va sinxron chastotaga ruxsat beruvchi kirishlar mavjud bo’ladi.
Umumiy berilgan kiritish-chiqarish kirishlarining global signallari yoki har qanday
ichki mantiq sinxron chastota va tashlab yuboruvchi signallar bilan boshqarish
mumkin. Sinxron chastotalarga ruxsat beruvchi kirishlar va tashlab yuborishlar
umumiy berilgan kiritish-chiqarish kirishlarini qanday boshqarsa ichki mantiqni
ham shunday boshqaradi.
16
1.5-rasm. Cyclone III DMISning mantiqiy elementi
Cyclone
III
qurilmasi
12ta
kiritish-chiqarish
standartlarini
qo’llab
quvvatlaydi. Cyclone III oilasi 169 tagacha tez ishlovchi diferensial kirishlarga
va169 tagacha diferensial chiqish kanallari, shu jumladan 875-Mbps li operatsiyalar
uchun optimizatsiyalangan 77 tagacha kanallarga ega. 6-rasmda ma’lumotlar uzatish
uchun qanday ishlasa sinxronizatsiyalash uchun ham shunday ishlovchi diferensial
LVDS buferlar ko’rsatilgan:
1.6-rasm. Diferensial LVDS buferlar.
Ba’zi kiritish-chiqarish banklari tashqi xotiraga ulanish uchun ajratilgan
zanjirni o’zida saqlaydi. Bu zanjir DDR, SDRAM va FCRAM qurilmalarini o’z
17
ichiga olgan holda, tashqi xotira qurilmalariga ma’lumot uzatishni osonlashtiradi.
Ma’lumotlarni uzatishning maksimal tezligi 266 Mbit/s ga yetadi.(har takt
chastotada 133 MHz).
1.4 Quartus II dasturiy muhiti imkoniyatlari.
Quartus II paketi Altera firmasining PLIS kristallida raqamli qurilmalarni
loyihlashni to’liq o’z ichiga oluvchi avtomatlashtirilgan tizim bo’lib hisoblanadi. Bu
tizim
foydalanuvchiga
kompilyatsiyalash,
PLIS
proyekt
tavsifini,
dasturlashni,
mantiqiy
funksional
sintezni,
va
vaqtga
loyihani
bog’liq
modellashtirishni, vaqtga bog’liq tahlillashni, tizim ichi otladkasini ishga tushirishni
amalga oshirish bo’yicha keng imkoniyatlarni taqdim etadi.
Quartus II da qulay grafik interfeysdan va loyihalash ma’lumotlarini bajarish
uchun zarur bo’lgan barcha axborotlarni o’z ichiga olgan ma’lumot tizimidan
foydalanadi. Shuningdek, paket loyihalashning har bir bosqichini amalga oshirish
uchun buyruqlar qatoridan ham foydalanadi. Sababi, foydalanuvchining xohishiga
qarab yo grafik interfeys yoki buyruqlar qatoridan foydalaniladi.
Quartus II paketi o’zida loyihalashning turli bosqichlarini bajarish uchun
mo’ljallangan ko’p sonli dasturiy modellarni integratsiyalaydi. Quartus II ning
standart kutubxonasi tarkibiga megafunksiyalar va makrofunksiyalarni o’z ichiga
oluvchi ko’p sondagi bazaviy elementlar kiradi. Megafunksiyani tashkil qiluvchi
qismi parametrlanuvchi modellarning standart kutubxonalari bo’yicha yaratilgan
operatsion qurilma bo’lib hisoblanadi.
Megafunksiyalarning ko’pgina qismi Altera firmasi tomonidan ishlab
chiqilgan. Ular quyi dasturlash tilida yozilgan va Altera kompaniyasining PLISida
qo’llash uchun optimallashtirilgan. Qolgan qismlari esa kompaniyaning hamkorlari
tomonidan ishlab chiqilgan. Megafunksiyalardan foydalanuvchi loyihasida qo’llash
loyihalash imkoniyatini kengaytiradi va loyihani bajarishni tezlashtiradi.
“Loyiha” atamasi ostida Quartus II da loyiha qurilmalari bilan va mos
kutubxonalar to’plami bilan bog’liq fayllar to’plami tushuniladi. Fayllar ikki turda
bo’lishi mumkin – mantiqiy va yordamchi. Mantiqiy fayllar loyihalanayotgan
qurilmaning alohida modullari strukturasini yoki hatti-harakatini tavsiflaydi. Bunga
18
apparatni tavsiflash tili(HDL, Hardware Description Language)da yozilgan tekstli
fayllar, sxemalarni grafik ko’rinishda beruvchi fayllar, alohida modullarni chekli
avtomatlar ko’rinishida beruvchi fayllarkiradi ishiriladi. Yordamchi fayllar
loyihalanuvchi qurilma haqida qo’shimcha malumotlarmo saqlaydi. Ko’pgina
yordamchi fayllar loyiha mantig’I tavsifini saqlamaydi. Ularning ba’zilari Quartus
II tomonidan avtomatik tarzda yaratiladi, ba’zilari foydalanuvchi tomonidan
kiritiladi. Yordamchi fayllarga misol qilib o’rnatish va tayinlash fayllari(.qsf)ni,
belgili fayllarini(.bsf) , hisobot fayllar(.rpt)ni keltirish mumkin.
PLIS da loyihalash quyidagi bosqichlardan iborat:
1.
Loyihaga kirish. Bu bosqichda loyihalovchi loyihaning tavsifini
va uning qismlarini kiritadi. Buni yaratish va keyin tahrirlashda Quartus II
grafik redaktordan foydalanadi. Grafik imkoniyatning loyihani kiritishdagi
asosiy afzalligi uning an’anaviyligi va ko’rgazmaliligidir.
Hozirgi vaqtda eng ommaviy qo’llanilayotgan til bo’lib apparatni
tavsiflash tili(HDL) hisoblanadi. Quartus II da loyiha qismining matnli
tavsifini yaratish uchun va tahrirlash uchun matnli redaktordan foydalaniladi.
Tahrirlash redaktorida VHDL, Verilog, AHDL(Altera HDL), System Verilog
tillarida yozish mumkin. Bu tillar mos holda .vhd,.v, .tdf, .sv fayl
kengaymalarda bo’ladi. Matnli tavsiflashning affaziligi bo’lib uning
ixchamligi
va
har
qanday
o’zgaritirishlarga
nisbatan
oddiy
avtomatlashtirilganligi hisoblanadi.
2.
Loyihani kompilyatsiyalash. Kompilyatsiyalash loyiha kodlarini
tanlangan PLIS kristallida ishlatish uchun o’zgartirish jarayoni ko’rinishida
bo’ladi. Koplyatsiya butun loyihani o’zgaritirgani kabi alohida qismlarini
ham o’zgaritirishi mumkin. Quartus II da kompilyatsiya modul uchun doimo
yuqori darajada(top level) amalga oshiriladi. Shuning uchun ham sxemaning
alohida komponentini kompilyatsiyalash uchun u o’zining modeli bilan
yuqori darajada oldindan e’lon qilinishi zarur. Kompilyatsiyalash bir qancha
bosqichlarni bajarishni o’z ichiga oladi.
19
Tahlillash va sintezlash. Quartus II kompilyatorining mos moduli
loyiha kodlarining bacha fayllarini birlashtiruvchi loyihaning ma’luotlar
bazasini quradi.
1.9-rasm. Quartus II da loyihani tahlillash va sintezlash bosqichlari
Joylashtirish va trassirovkalash. Loyihalashning bu bosqichini
amalga oshiruvchi Quartus II kompilyatori moduli Fitter deb nomlanadi.
Fitter moduli dasturlanuvchi mantiqning tanlangan
kristalli tuzilishida
loyihani montajlashni amalga oshiradi. Ya’ni, kristalning texnik bazisidagi
loyihani to’liq ko’rsatib beruvchi sintezlash bosqichida olingan model
PLISning ichki resurslarida akslantiriladi.
1.10-rasm. Quartus II da loyihani joylashtirish va trassirovkalash.
Joylashtirish
va
trassirovkalash
bosqichi
bajarilish
paytida
foydalanuvchi o’z belgilashlarini kiritib ketishi mumkin.
Vaqtinchalik tahlillash. Ishlab chiqilgan loyihani tez ishlash talabiga
tekshirish.
Talab qilingan quvvatga tekshirish. Ishlab chiqilgan loyihani talab
qilingan quvvat talabiga tekshirish.
20
3.
Loyihani funksional modellashtirish. Sintezlash bosqichi
tugagandan keyin loyihaning kodini verifikatsiyalash amalga oshirilishi
mumkin. Loyiha kodini verifikatsiyalash asosida turli tashqi ta’sirlasni
imitatsiyalashda loyiha kodining ishini modellashtirish yotadi.
4.
Ventil
darajasida
vaqtinchalik
loyihalash.
Sintezlash,
joylashtirish va trassirovkalash bosqichlari bajarilgandan keyin loyihani
to’g’ri ishlashini tekshirishni amalga oshirish.
5.
PLIS da dasturlash. Konfiguratsiyalangan ma’lumotlarni
dasturlanuvchi kristallga yuklanadi.
6.
Tizim tarkibidagi loyihani testlash va tuzatish. Ishlab
chiqilgan loyiha ustida kompleks sinov o’tkaziladi.
Megafunksiyalarni yaratish va tahrirlash uchun Mega Wizard Plug-In
Managerdan
foydalanish.
Quartus
II
standart
kutubxonalari
tarkibiga
megafunksiya va IP yadro ko’rinishidagi juda ko’p sondagi turli xil modular kiradi.
Bu modullar foydalanishga ancha moslashuvchan va oson konfiguratsiyalanuvchi
bo’lib
hisoblanadi.
optimallashtirilgan.
Ular
Ushbu
Altera
firmasi
kutubonadan
kristallarida
foydalanish
ishlatish
foydalanuvchi
uchun
ishini
osonlashtiradi va vaqt sarfini kamaytiradi.
Megafunksiyalar PLIS kristallida to’g’ridan to’g’ri kompilyatsiyalash
imkonini beruvchi AHDL quyi darajadagi dasturlash tilida yozilgan. Shu bilan
birgalikda modellashtirishda ishlatish uchun HDL tili ham qo’yilgan.
Quartus II standart kutubxonalarining megafunksiyalari ikkita turga bo’linadi.
Birinchi turdagi megafunksiyalar Altera firmasi tomonidan ishlab chiqilgan. Bu
megafunksiyalarning nomlari kutubxonada “Alt” bilan boshlanadi. Ikkinchi turdagi
megafunksiyalar boshqa ishlab chiqaruvchilar tomonidan ishlab chiqilgan. Ularning
nomlari “LMP”(Library of parameterized modules) bilan boshlanadi.
21
II BOB. Amaliy qism.
2.1 MegaWizard Plug-In Interface plagini.
Quartus II da standart kutubxonalar tarkibida ko’p miqdordagi va turli xil
modeldagi megafunksiyalar va IP yadrolar o’z aksini topadi. Ushbu modul oson
sozlanuvchan, foydalanuvchi uchun moslashuvchan. Ular Alteraning kristallari
bilan amalga oshirish uchun optimallashtirilgan. Foydalanuvchilar tomonidan
loyihada bajarayotgan amallarning murakkabligini kamaytirish va loyihasini amalga
oshirishni jadallashtirish imkonini beradi.
Megafunksiya bevosita FPGA chip resurslarga ta'rifini kompilyatsiya qilishda
osonlashtirish va jarayonni tezlashtirish uchun past sathdagi dasturlash tili bo’lmish
AHDL (Altera HDL) tilidan foydalangan. Modellashtirishda yuqoridagi tillar
qatorida HDL tilini ham tanlashimiz mumkin, chunki u ham kompilyatsiya
jarayoniga modellarni yetkazish uchun ishlatish mumkin.
Quvartus II standart kutubxonalari megafunksiyalarni ikki turga bo’ladi.
Birinchi turi Altera tomonidan ishlab chiqilgan megafunksiyalarni o'z ichiga oladi.
Bu kutubxonalar nomlanishda "Alt" bilan boshlanadi.
Ikkinchi turi megafunksiyalardan iborat bo’lib, uchinchi shaxslar tomonidan
parametrlashtirilgan standart kutubxona modullardir. Ularning nomi "LPM" (Library of
parameterized modules) bilan boshlanadi. Megafunksiyalar funksiya turlari bo’yicha
quyidagicha ro’yxatlangan.
Kirish

Arifmetik Megawizard va megafunksiyalar.

Kirish megawizard va megafunksiyalari.

Kiritish/chiqarish megawizard va megafunksiyalari

JTAG-kirishli ilova megawizard va megafunksiyalari

Xotira kompilyatori megawizard va megafunksiyalari

Saqlash megawizard va megafunksiyalari.

Boshqa megafunksiyalar.
megawizard
va
megafunksiyalari.
Bu
megafunksiyalar
multiplekserlarni va LPM kirish funksiyalarni o’z ichiga oladi. Bu funksiyalarga
22
LPM_AND, LPM_BUSTRI, LPM_CLSHIFT, LPM_CONSTANT, LPM_INV,
LPM_DECODE, LPM_MUX, LPM_OR, LPM_XOR larni misol qilish mumkin.
Kiritish/chiqarish megawizard va megafunksiyalari. Kiritish/chiqarish
komponentalari soat ma’lumotlarini qayta tiklash(Clock Data Recovery(CDR)),
siklni bloklash fazasi(phase looked loop(PLL)), double data rate(DDR), gigabit
transceiver block(GXB), LVDS qabul qiluvchilar, LVDS uzatuvchilar, PLL qayta
konfiguratsiyalovchilar va masofaviy yangilash megafunksiayalarini o’z ichiga
oladi.
Bu
megafunksiyalarga
ALT2GXB,
ALTGXB_RECONFIG,
ALTASMI_PARALLEL, ALTCLKLOCK va boshqalarni misol qilish mumkin.
Saqlash megawizard va megafunksiyalari. Bu funksiyalar LMP xotira
funksiyalari, parametrlangan flipflop, parametrlangan latch va parametrlangan shift
regstrlari
funksiyalarini
o’z
ichiga
oladi.
Bu
funksiyalarga
ALTCAM,
ALTQPRAM, LPM_FF, LPM_LATCH, LPM_SHIFTREG funksiyalarini misol
qilish mumkin.
2.2 MegaWizard Interface plagini SDI dasturi misolida
Altera SDI Megafunksiyasi raqamli video malumotlarni qabul qiluvchi yoki
jo’natuvchi seriyali interface hisoblanadi. Bu funksiyadan Motion Picture and
Television Engineers (SMPTE) lar keng foydalanadi. Tashqi qurilma bilan ichki
qurilmalarni o’zaro bog’lash uchun foydalaniladi.
2.1 – jadval. SDI qo’llab quvvatlaydigan qurilmalar jadvali.
Qurilma oilasi
Qo’llab quvvatlashi
Stratix
To’liq
Stratix II
To’liq
Stratix II GX
To’liq
Stratix III
To’liq
Stratix IV
Qisman
Stratix GX
To’liq
23
SDI Megacore funksiyasini 2 xil usulda loyihalash mumkin. Bular
quyidagilar:
1)
MegaWizard Plug-In Manager
2)
SOPC Builder
MegaWizard Plug-In Manager orqali SDI Megacore funksiyasini loyihalashni
ko’rib chiqamiz.
Dastlab quartus II dasturi ochiladi va New Project Wizard tanlanadi:
2.1-rasm. Quartus II paketida yangi loyiha yaratish.
So’ngra chiquvchi oynalar quyidagicha to’ldiriladi. Quyidagi oynada loyiha
nomi va u joylashadigan katalog beriladi:
2
.2-rasm. Yaratilayotgan yangi loyiha saqlanadigan katalog va unga nom
berish
24
2.3-rasm. Yaratilayotgan loyiha uchun qurilmani va qurilma oilasini tanlash.
Bu oynada qurilma oilasi kerakli qurilma tanalandi. Bizda Cyclone III qurilma
oilasini tanlaymiz.
Bu oynada loyihaning boshlang’ich konfiguratsiyalari chiqadi. Finish
tugmasini bosib loyihani yaratishni tugatamiz. SDI ni yaratish uchun Quartus II ning
megafunksiyalaridan foydalanamiz. Bunda biz MegaWizard Plugin ning Interface
bo’limidagi SDI megafunksiyasidan foydalanamiz. Buning uchun avval Tools
bo’limidan MegaWizard Plug-In Managerni ishga tushurib olamiz. MegaWizard
Plug-In Manager ishga tushganda quyidagi oyna hosil bo’ladi:
2.4-rasm. MegaWizard Plug-In Manager oynasi.
25
Bu oynada yuqoridagi 3 ta variantda loyiha yaratish mumkin. Yangi loyiha
yaratish, Mavjud loyihani o’zgartirish uchun ochish va mavjud loyihadan nusxa olib
qayta ishlash. Agar avval loyiha yaratilgan bo’lsa uni o’zgartirish yoki nusxasini olib
o’zgartirish rejimida loyihani yaratish mumkin. Hozir yangi loyiha yaratishni ko’rib
chiqamiz.
2.5-rasm. MegaWizard Plug-In Managerni asosiy oynasi.
Yuqoridagi oynadan Interface bo’limidan SDI Megacore funksiyasi, qurilma
oilasi, chiquvchi faylni qaysi tilda bo’lishi va fayl nomi tanlanadi.
2.6-rasm. SDI Megafunksiyasini parametrlarini belgilash oynasi.
26
Yuqoridagi oynadan tanlangan qurilma oilasi uchun SDI Megafunksiyasini
parametrlari tanlanadi. Loyihani paket uzatuvchi yoki qabul qiluvchi rejimida
yaratish mumkin. Jo’natuvchi va bloklar protokolini generatsiya qilishni belgilab
next tugmasi bosiladi.
2.7-rasm. SDI megafunksiyasining parametrlarini belgilash oynasi.
Yuqoridagi oynadan simulyatsiya modelini va netlistni generatsiya qilishni
tanlaymiz. Bu fayllar yaratilayotgan loyihani virtual holda ishlatib natijalarni
tekshirib ko’rish imkonini beradi. Parametrlarni belgilagandan so’ng next tugmasini
bosib keyingi oynaga o’tamiz.
2.7-rasm. SDI megafunksiyasining yaratiladigan fayllarini belgilash oynasi.
27
Bu oynada loyiha uchun kerak bo’ladigan fayllar avtomatik tarzda
belgilangan bo’ladi. Agarda belgilanmagan bo’lsa loyihachi kerakli fayllarni
belgilashi yoki belgilangan fayllarni o’chirib qo’yishi mumkin. Finishni bosamiz va
belgilangan parametrlar bo’yicha loyihani yaratib olinadi.
2.8-rasm. SDI megafunksiyasi muvaffaqqiyatli yaratilgan oynasi.
Loyiha muvaffaqqiyatli yaratilgandan so’ng SDI.vhd faylini ochamiz. Bu
faylda VHDL tilida yozilgan MegaWizard Plug-In Manager tomonidan yaratilgan.
Endi loyihani kompilyatsiya qilamiz.
2.9-rasm. SDI megafunksiyasi muvaffaqqiyatli kompilyatsiya qilingan
oynasi.
28
Loyihani virtual holatda sinab ko’rish uchun Vector Waveform File ni
yaratib uni loyihaga qo’shamiz. Buning uchun File->New->Vector Waveform File
ni tanlaymiz. File yaratilgandan so’ng uni yaratilgan loyiha nomi bilan bir xil nom
bilan saqlab olamiz.
2.10 – rasm. Loyihaga Vector Waveform File ni qo’shish.
Endi bu qo’shilgan yangi faylni parametrlarini sozlaymiz. Birinchi navbatda
Edit->End Time bo’limidan yakunlash vaqtini belgilab olamiz. Undan so’ng esa
Edit->Grid Size ni belgilaymiz. Bu parameter bizga oynani qismlarga ajratish
hajmini belgilash imkonini beradi. Keyin bu faylga loyihaning kiruvchi va chiquvchi
o’zgaruvchilarini qo’shish uchun View->Utility Windows->Node Finder ni ishga
tushiramiz va o’zgaruvchilarni belgilab Vector Waveform File ga qo’shamiz. Har
bir o’zgaruvchi uchun alohida qiymatlarni belgilashni Edit->Value->Clock bo’limi
orqali amalga oshiramiz.
29
2.11 – rasm. Vector Waveform File ni parametrlari belgilangan holda.
Barcha
o’zgaruvchilarga
qiymatlarni
belgilab
bo’lingandan
so’ng
Assignments->Settings bo’limidan simulyatsiya qilinadigan fayl uchun Simulation
Mode parametriga Functional ni Simulation inputga esa yaratilgan Vector
Waveform File ni belgilanadi va Processing->Generate Functional Simulation
Netlist bo’limi orqali simulyatsiya faylni generatsiya qilinadi. Agar simulyatsiya
fayli muvaffaqqiyatli yaratilsa Processing->Start Simulation orqali simulyatsiya
faylini ishga tushurib belgilangan qiymatlarga mos chiquvchi natijani olish mumkin.
Simulyatsiya faylidagi loyihaning o’zgaruvhilarining qiymatlarini o’zgartirgan
holda belgilangan qiymatlarga mos natijalarni bir necha marta olish mumkin.
2.12
– rasm. SDI Megafunksiyasini simulyatsiya natijasi oynasi.
30
Xulosa
Bu indivudai loyiha maqsadi o’rnatilgan dasturiy ta’minotni ishlab chiqish
fanida o’tilganlarni nazariy bilimlarni mustahkamlash edi., dastlab indivudai loyiha,
o’rnatilgan qurilmalardan biri – altera firmasining Cyclon III oilasi qurilmalari,
ularda ishlatiluvchi dasturiy tillar haqida ma’lumot berildi. Keyingi qismlarda ushbu
qurilmalarning dasturiy ta’minotini ishlab chiqishda foydalaniluvchi Quartus II
dasturiy muhiti haqida ma’lumot berildi. Bunda Quartus II dasturiy muhitidagi
dasturiy tillar, unda loyiha yaratish, loyiha yaratilganda hosil bo’luvchi fayl va
oynalar haqida ma’lumot berildi.
Amaliy qismda dastlab Quartus II dasturiy muhitining imkoniyatlarini
kengaytiruvchi MegaWizard Plug-In, undagi tayyor plaginlar va ushbu indivudai
loyihaning asosini tashkil qiluvchi Interface plagini to’plami haqida so’z yuritildi.
Amaliy qismning ikkinchi qismida esa Interface plaginlar to’plami imkoniyatini
yaxshiroq
tushuntirish
maqsadida
Quartus
II
dasturiy
muhitida
SDI
megafunksiyalaridan qanday foydalanish kerakligi ko’rsatib o’tildi. Yangi loyiha
yaratib uning natijasigacha bo’lgan jarayon qadamba-qadam
simulyatsiya natijasi orqali tugatildi.
31
ko’rsatildi va
Foydalanilgan adabiyotlar
1. Altera University Program. DE0 User Manual. Development and education
board.
2. Altera. Cyclone III Device Family Overview.
3. Антонов А.П. Язык описания цифровых устройств. ALTERA HDL.
Практический курс.-М.: ИП Радио Софт, 2002.- 224.
4. Стешенко В.Б. ПЛИС фирмы “ALTERA”: элементая база, система
проектирования и языки описания аппаратуры.- М.: Издательский дом,
ДОДЕКА – XXI ,- 2002.- 576 с.
5. Исследование цифровых устройств на основе (ПЛИС) в среде Quartus II:
http://www.leso.sibsutis.ru/index.php?act=metod&target=metod_leso2_1
6. Компания «ГАММА»: ttp://www.icgamma.ru/linecard/altera/kits/quartus2
32
33
Download