Uploaded by Abdulaziz Obidov

raqamli-1-topshriq

advertisement
O‘ZBEKISTON RESPUBLIKАSI
RAQAMLI TEXNOLOGIYALАR VАZIRLIGI
MUHAMMAD AL-XORAZMIY NOMIDAGI
TOSHKENT AXBOROT TEXNOLOGIYALARI
UNIVERSITETI
SAMARQAND FILIALI
“KOMPYUTER TIZIMLARI” KAFEDRASI
"Kompyuter tizimlari" kafedrasi "Raqamli
qurilmalarni loyihalashga kirish" fanidan
Mavzu:Triggerining tarkibi ishlash tamoili.
Bajardi:OBIDOV ABDULAZIZ
Qabul qildi
Samarqand– 2024
Mavzu: Triggerining tarkibi ishlash tamoili.
Re’ja:
1. Triggerlar, xisoblagichlar va summatorlar.
2. RS trigger.
3. D va T triggerlari.
4. DV va TV triggerlari.
5. JK triggeri.
Xulosa
Foydalanilgan adabiyotlar
Triggerlar, xisoblagichlar va summatorlar.
Trigger – ikkita turg‘un muvozanat holatiga ega bо‘lgan qurilma bо‘lib, ikkilik
sanoq sistemasida ifodalangan informatsiyani ishlash, xotirlash uchun mо‘ljallangan.
Triggerlar – aktiv xotira elementi bо‘lib, unda mantiqiy о‘zgaruvchini ifodalash
uchun ikkita kuchlanish sathi ishlatiladi. Umumiy holda trigger xotirlovchi element
(triggerning о‘zi) hamda boshqarish sxemasi birikmasidan iborat bо‘lib, bir necha
mantiqiy elementlarni о‘z ichiga olishi mumkin.
Kodlash prinsipi bо‘yicha triggerlar ikki keng guruhga – statik va dinamik
triggerlarga bо‘linadi:
1) statik triggerlarda sxema turg‘un holatlarining har bir tok kuchining va
kuchlanish sathlarining tafovuti bilan xarakterlansa;
2) dinamik triggerlarda sxema holatlari ma’lum amplitudaga davomiylikka ega
bо‘lgan chiqish yо‘li impulslari borligi yoki yо‘qligi bilan xarakterlanadi.
Informatsiyani kiritish (yozish) usuli bо‘yicha triggerlar asinxron va sinxron
(taktlanuvchi) triggerlarga bо‘linadi.
- Asinxron triggerlarda har qanday vaqtda kirish yо‘lidagi axborot xabarlar
triggerning tegishli («1 » yoki «0 ») holatini bir ma’noda aniqlaydi, ya’ni kirish yо‘lidagi
axborotning о‘zgarishi trigger holatini darhol (о‘tish jarayoni tugashi bilan) о‘zgarishiga
olib keladi.
- Sinxron (taktlovchi) triggerlar qо‘shimcha kirish yо‘liga ega bо‘lib, bu yо‘ldan
sinxronlovchi (taktlovchi) impulslar beriladi. Sinxron triggerlarga informatsiya faqat
navbatdagi sinxronimpuls berilishi bilan kiritiladi. Trigger xolatining о‘zgarishiga
sinxronimpulsning qaysi qismi sabab bо‘lishiga qarab sinxronimpuls sathi orqali
boshqariluvchi va sinxronimpuls fronta orqali boshqariluvchi triggerlarni farqlaydi.
Sinxronimpuls sathi orqali boshqariluvchi triggerlarda axborot sinxronimpuls
davomiyligi mobaynida ta’sir etadi. Mana shuncha vaqt ichida axborot xabarlarni
о‘zgarishi trigger holatining о‘zgarishiga olib keladi. Bunday triggerlarda sinxronimpuls
davomiyligi triggerning bir holatdan ikkinchi holatga о‘tish vaqtidan kichik bо‘lishi shart.
Ishlatiladigan turli sinxroipmulslar soniga qarab bir taktli va kо‘p taktli triggerlarni
ajratish mumkin. Boshqarish sxemasi bajaradigan mantiqiy funksiyaga nisbatan integral
triggerning keng tarqalgan turlari quyidagicha:
— RS-trigger;
— D-trigger;
— T-trigger;
— DV, TV-trigger;
— JK-trigger ;
— Murakkab mantiqli triggerlar.
RS — trigger
Asinxron RS-trigger deb ikkita S va R informatsion kirish yо‘liga ega bо‘lgan ikki
turg‘un muvozanat holati qurilmasiga aytiladi. S triggerni birlik holatiga о‘tkazuvchi
kirish yо‘li, R esa, triggerning tо‘liq holatiga о‘tkazuvchi kirish yо‘li.
Asinxron RS — triggerining о‘tish jadvali (ishlash jadvali).
S
R
Qn
Qn+1
0
0
0
0
0
0
1
1
0
1
0
0
0
1
1
0
1
0
0
1
1
0
1
1
1
1
0
x
1
1
1
x
S,R — triggerning kirish yо‘llari;
Qn — triggerning oldingi holati;
Qn+1 — triggerning keyingi holati;
x — noaniqlik shartli belgisi;
T
S
RS — triggerining belgilanishi
R
D trigger xabarni qisqa vaqt (1taktga) saqlab turish triggeri
D
Qn
Qn+1
0
0
0
0
1
0
1
0
1
1
1
1
T
D
D — triggerining belgilanishi
T-trigger — bir xonali sanagich. Har bir xabarga о‘z holatini о‘zgartiradi.
T
Qn
Qn+1
0
0
0
0
1
1
1
0
1
1
1
0
T
Т
T — triggerining belgilanishi
DV, TV triggerlarning D va T — triggerlaridan farqi qо‘shimcha ruxsat beruvchi
(boshqarish) V kirish yо‘lining mavjudligi. Arap V=0 bо‘lsa, DV, TV triggerlar ishlaydi,
ya’ni oldingi holatini saqlab turadi. V=1 bо‘lsa, DV trigger D trigger singari, TV—
trigger esa T — trigger singari ishlaydi.
D
T
Ǫ
Ǭ
V
T
T
Ǫ
Ǭ
V
DV va TV — triggerlarining belgilanishi
JK – trigger – universal trigger
J
K
Qn
Qn+1
0
0
0
0
0
0
1
1
0
1
0
0
0
1
1
0
1
0
0
1
1
0
1
1
1
1
0
1
1
1
1
0
J
K
T
Ǫ
Ǭ
S
J
R
K
T
Ǫ
T
Ǭ
T
J
Ǫ
D
Ǭ
K
T
J
Ǫ
Ǭ
K
Murakkab mantiqli triggerlar
S
S ТT
J
&
С
K
&
R
ТT
J
С
K
R
S
R
S
C
R
T
S
C
R
T
Ǫ
Ǭ
C
Ikki pog‘onali sinxron RS-trigger
Triggerlar – sifat jihatidan raqamli texnikaning yangi tarkibiy elementidir. Uni mantiqiy
element deb qarab bо‘lmaydi, chunki u yangi sinf elementidir.
Trigger bu yakunlangan qurilma bо‘lib, u faqat bitta turg‘un holatda bо‘la oladi.
Umuman olganda mantiqiy elementlarning kirishlari ham kuchsiz trigger effektini bera
oladi. Mantiqiy nolni ifodalaydigan va mantiqiy birni ifodalaydigan kuchlanishlar
о‘rtasida har doim oraliq diapazon mavjud bо‘ladi. Bu diapazon mantiqiy noaniqlik deb
ataladi. Agar mantiqiy kirishda kuchlanish shu diapazonga tushib qolgan bо‘lsa, natija
qanday bо‘linishi aytib bо‘lmaydi.
Bir qancha jarayonlar hattoki chiziqli rejimga ham о‘tib qolishi mumkin. Bunday
holatlarni oldini olish uchun Shmitt trigeridan foydalaniladi. Bu trigger ikkita aniq
chegaraga ega bо‘lib, kirish kuchlanishi (signali) ushbu chegaralar orqali triggerdan
о‘tganda ikki holatdan aniq bir turg‘un holatga keladi.
1. D -triggerning nomi inglizcha " delay - kechiktirish" so’zidan olingan bo’lib,
ba’zan mashina vaqti taktiga kechiktirish triggeri deb ham yuritiladi. Sinxron D -triggerda
bitta axborot kirish yo’li mavjud. Bu triggerning ishlash qonuni berilgan 6.1-jadvalga
binoan (n  1) - taktda D -triggerning holati n - taktdagi ta’sir qilgan kirish yo’li signali
qiymatiga mos keladi. Boshqacha qilib aytganda D -trigger signalni taktga kechiktiradi.
6.1 jadval
n - takt
№
(n 1) -
Dn
Qn1
0
0
0
1
1
0
takt
Asinxron D - triggerlar (6.1-rasm) amaliy ahamiyatga ega emas, chunki bunday
sxema rolini ketma-ket ulangan invertorlardan iborat sxema bajarishi mumkin.
D
1
Э1
&
Q
&
Q
Э2
6.1-rasm. Asinxron D -trigger sxemasi.
Amalda sinxron D -triggerlar ko’p qo’llaniladi (6.2-rasm, "a"). C 1 va D  1
bo’lganda Э3 elementning chiqish yo’lida mantiqiy nol shakllanadi. Bu signal Э1 va Э4
elementlarning kirish yo’liga tasir etib triggerni birlik holatiga o’tkazadi ( Q  1 , Q  0 )
va Э4 elementni berkitadi. C 1 va D  0 bo’lganda Э3 elementning chiqish yo’lidagi
signal birlik qiymatiga, Э4 elementning chiqish yo’lidagi signal esa nollik qiymatiga ega
bo’ladi. Bu signal Э2 elementning kirish yo’liga ta’sir etib triggerni nol holatiga o’tkazadi
( Q  0 , Q  1).
Э3
D
1
Sd
Э1
&
C
Sd
T
Q
Q
D
&
1
Э4
Rd
C
Q
Q
Rd
Э2
b)
a)
6.2-rasm. Sinxron D -trigger sxemasi (a) va uning shartli belgilanishi (b).
Shunday qilib, C 1 bo’lganda triggerga har doim D kirish yo’liga berilayotgan
signalga mos axborot yoziladi. Triggerning barqaror ishlashi uchun sinxrosignal ta’sir
qilayottan vaqt mobaynida kirish yo’lidagi axborot o’zgarmasligi kerak. Sinxron D triggerlar, 6.2-rasm "b" dagidek shartli belgilanadi.
2. T -trigger bitta kirish yo’lli sxemadir (T - harfi inglizcha " toggle " - uzib-ulagich
so’zidan olingan). Uning ishlash qonuni 6.2-jadvalda keltirilgan. Bu trigger sanoq
rejimida ishlagani uchun ba’zan u sanoq triggeri (sanoq kirish yo’lli yoki umumiy kirish
yo’lli trigger) deb ataladi.
6.2 jadval
№
n - takt
(n 1) -
Tn
Qn1
0
0
Qn
1
1
Qn
takt
T -trigger har bir kirish yo’li signalining berilishi bilan o’zining holatini teskarisiga
o’zgartiradi. 6.3-rasm "a"da teskari bog’lanishida Э5 va Э6 kechiktirish elementlari
bo’lgan triggerning oddiy sxemasi ko’rsatilgan. Kechiktirish elementlari triggerning
boshqa holatga o’tishida ishonchlilikni taminlash uchun zarur hisoblanadi. Kechiktirish
vaqti ( tkech ) sinxrosignal davomliligidan kichik bo’lmasligi shart. T -triggerda
sinxrosignal kirish yo’li triggerning kirish yo’li hisoblanadi.
Faraz kilaylik, Q  1 , Q  0 . Triggerning sanoq yo’liga berilgan signal Э4
elementning chiqish yo’lida nollik signalining paydo bo’lishiga va ketma-ket Q  0 , Q  1
signallarining o’rnatilishiga, yani triggerning nollik holatiga o’tishiga olib keladi. Bu
vaqtda Э3 elementning chiqish yo’li o’zgarmaydi, chunki uning kirish yo’lida tkech
mobaynida Q chiqish yo’lidan Э5 kechiktirish elementi orqali nollik signal ta’sir qiladi.
Sanoq signalining ta’siri tugashi bilan Э3 va Э4 elementlarning chiqish
yo’llaridagi signallar birlik qiymatiga ega bo’ladilar, Э3 elementning kirish yo’liga esa
Э5 kechiktirish elementi orqali Q chiqish yo’lidan ruxsat beruvchi signal beriladi.
Natijada keyingi sanoq signali triggerni boshlang’ich holatiga o’tkazadi.
Э5
Э3
&
T
&
Э4
Sd
&
Rd
&
Q
Q
T
Sd
T
Q
Q
Rd
Э6
a)
b)
6.3-rasm. T -trigger sxemasi (a) va uning shartli belgilanishi (b).
Э5 va Э6 elementlar teskari bog’lanish signallarini kechiktirish uchun xizmat
qiladi. Aks holda sanoq signali ta’siri vaqtida trigger bir necha bor o’z holatini
o’zgartirishi mumkin. Integral triggerlarda kechiktirish elementi vazifasini mantiqiy
elementlar yoki zaryad to’plovchi yarimo’tkazgich elementlari o’taydi. T -triggerlar 6.3rasm "b" dagidek shartli belgilanadi.
3. JK -triggerlar – ikki pog’onali universal sinxron trigger.
JK -triggerning ishlash qonuni 6.3 jadvalda berilgan. Bu jadvaldan ko’rinib
turibdiki, J  K 1 bo’lganda JK -trigger sanoq rejimidek oldingi holatni invertirlasa,
qolgan kombinatsiyalarda RS -trigger kabi ishlaydi. Bunda J kirish yo’li (inglizcha jerk
– keskin ulash so’zidan olingan) S ga, K kirish yo’li (inglizcha kill - keskin uzish
so’zidan olingan) R ga ekvivalentdir. 6.3-jadvalga binoan JK -triggerni RS -trigger
assosida chiqish yo’llarini kirish yo’llari bilan bog’lash orqali olish mumkin. Bunda
boshqarish sxemasini shunday tanlash lozimki, triggerning o’zidagi kirish yo’llarida bir
J  K 1 bo’lganida boshqarish
vaqtda birlik signallari paydo bo’lmasin. Natijada
sxemasi triggerni sanoq rejimida ishlashga majbur qiladi.
6.3 – jadval
(n 1) -
n - takt
№
Jn
Kn
Qn1
0
0
0
Qn
1
0
1
0
2
1
0
1
3
1
1
Qn
takt
Asinxron JK -triggerning sxemasi 6.4-rasmda berilgan. Faraz qilaylik, trigger
birlik holatida bo’lsin ( Q  1 , Q  0 ). J  0 , K  1 signal berilsa Э4 elementning chiqish
yo’lida nollik signal hosil bo’ladi va bu signal tasirida trigger nollik holatiga o’tadi.
Shunga o’xshash, Q  0 , Q  1 da J  1 , K  0 signallar ta’sirida trigger birlik holatiga
o’tadi.
J
&
Э1
&
Q
&
Q
Э3
K
Э4
&
Э2
6.4-rasm. Asinxron JK -triggerning sxemasi.
Triggerning kirish yo’llarida bir vaqtning o’zida J  1 , K  1 signallari paydo
bo’lsa, trigger qanday holatda ekanligidan qat’iy nazar, u teskari holatiga o’tadi. Masalan,
Q 1 , Q 0
bo’lsin. Bu holda J  1 , K  1 signallari paydo bo’lsa, Э4 elementning
chiqish yo’lida nollik signal paydo bo’ladi va demak Q  0 , Q  1. Ya’ni, trigger nollik
holatiga o’tadi. Shunga o’xshash, keyingi J  K 1 signal berilishi bilan trigger teskari
holatiga o’tadi.
Sinxrosignal bilan boshqariluvchi sinxron JK -triggerni asinxron trigger asosida
sinxrosignal beriladigan kirish yo’lini qo’shish bilan olish mumkin (6.5-rasm). Bu
sxemaning ishlash printsipi sinxron triggernikidan unchalik farq qilmaydi. Farqi shuki,
sinxron triggerga axborot faqat sinxrosignal berilgandagina kiritiladi. 6.5-rasmda 0 va 1
simvollar orqali triggerning sanoq rejimida ishlashidagi sinxrosignal berilgunga kadar
(qavssiz), ta’siri vaqtida (kichik qavs ichidagi), ta’siri tugagandan so’ngi (o’rta qavs
ichidagi) kirish va chiqish yo’llari signallarining qiymati aks ettirilgan.
Sinxron JK -trigger 6.5, "b" rasm dagidek shartli belgilanadi.
J 1(1)[1]
Э1
&
&
1(0)[1]
C 0(1)[0]
K 1(1)[1]
Sd
Э3
&
Q
0(1)[1]
1(0)[1]
&
1(0)[0]
Q
Rd
Э4
T
Sd
Q
J
C
Q
K
Rd
Э2
a)
b)
6.5-rasm. Sinxron JK -trigger sxemasi (a) va uning shartli belgilanishi (b).
Xulosa
Ushbu mustaqil ishda men Trigger – ikkita turg‘un muvozanat holatiga ega bо‘lgan
qurilma bо‘lib, ikkilik sanoq sistemasida ifodalangan informatsiyani ishlash, xotirlash
uchun mо‘ljallangan. Triggerlar – aktiv xotira elementi bо‘lib, unda mantiqiy
о‘zgaruvchini ifodalash uchun ikkita kuchlanish sathi ishlatiladi. Umumiy holda trigger
xotirlovchi element (triggerning о‘zi) hamda boshqarish sxemasi birikmasidan iborat
bо‘lib, bir necha mantiqiy elementlarni о‘z ichiga olishi mumkin ligini o’rgandim.
Adabiyotlar ro`yhati
1. Орлов С. А., Цилькер Б. Я. Организация ЭВМ и систем: Учебник для вузов.2-е
изд. — СПб.: Питер, 2011. — 688 с.: ил.
2. Таненбаум Э. Архитектура компьютера. 6-е. издание. СПб.: Питер, 2013. - 816 с.
3. Мусаев М.М., Кахҳаров А.А., Каримов М.М. Сборка узлов компьютерных сетей.
Учебноепособие.- Т, ИТПД им. Чулпана, 2007.-152с.
4. Musayev M.M., Qahhorov A.A.,Karimov M.M. Kompyuter tarmoqlarini yig`ish. Akademik
litsey va kollejlari uchun o`quv qollanma. T.:”ILM ZIYO”, 2006.-160 b.
5. ManojFrankling – Computer Architecture and Organization: From Software to Hardware,
University of Maryland, College Park, ©Manoj Frankling-2007, 489 p.
Internet saytlari
1.
http://www.intuit.ru
2.
http://www.kgtu.runnet.ru
3.
http://www.piter.com
4.
http://www.unesco.kemsu.ru
5.
items.itportal.uz
Download