Uploaded by fenglin.subscribe

Mak and Martins - 2012 - High-Mixed-Voltage Analog and RF Circuit Techniqu

advertisement
Analog Circuits and Signal Processing
Series Editors:
Mohammed Ismail. The Ohio State University
Mohamad Sawan. École Polytechnique de Montréal
For further volumes:
http://www.springer.com/series/7381
Pui-In Mak Rui Paulo Martins
High-/Mixed-Voltage
Analog and RF Circuit
Techniques for Nanoscale
CMOS
Pui-In Mak
University of Macau
Taipa, Macao, China
Rui Paulo Martins
University of Macau
Taipa, Macao, China
ISBN 978-1-4419-9538-4
ISBN 978-1-4419-9539-1 (eBook)
DOI 10.1007/978-1-4419-9539-1
Springer New York Heidelberg Dordrecht London
Library of Congress Control Number: 2012933993
# Springer Science+Business Media New York 2012
This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of
the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations,
recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or
information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar
methodology now known or hereafter developed. Exempted from this legal reservation are brief excerpts
in connection with reviews or scholarly analysis or material supplied specifically for the purpose of being
entered and executed on a computer system, for exclusive use by the purchaser of the work. Duplication
of this publication or parts thereof is permitted only under the provisions of the Copyright Law of the
Publisher’s location, in its current version, and permission for use must always be obtained from
Springer. Permissions for use may be obtained through RightsLink at the Copyright Clearance Center.
Violations are liable to prosecution under the respective Copyright Law.
The use of general descriptive names, registered names, trademarks, service marks, etc. in this
publication does not imply, even in the absence of a specific statement, that such names are exempt
from the relevant protective laws and regulations and therefore free for general use.
While the advice and information in this book are believed to be true and accurate at the date of
publication, neither the authors nor the editors nor the publisher can accept any legal responsibility for
any errors or omissions that may be made. The publisher makes no warranty, express or implied, with
respect to the material contained herein.
Printed on acid-free paper
Springer is part of Springer Science+Business Media (www.springer.com)
Weng-Ieng & Long-Cheng
Dita & Filipa, Diogo, Guilherme e Maria
Preface
The scope of more intelligent and higher data rate wireless connectivity in the
coming decades continuously push the performance envelope of wireless circuits
and systems. Higher integration level, more functionality, less cost and lower power
are the obvious goals. For the radio front-ends, inductorless broadband solutions
possess the highest potential to economically realize low-power multi-standard
solutions in nm-length CMOS technologies. The rapid downscaling of transistor
sizes and gate-oxide thickness, however, involves rapid reduction of supply voltage
for reliability. This fact, in addition to the changed device features such as lower
intrinsic gain and linearity, urges for more feasible techniques from different
dimensions, such that the performances can be aligned continuously with the
expectation from the global wireless chip industry.
In this book, high-/mixed-voltage analog and RF circuits are investigated as the
prospective solution for the next generation of wireless products in nm-length
CMOS technologies. The content starts by overviewing the design considerations,
pros and cons of high-/mixed-voltage circuits before describing three tailor-made
circuit designs targeting the mobile-TV applications. Mobile TV is recognized as
one of the key functions of handheld devices such as smart phones.
The first design is a 90-nm CMOS ultra-wideband low-noise amplifier with mixedvoltage ESD protection for handling the full-band of mobile TV. The second is a 90-nm
CMOS high-voltage-enabled mobile-TV RF front-end with TV-GSM interoperability.
The third is a 65-nm CMOS mixed-voltage unified full-band mobile-TV receiver frontend averting any external balun, while measuring favorably performances with respect
to the state-of-the-art.
Most techniques are generally extendable to different types of wireless systems
in ultra-scaled CMOS technologies.
Taipa, Macao, China
Pui-In Mak
Rui Paulo Martins
vii
Acknowledgments
We are grateful to Macao Science and Technology Development Fund (FDCT)
and Research Committee of University of Macau (UM), for funding the project and
equipments of the State Key Laboratory of Analog and Mixed-Signal VLSI.
We thank Mr. Ka-Fai Un and Miao Liu for their contribution to the design of the
multi-phase local oscillator generator and high-voltage amplifier, respectively.
We thank the staff at Springer, particularly Chuck Glaser, for guiding the
preparation of this book.
Finally, we send our heartfelt appreciation to our families, who endured our
dedication to this book.
ix
Contents
1
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.1 The Global Development of Wireless Technologies . . . . . . . . . . . . . . . . .
1.2 Analog and RF Circuits in Nanoscale CMOS . . . . . . . . . . . . . . . . . . . . . . . .
1.3 Research Objectives. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.4 Organization of the Book . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2
General Considerations of High-/Mixed-VDD Analog
and RF Circuits and Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.2 System Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.3 Device Reliability in Ultra-scaled Processes . . . . . . . . . . . . . . . . . . . . . . . . .
2.3.1 AMR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.3.2 HCI Lifetime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.3.3 TDDB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.3.4 NBTI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.3.5 Punchthrough. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.4 Extend the Voltage Capability of Thinand Thick-Oxide Transistors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.5 High-/Mixed-Voltage Building Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.5.1 Power Amplifier and Wideband Balun-LNA
(High-VDD þ Mixed-Transistor) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.5.2 Passive Mixers (High-VDD þ Thin-Oxide Transistor) . . . . . . .
2.5.3 Differential Pair (High-VDD þ Thin-Oxide Transistor) . . . . . .
2.5.4 Recycling Folded Cascode Operational Amplifier
(High-VDD þ Thin-Oxide Transistor) . . . . . . . . . . . . . . . . . . . . . . . .
2.5.5 OpAmp-Based Analog-Baseband Circuits
(Mixed-VDD þ Mixed-Transistor) . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.5.6 Low-Dropout-Regulator
(Mixed-VDD þ Mixed-Transistor) . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1
1
2
2
6
7
9
9
9
11
11
11
11
12
12
12
14
14
15
17
18
27
29
xi
xii
Contents
2.5.7
Sample-and-Hold Amplifier
(High-VDD þ Mixed-Transistor) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.5.8 Line Driver (High-VDD þ Thin-Oxide Transistor). . . . . . . . . . .
2.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3
4
5
31
32
33
33
A Full-Band Mobile-TV LNA with Mixed-Voltage
ESD Protection in 90-nm CMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2 Circuit Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.1 Full-Band Mobile-TV Tuner Architecture . . . . . . . . . . . . . . . . . . .
3.2.2 PMOS-Based Open-Source Input Structure
and Mixed-Voltage ESD Protection . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2.3 Double Current Reuse for gm-Enhancement. . . . . . . . . . . . . . . . . .
3.2.4 Single-Stage Thermal-Noise Cancellation. . . . . . . . . . . . . . . . . . . .
3.3 Key Practical Design Issues. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.3.1 Package Effect on Input Impedance Match . . . . . . . . . . . . . . . . . .
3.3.2 Self-Startup Constant-gm Bias Circuit . . . . . . . . . . . . . . . . . . . . . . . .
3.3.3 Power-Up/Down Control with Reliability Concern . . . . . . . . . .
3.3.4 Mixed-Voltage ESD Protection Scheme . . . . . . . . . . . . . . . . . . . . .
3.4 Simulation Results, Discussions and Benchmarks. . . . . . . . . . . . . . . . . . . .
3.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
36
39
41
43
43
44
45
45
46
51
53
A High-Voltage-Enabled Mobile-TV RF Front-End
in 90-nm CMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.2 Tuner Architecture for TV-GSM Interoperation. . . . . . . . . . . . . . . . . . . . . .
4.3 On/Off-Chip Circuit Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.1 Basic-Cell of the LNA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.2 ESD Protection Scheme. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.3 Programmable C-2C Attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.4 I/Q Mixer Drivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.5 Customized External Preselect Filters . . . . . . . . . . . . . . . . . . . . . . . .
4.3.6 Feedforward Gain Roll-Off Compensation . . . . . . . . . . . . . . . . . . .
4.4 Experimental Results, Discussions and Benchmarks. . . . . . . . . . . . . . . . .
4.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
55
55
55
58
58
64
64
68
70
72
73
78
78
A Mixed-Voltage Unified Receiver Front-End for Full-Band
Mobile TV in 65-nm CMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.2 RFE Architecture and Technology Features. . . . . . . . . . . . . . . . . . . . . . . . . .
5.3 Wideband Balun-LNA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
81
81
83
84
35
35
36
36
Contents
xiii
5.3.1
5.3.2
CG-CS Noise-Canceling Balun-LNA . . . . . . . . . . . . . . . . . . . . . .
Proposed Gain-Boosting Current-Balancing
Balun-LNA with VGC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.4 Current-Reuse Mixer-LPF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.4.1 Circuit Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.4.2 Noise Figure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.4.3 Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.5 Multi-phase LOG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.5.1 Brief Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.5.2 Open Loop Multi-phase LO Generators
(Conventional and Proposed) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.5.3 Circuit Implementation and Simulation Results. . . . . . . . . . . .
5.6 Measurement Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.1 The RFE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.2 The LOG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.3 Performance Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.4 Architecture Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.7 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
103
105
108
109
113
113
115
117
118
Conclusions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
6.1 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
6.2 Recommendations for Future Research. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
121
121
122
Appendix: Open-Loop Multiphase LO Generators . . . . . . . . . . . . . . . . . . . . . . .
125
Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
143
6
84
85
96
96
100
101
102
102
Abbreviations
1/f
AC
ADC (A/D)
AMR
ATT
Flicker noise
Alternating current
Analog-to-digital converter
Absolute maximum rating
Attenuator
BB
BiCMOS
BPF
BSF
BTI
BUF
BW
Baseband
Bipolar complementary metal oxide semiconductor
Bandpass filter
Band-selection filter
Bias temperature instability
Buffer
Bandwidth
CG
CG
CLKGEN
CM
CMFB
CMOS
CMRR
CQFP
CS
CSF
CT
Conversion gain
Common-gate
Clock generator
Common mode
Common mode feedback
Complementary metal oxide semiconductor
Common-mode rejection ratio
Ceramic quad flat-pack
Common-source
Channel-selection filter
Continuous-time
DC
DMB-T
DRC
DSB
DSP
DVB-T/H
Direct current
Digital multimedia broadcasting-terrestrial
Design rule check
Double-side band
Digital signal processor
Digital video broadcasting-terrestrial/handheld
xv
xvi
Abbreviations
ESD
Electrostatic discharge
FET
FF
FS
FS
Field effect transistor
Fast-fast (corner)
Fast-slow (corner)
Frequency synthesizer
GBW
GSM
Gain-bandwidth product
Global system for mobile communications
HBM
HCI
HD2/HD3
HPF
HR/HRR
Human body model
Hot carrier injection
Second-/third-order harmonic distortion
Highpass filter
Harmonic rejection (ratio)
I
I/P
IC
IEEE
IIR
IM3
IP3
IRR
ISDB-T
ISM
ISSCC
I-to-V
In phase
Input
Integrated circuit
Institute of electrical and electronics engineering
Infinite-impulse response
Third-order intermodulation distortion
Third-order intercept point
Image-rejection ratio
Integrated services digital broadcasting-terrestrial
Industrial, scientific and medical
IEEE international solid-state circuits conference
Current-to-voltage
JSSC
IEEE journal of solid-state circuits
LDO
LIF
LNA
LO
LOG
LPF
LTCC
LV
LVS
Low-dropout regulator
Low IF
Low-noise amplifier
Local oscillator
Local oscillator generator
Lowpass filter
Low-temperature co-fired ceramic
Low voltage
Layout versus schematic
MOSFET
Metal-oxide semiconductor field-effect transistor
NF
Noise figure
O/P
OFDM
OpAmp
OTA
Output
Orthogonal frequency-division multiplexing
Operational amplifier
Operational transconductance amplifier
Abbreviations
xvii
PCB
PLL
PM
PVT
Printed-circuit board
Phase-locked loop
Phase margin
Process, supply voltage and temperature
Q
QAM
Quadrature phase/quality factor
Quadrature amplitude modulation
RC
RF
RFE
RX
Resistor-capacitor
Radio frequency
Receiver front-end
Receiver
S2D
SAW
SF
SFDR
SoC
SS
Single-to-differential
Surface acoustic wave
Slow-fast (corner)
Spurious-free dynamic range
System-on-chip
Slow-slow (corner)
TDDB
T-DMB
TX
TXR
Time dependent dielectric breakdown
Terrestrial-digital multimedia broadcasting
Transmitter
Transceiver
UHF
UWB
Ultra-high frequency
Ultra wideband
VCO
VGC
VHF
V-to-I
Voltage control oscillator
Variable gain control
Very-high frequency
Voltage-to-current
WLAN
Wireless local area network
ZIF
Zero IF
Download