Uploaded by Valerii

Marantz-SR-5005-Service-Manual

advertisement
Service
Manual
SR5005 /N1SG/N1B/U1B
/K1Bs
SR5005
AV Surround Receiver
• For purposes of improvement, specifications and design are subject to change without notice.
• Please use this service manual with referring to the operating instructions without fail.
• Some illustrations using in this service manual are slightly different from the actual set.
SR5005
S0158-1V03DM/DG1010
Copyright 2010 D&M Holdings Inc. All rights reserved.
WARNING: Violators will be prosecuted to the maximum extent possible.
Ver. 3
Please refer to the
MODIFICATION NOTICE.
MARANTZ DESIGN AND SERVICE
Using superior design and selected high grade components, MARANTZ company has created the ultimate in stereo sound.
Only original MARANTZ parts can insure that your MARANTZ product will continue to perform to the specifications for
which it is famous.
Parts for your MARANTZ equipment are generally available to our National Marantz Subsidiary or Agent.
ORDERING PARTS :
Parts can be ordered either by mail or by Fax.. In both cases, the correct part number has to be specified.
The following information must be supplied to eliminate delays in processing your order :
1. Complete address
2. Complete part numbers and quantities required
3. Description of parts
4. Model number for which part is required
5. Way of shipment
6. Signature : any order form or Fax. must be signed, otherwise such part order will be considered as null and void.
USA
EUROPE / TRADING
D&M EUROPE B. V.
P. O. BOX 8744, BUILDING SILVERPOINT
BEEMDSTRAAT 11, 5653 MA EINDHOVEN
THE NETHERLANDS
PHONE : +31 - 40 - 2507844
FAX
: +31 - 40 - 2507860
MARANTZ AMERICA, INC
100 CORPORATE DRIVE
MAHWAH, NEW JERSEY 07430
USA
CANADA
D&M Canada Inc.
5-505 APPLE CREEK BLVD.
MARKHAM, ONTARIO L3R 5B1
CANADA
PHONE : 905 - 415 - 9292
FAX
: 905 - 475 - 4159
KOREA
JAPAN
D&M SALES AND MARKETING KOREA LTD.
2F,YEON BLDG.,
88-5, BANPO-DONG, SEOCHO-GU,
SEOUL KOREA
PHONE : +82 - 2 - 715 - 9041
FAX
: +82 - 2 - 715 - 9040
D&M Holdings Inc.
D&M BUILDING, 2-1 NISSHIN-CHO,
KAWASAKI-KU, KAWASAKI-SHI,
KANAGAWA, 210-8569 JAPAN
CHINA
D&M SALES AND MARKETING SHANGHAI LTD.
ROOM.808 SHANGHAI AIRPORT CITY TERMINAL
NO.1600 NANJING (WEST) ROAD, SHANGHAI,
CHINA. 200040
TEL
: 021 - 6248 - 5151
FAX
: 021 - 6248 - 4434
NOTE ON SAFETY :
Symbol z Fire or electrical shock hazard. Only original parts should be used to replaced any part marked with symbol z .
Any other component substitution (other than original type), may increase risk of fire or electrical shock hazard.
安全上の注意:
zがついている部品は、安全上重要な部品です。必ず指定されている部品番号の部品を使用して下さい。
SHOCK, FIRE HAZARD SERVICE TEST :
CAUTION : After servicing this appliance and prior to returning to customer, measure the resistance between either primary
AC cord connector pins (with unit NOT connected to AC mains and its Power switch ON), and the face or Front Panel of
product and controls and chassis bottom.
Any resistance measurement less than 1 Megohms should cause unit to be repaired or corrected before AC power is applied,
and verified before it is return to the user/customer.
Ref. UL Standard No. 60065.
In case of difficulties, do not hesitate to contact the Technical
Department at above mentioned address.
091105DM/DG
2
SAFETY PRECAUTIONS
The following check should be performed for the continued protection of the customer and service technician.
LEAKAGE CURRENT CHECK
Before returning the unit to the customer, make sure you make either (1) a leakage current check or (2) a line to chassis
resistance check. if the leakage current exceeds 0.5 milliamps, or if the resistance from chassis to either side of the
power cord is less than 460 kohms, the unit is defective.
Be sure to test for leakage current with the AC plug in both polarities, in addition, in each power ON, OFF and STANDBY
mode, if applicable.
CAUTION Please heed the points listed below during servicing and inspection.
◎ Heed the cautions!
◎ Inspect for safety after servicing!
Spots requiring particular attention when servicing, such
as the cabinet, parts, chassis,etc., have cautions indicated
on labels. be sure to heed these causions and the
cautions indicated in the handling instructions.
Check that all screws, parts and wires removed or
disconnected for servicing have been put back in their
original positions, inspect that no parts around the area
that has been serviced have been negatively affected,
conduct an inslation check on the external metal
connectors and between the blades of the power plug,
and otherwise check that safety is ensured.
◎ Caution concerning electric shock!
(1) An AC voltage is impressed on this set, so touching
internal metal parts when the set is energized could
cause electric shock. Take care to avoid electric
shock, by for example using an isolating transformer
and gloves when servicing while the set is energized,
unplugging the power cord when replacing parts, etc.
(Insulation check procedure)
Unplug the power cord from the power outlet, disconnect
the antenna, plugs, etc., and turn the power switch on.
Using a 500V insulation resistance tester, check that the
inplug and the externally exposed metal parts (antenna
terminal, headphones terminal, input terminal, etc.) is
1MΩ or greater. If it is less, the set must be inspected and
repaired.
(2) Tere are high voltage parts inside. Handle with extra
care when the set is energized.
◎ Caution concerning disassembly and
assembly!
Through great care is taken when manufacturing parts
from sheet metal, there may in some rare cases be burrs
on the edges of parts which could cause injury if fingers
are moved across them. Use gloves to protect your hands.
CAUTION Concerning important safety
parts
Many of the electric and structural parts used in the set
have special safety properties. In most cases these
properties are difficult to distinguish by sight, and using
replacement parts with higher ratings (rated power and
withstand voltage) does not necessarily guarantee that
safety performance will be poreserved. Parts with safety
properties are indicated as shown below on the wiring
diagrams and parts lists is this service manual. Be sure to
replace them with parts with the designated part number.
◎ Only use designated parts!
The set's parts have specific safety properties (fire
resistance, voltage resistance, etc.). For replacement
parts, be sure to use parts which have the same
poroperties. In particular, for the important safety parts
that are marked z on wiring diagrams and parts lists, be
sure to use the designated parts.
◎ Be sure to mount parts and arrange the wires
as they were originally!
(1) Schematic diagrams ......Indicated by the z mark.
(2) Parts lists ......Indicated by the z mark.
Using parts other than the designated
parts could result in electric shock, fires
or other dangerous situations.
For safety seasons, some parts use tape, tubes or other
insulating materials, and some parts are mounted away
from the surface of printed circuit boards. Care is also
taken with the positions of the wores omsode amd clamps
are used to keep wires away from heating and high
voltage parts, so be sure to set everything back as it was
originally.
3
NOTE FOR SCHEMATIC DIAGRAM
WARNING:
Parts marked with this symbol z have critical characteristics. Use ONLY replacement parts recommended by the manufacturer.
CAUTION:
Before returning the unit to the customer, make sure you make either (1) a leakage current check or (2) a line to chassis resistance check. If the
leakage current exceeds 0.5 milliamps, or if the resistance from chassis to either side of the power cord is less than 460 kohms, the unit is defective.
WARNING:
DO NOT return the unit to the customer until the problem is located and corrected.
NOTICE:
ALL RESISTANCE VALUES IN OHM. k=1,000 OHM / M=1,000,000 OHM
ALL CAPACITANCE VALUES IN MICRO FARAD. P=MICRO-MICRO FARAD EACH VOLTAGE AND CURRENT ARE MEASURED AT NO SIGNAL
INPUT CONDITION. CIRCUIT AND PARTS ARE SUBJECT TO CHANGE WITHOUT PRIOR NOTICE.
NOTE FOR PARTS LIST
1. Parts for which "nsp" is indicated on this table cannot be supplied.
2. When ordering of part, clearly indicate "1" and "I" (i) to avoid mis-supplying.
3. Ordering part without stating its part number can not be supplied.
4. Part indicated with the mark "★" is not illustrated in the exploded view.
5. Not including General-purpose Carbon Film Resistor in the P.W.Board parts list. (Refer to the Schematic Diagram for those parts.)
6. Not including General-purpose Carbon Chip Resistor in the P.W.Board parts list. (Refer to the Schematic Diagram for those parts.)
WARNING: Parts marked with this symbol z have critical characteristics. Use ONLY replacement parts recommended by the manufacturer.
● Resistors
Ex.:
RN
Type
RD :
RC :
RS :
RW:
RN :
RK :
14K
2E
Shape
and performance
Carbon
Composition
Metal oxide film
winding
Metal film
Metal mixture
* Resistance
1 8 2
2B
2E
2H
3A
3D
3F
3H
Power
:
:
:
:
:
:
:
1/8 W
1/4 W
1/2 W
1 W
2 W
3 W
5 W
182
G
FR
Resistance
Allowable
error
Others
F
G
J
K
M
:
:
:
:
:
±1%
±2%
±5%
±10%
±20%
P :
NL :
NB :
FR :
F :
Pulse-resistant type
Low noise type
Non-burning type
Fuse-resistor
Lead wire forming
1800ohm=1.8kohm
Indicates number of zeros after effective number.
2-digit effective number.
1 R
2
: Units: ohm
1.2ohm
1-digit effective number.
2-digit effective number, decimal point indicated by R.
● Capacitors
Ex.:
CE
Type
04W
Shape
and performance
CE : Aluminum foil
electrolytic
CA : Aluminium solid
electrolytic
CS :
CQ :
CK :
CC :
Tantalum electrolytic
Film
Ceramic
Ceramic
CP :
CM:
CF :
CH :
Oil
Mica
Metallized
Metallized
1H
3R2
Dielectric
strength
Capacity
0J
1A
1C
1E
1V
1H
2A
:
:
:
:
:
:
:
6.3 V
10 V
16 V
25 V
35 V
50 V
100 V
2B
2C
2D
2E
2H
2J
:
:
:
:
:
:
125 V
160 V
200 V
250 V
500 V
630 V
M
F
G
J
K
M
Z
:
:
:
:
:
:
:
P :
C :
D :
= :
BP
Allowable
error
Others
±1%
±2%
±5%
±10%
±20%
HS :
BP :
HR :
DL :
HF :
High stability type
Non-polar type
Ripple-resistant type
For change and discharge
For assuring high requency
±80%
- 20%
+100%
±0.25pF
±0.5pF
Others
U
C
W
F
UL part
CSA part
UL-CSA part
Lead wire forming
:
:
:
:
* Capacity (electrolyte only)
2 2
2
・ Units:μF.
2 R
2200μF
Indicates number of zeros after effective number.
2-digit effective number.
2
・ Units:μF.
2.2μF
1-digit effective number.
2-digit effective number, decimal point indicated by R
* Capacity (except electrolyte)
2 2
2
・ Units:pF
2200pF=0.0022μF
2 2
Indicates number of zeros after efective number. (More than 2)
2-digit effective number.
1
・ Units:pF
・ When the dielectric strength is indicated in AC,"AC" is included after the dieelectric strength value.
4
220pF
Indicates number of zeros after effective numver. (0 or 1)
2-digit effective number.
TECHNICAL SPECIFICATIONS
n Tuner section
n Audio Section
[FM](Note: μV at 75 Ω, 0 dBf = 1 x 10–15 W)
Receiving Range (for U) :
[AM]520 kHz – 1710 kHz
[FM] 87.5 MHz – 107.9 MHz
Receiving Range (for N,K)s :
[AM]522 kHz – 1611 kHz
[FM] 87.5 MHz – 108.0 MHz
Usable Sensitivity :
[AM]18 μV
[FM]1.2 μV (12.8 dBf)
50 dB Quieting Sensitivity (for U) :
[FM]MONO 2.8 μV (20.2 dBf)
50 dB Quieting Sensitivity (for N,K)s :
[FM]MONO 2.0 μV (17.3 dBf)
STEREO 42 μV (34.5 dBf)
S/N (IHF-A) :
[FM]MONO 70 dB
STEREO 67 dB
Total harmonic Distortion (at 1 kHz) (for U) :
[FM]MONO 0.7 %
STEREO 1.0 %
Total harmonic Distortion (at 1 kHz) (for N,K)s :
[FM]MONO 0.3 %
STEREO 0.7 %
• Power amplifier
Rated output :
Front :
100 W + 100 W (8 Ω, 20 Hz – 20 kHz with 0.08 % T.H.D.)
180 W + 180 W (6 Ω, 1 kHz with 10 % T.H.D.)
Center :
100 W (8 Ω, 20 Hz – 20 kHz with 0.08 % T.H.D.)
180 W (6 Ω, 1 kHz with 10 % T.H.D.)
Surround :
100 W + 100 W (8 Ω, 20 Hz – 20 kHz with 0.08 % T.H.D.)
180 W + 180 W (6 Ω, 1 kHz with 10 % T.H.D.)
Surround Back :
100 W + 100 W (8 Ω, 20 Hz – 20 kHz with 0.08 % T.H.D.)
180 W + 180 W (6 Ω, 1 kHz with 10 % T.H.D.)
Output connectors : 6 – 8 Ω (SPEAKER A + B : 8 Ω)
• Analog
Input sensitivity/Input impedance : 165 mV/50 kΩ
Frequency response : 10 Hz – 100 kHz — ±3 dB (Source Direct mode)
S/N : 98 dB (IHF–A weighted, Source Direct mode)
n Video Section
• Standard video connectors
Input/output level and impedance : 1 Vp-p, 75 Ω
Frequency response : 5 Hz – 10 MHz — +1, –3 dB
• Standard video connectors
Input/output level and impedance :
Y (brightness) signal — 1 Vp-p, 75 Ω
PB / CB signal — 0.7 Vp-p, 75 Ω
PR / CR signal — 0.7 Vp-p, 75 Ω
Frequency response : 5 Hz – 60 MHz — +0, –3 dB
n General
Power supply (for U) : AC 120 V, 60 Hz
Power supply (for N) : AC 230 V, 50 Hz
Power supply (for K) : AC 220 V, 50 Hz s
Power consumption :
650 W
0.2 W (Standby)
3 W (CEC standby)
Maximum external dimensions :
440 (W) x 161 (H) x 389 (D) mm
Weight : 11.6 kg
n Remote Control Unit (RC010SR)
DIMENSION
146.5
30.5
440
344
5
161
86
14.5
30.0
17.5
53.5
64.0
389
245
214.5
348.5
22.5
Batteries : R03/AAA Type (two batteries)
CAUTION IN SERVICING
Initializing AV SURROUND RECEIVER
AV SURROUND RECEIVER initialization should be performed when the μcom, peripheral parts of μcom, and Digital
P.W.B. are replaced.
1. Turn off the power using ON/STANDBY button.
2. Press ON/STANDBY button while simultaneously pressing SURR.MODE and AUTO buttons.
3. Check that the entire display is flashing with an interval of about 1 second, and release your fingers from the 2
buttons and the microprocessor will be initialized.
Note:
•If step 3 does not work, start over from step 1.
•All user settings will be lost and this factory setting will be recovered when this initialization mode.
So make sure to memorize your setting for restoring after the initialization.
ON/STANDBY
AUTO
SURR.MODE
Service Jigs
When you repair the printing board, you can use the following JIG (Extension cable kit).
Please order to marantz Official Service Distributor in your region if necessary.
8U-110084S : EXTENSION UNIT KIT
(Refer to "JIG FOR SERVICING".)
: 1 Set
6
DISASSEMBLY
• Disassemble in order of the arrow of the figure of following flow.
• In the case of the re-assembling, assemble it in order of the reverse of the following flow.
• In the case of the re-assembling, observe "attention of assembling" it.
• If wire bundles are untied or moved to perform adjustment or parts replacement etc., be sure to rearrange them neatly
as they were originally bundled or placed afterward.
Otherwise, incorrect arrangement can be a cause of noise generation.
CABINET TOP
FRONT PANEL ASSY
Refer to "DISASSEMBLY
1. FRONT PANEL ASSY"
and "EXPLODED VIEW"
PCB FRONT
(Ref. No. of EXPLODED VIEW : 26)
PCB USB
(Ref. No. of EXPLODED VIEW : 59-1)
PCB PCB MIC_H/P
(Ref. No. of EXPLODED VIEW : 59-2)
RADIATOR ASSY
Refer to "DISASSEMBLY
2. RADIATOR ASSY"
and "EXPLODED VIEW"
PCB 7CH_AMP ASSY
(Ref. No. of EXPLODED VIEW : 50)
PCB GUIDE_L
(Ref. No. of EXPLODED VIEW : 60)
PCB H/S GUIDE
(Ref. No. of EXPLODED VIEW : 61)
PCB GUIDE_R
(Ref. No. of EXPLODED VIEW : 62)
PCB REG CNT/PCB REG
Refer to "DISASSEMBLY
3. PCB REG CNT/PCB REG"
and "EXPLODED VIEW"
PCB REG
(Ref. No. of EXPLODED VIEW : 53)
PCB REG_CNT
(Ref. No. of EXPLODED VIEW : 54)
HDMI UNIT ASSY
Refer to "DISASSEMBLY
4. HDMI UNIT ASSY"
and "EXPLODED VIEW"
PCB FRONT_CNT
(Ref. No. of EXPLODED VIEW : 55)
PCB SIDE_CNT
(Ref. No. of EXPLODED VIEW : 56)
PCB RS232
(Ref. No. of EXPLODED VIEW : 57)
PCB SIRIUS
(Ref. No. of EXPLODED VIEW : 58)
PCB VIDEO ASSY
(Ref. No. of EXPLODED VIEW : 63)
PCB AUDIO
(Ref. No. of EXPLODED VIEW : 64)
PCB PREOUT
(Ref. No. of EXPLODED VIEW : 65)
PCB HDMI ASSY
(Ref. No. of EXPLODED VIEW : 66)
PCB SPK/PCB SMPS
Refer to "DISASSEMBLY
5. PCB SPK/PCB SMPS"
and "EXPLODED VIEW"
PCB SPK
(Ref. No. of EXPLODED VIEW : 51)
PCB SMPS
(Ref. No. of EXPLODED VIEW : 52)
7
About the photos used for descriptions in the "DISASSEMBLY" section.
• The direction from which the photographs used herein were photographed is indicated at "Direction of photograph: ***"
at the left of the respective photographs.
• Refer to the table below for a description of the direction in which the photos were taken.
• Photographs for which no direction is indicated were taken from above the product.
• The Photographs is SR5005 N1B model.
The viewpoint of each photograph
(Photografy direction)
Direction of photograph: B
Front side
[View from above]
Direction of photograph: C
Direction of photograph: D
Direction of photograph: A
1. FRONT PANEL ASSY
Proceeding : CABINET TOP
→ FRONT PANEL ASSY
(1) Remove the screws.
View from bottom
8
(2) Disconnect the connector wires then remove the screws.
STYLEPIN : Loose
CP308
Direction of photograph: D
Direction of photograph: C
(3) Cut the wire clamp bands, then disconnect the connector wires and FFC cable. Remove the screws.
cut
N4401
FFC cable
s
Please refer to "EXPLODED VIEW" for the disassembly method of each PCB included in FRONT PANEL ASSY.
9
2. RADIATOR ASSY
Proceeding : CABINET TOP
→ FRONT PANEL ASSY
→ RADIATOR ASSY
(1) Remove the screws.
View from bottom
(2) Remove the screws.
STYLEPIN : Loose
cut
Direction of photograph: D
Direction of photograph: C
10
(3)
Disconnect the connector wires.
RADIATOR ASSY
PCB 7CH AMP
CP402
CP403
CP405
PCB 7CH AMP
CP401
N2706
Please refer to "EXPLODED VIEW" for the disassembly method of each P.W.B included in RADIATOR ASSY.
11
3. PCB REG CNT/PCB REG
Proceeding : CABINET TOP
→ FRONT PANEL ASSY
→ RADIATOR ASSY
→ PCB REG CNT/PCB REG
(1) Remove the screws.
PCB REG CNT
PCB REG
Direction of photograph: B
(2) Disconnect the connector wires and connector board.
CP102
CP104
PCB REG CNT
Direction of photograph: C
PCB REG
12
Board to board
CP13A
N2004
4. HDMI UNIT ASSY
Proceeding : CABINET TOP
→ HDMI UNIT ASSY
(1) Cut the wire clamp bands, then disconnect the connector wire and FFC cable.
N2501
cut
cut
Direction of
photograph: C
N4401
N2706
FFC cable
HDMI UNIT ASSY
s
CP13A
N2004
(2) Remove the screws, then remove the BACK PANEL.
Direction of photograph: A
13
(3) Remove the PCB RS232/SIRIUS.
Board to board
PCB SIRIUS
PCB RS232
(4) Disconnect the connector board.
Board to board
Board to board
Board to board
PCB HDMI
(5) Disconnect the connector board.
Board to board
PCB VIDEO ASSY
14
(6) Remove the screws then disconnect the connector.
Direction of photograph: A
Connector
(7) Disconnect the connector board.
PCB SIDE CNT
Board to board
PCB FRONT CNT
PCB PREOUT
PCB AUDIO
Board to board
Please refer to "EXPLODED VIEW" for the disassembly method of each P.W.B included in HDMI UNIT ASSY.
15
5. PCB SPK/PCB SMPS
Proceeding : CABINET TOP
→ HDMI UNIT ASSY
→ PCB SPK/PCB SMPS
(1) Remove the screws and SUPPORTER P.C.
SUPPORTER P.C.
View from bottom
(2) Disconnect the connector wire, then remove the screws.
Direction of
photograph: D
SUPPORTER P.C.
CX102
16
(3) Disconnect the connector wire, then remove the screws. Remove the PCB SPK/PCB SMPS from the main unit.
CP402
CP403
CP1
(4) Disconnect the connector board.
PCB SPK
PCB SMPS
Board to board
17
CP405
SPECIAL MODE
Special mode setting button
b Press the ON/STANDBY button to turn on while pressing both buttons A and B at the same time.
Mode
Button A
Button B
μcom/DSP Version display mode
STATUS
RETURN
Errors checking mode
(Displaying the protection history)
STATUS
CURSOR 0
SURR. MODE
AUTO
Panel lock mode
AUTO
ENTER
Panel lock mode
(Remove Master volume)
AUTO
CURSOR 1
Cancellation of panel lock mode
AUTO
RETURN
Initialization mode
Contents
Firmware versions such as Main, Sub, DSP are displayed in
the FL manager. Errors are displayed when they occur. (Refer
to 18 page.)
Displaying the protection history
(Refer to 19 page.)
Backup data initialization is carried out.
(Refer to 6 page.)
Operations using main unit panel buttons or master volume are
rejected.
Operations using main unit panel buttons are rejected.
Panel lock mode is cancelled.
b When power is turned on, pressing both buttons A and B at the same time for 3 seconds or more.
Mode
Button A
Button B
Contents
Mode for preventing remote control
acceptance
ZONE2
ON/OFF
RETURN
DUAL BACKUP MEMORY (Backup)
BAND
ENTER
Backup of DUAL BACKUP MEMORY is performed.
(Refer to 21 page.)
DUAL BACKUP MEMORY (Recovery)
BAND
MENU
Recovery of DUAL BACKUP MEMORY is performed.
(Refer to 21 page.)
DUAL BACKUP MEMORY
(Backup Clear)
BAND
AUTO
Backup of DUAL BACKUP MEMORY is cleared.
(Refer to 21 page.)
Operations using remote control are rejected.
Select with the CURSOR 0 1 button.
STATUS
BAND
RETURN
ON/STANDBY
AUTO
CURSOR
0 1
SURR.MODE MENU
18
ZONE2
ON/OFF
1. μcom/DSP Version display mode
1.1. Operation specifications
μcom/DSP version display mode:
When started up, the version information is displayed.
Starting up:
With the "STATUS" and "RETURN" buttons pressed, press the "ON/STANDBY" button to turn the power on.
Now, press the "STATUS" button to the display the 2nd item information on the FL Display.
1.2. Display Order
Destination information → Main-μcom version → Main 1st Boot version → Sub-μcom version →
Sub 1st Boot Loader Version → DSP version → APLD version → USB version
1.3. Error display
See the following table for each "Error information" display and its contents (status).
Display order is q,w,e,r,t.
Condition
State
State
q Sub-μcom NG
No response from Sub-μcom
SUB
ERROR
01
w DIR NG
No response from DIR
DIR
ERROR
01
When DSP boot, executing DSP reset makes no change to DSP1 FLAG0
port "H".
DSP
ERROR
01
No change to DSP FLAG0 port "H" before issuing DSP command.
DSP
ERROR
02
When DSP data read, executing WRITE="L" makes no change to ACK="H".
DSP
ERROR
03
When DSP data read, executing REQ="L" makes no change to ACK="L".
DSP
ERROR
04
When DSP data write, executing WRITE="H" makes no change to ACK="H".
DSP
ERROR
05
When DSP data write, executing REQ="L" makes no change to ACK="L".
DSP
ERROR
06
When DSP special code boot, executing DSP reset makes no change to
DSP FLAG0 port "H".
DSP
ERROR
11
No change to DSP FLAG0 port "H" before issuing DSP special read
command.
DSP
ERROR
12
No change to DSP FLAG0 port "H" before DSP version read.
DSP
ERROR
13
Error appeared in EEPROM checksum.(*** is a block address number.)
E2PROM
e DSP1 NG
r EEPROM NG
t Both SUB/DSP
/EEPROM OK
ERR***
(No error display, version display only)
19
2. Errors checking mode (Displaying the protection history)
2.1. Operation specifications
Error mode (Displaying the protection history):
When started up, the error information is displayed.
Starting up:
●All model commonness
With the "STATUS" and "CURSOR 0" buttons pressed, press the "ON/STANDBY" button to turn the power on. The error
(protection history display) mode is set.
Now, press the "STATUS" button to turn on the FL display.
2.2. About the display on the FL display
When the "STATUS" button is pressed after setting the error (protection history display) mode, a history like the one
shown below is displayed, depending on the conditions.
(1) Normally (when there has been no protection incident)
FLD
N
O
P
R
O
T
E
C
T
(2) For ASO (when the last protection incident was ASO protection)
FLD
P
R
T
:
A
S
O
Cause: The line between speaker terminals is shorted, or use speakers having impedance less than that specified.
Supplementary information: As the excess current is detected after operation of the speaker relay, the shorted
speaker terminal and the connected speaker can be identified.
Turning on the power without correcting the abnormality will cause the protection function to work about 5 seconds
later and the power supply will be shut off.
(3) For DC (when the last protection incident was DC protection)
FLD
P
R
T
:
D
C
Cause: DC output of the power amplifier is abnormal.
Turning on the power without correcting the abnormality will cause the protection function to work about 5 seconds
later and the power supply will be shut off.
(4) For THERMAL (when the last protection incident was THERMALprotection)
FLD
P
R
T
:
T
H
E
R
M
A
L
Cause: The temperature of the heat sink is excessive.
Turning on the power without correcting the abnormality will cause the protection function to work about 5 seconds
later and the power supply will be shut off.
z Additional causes of protection can be due to loose connections, associated components, Microprocessor, etc.
When the "STATUS" button is pressed again after the above protection history is displayed, the normal display reappears.
20
2.3. Clearing the protection history
There are two ways to clear the protection history, as described below.
(1) Start up the error (protection display) mode, display the error, then press and hold in the "ENTER" button for 3 seconds.
FLD
:
T
H
E
R
M
A
L
T
H
E
Press and hold in the "ENTER" button for 3 seconds.
FLD
P
R
T
:
C
L
E
A
R
The above is displayed and the protection history is cleared.
FLD
N
O
P
R
O
T
E
C
T
(2) Initialize. (Refer to "Initializing AV SURROUND RECEIVER" 6 page.)
b If you want to save a backup, use the method in 2.3.(1) above.
Warning indication by the POWER LED
If the power is turned off when a protection incident has been detected, the POWER LED (red) flashes as a warning
according to the conditions in which the protection incident occurred.
(1) ASO/DC PROTECTION : Flashes in cycles of 0.5 seconds (0.25 seconds lit, 0.25 seconds off)
(2) THERMAL PROTECTION : Flashes in cycles of 2 seconds (1 second lit, 1 second off)
21
3. DUAL BACKUP MEMORY
This product has a Dual Backup Memory function. The conventional Backup functions to memorize, in the EEPROM
(U3103) in the circuit, a current setting of the moment the main power is turned off so that it can be restored when it
is turned ON again. Meanwhile, the DUAL BACKUP MEMORY is capable of memorizing any arbitrary setting that is
configured while the product is in operation so as to restore it at any time. When servicing units returned from end-users
for repairs, use this function to back up the current setting (e.g. Tuner Preset). This will enable the units to be returned to
the users after repairs, with the setting unchanged.
NOTE : If end-users use this function, the data will be overwritten.
The contents of the memory do not disappear even if you initialize this unit.
When you erase it, refer to "3.2 SERVICE PRECAUTIONS".
s
3.1. HOW TO OPERATE
-Backup(1) Configure a setting you would like to save in the MEMORY and hold down the "BAND" and "ENTER" buttons on the
Front Panel at the same time for 3 seconds or more.
(2) The FL Display indicates "MEMORY SAVING" while the Backup is being performed.
FLD
M
E
M
O
R
Y
S
A
V
I
N
G
(3) The FL Display indicates "COMPLETE" when the Backup is completed.
FLD
C
O
M
P
L
E
T
E
-Recovery(1) Hold down the "BAND" and "MENU" buttons at the same time for 3 seconds or more.
(2) The FL Display indicates "MEMORY LOAD" while the Recovery is being performed.
FLD
M
E
M
O
R
Y
L
O
A
D
(3) After the FL Display indicates "COMPLETE", the product goes into Standby mode. When the power is restored, the
Recovery is completed.
FLD
C
O
M
P
L
E
T
E
The FL Display indicates "NO BACKUP" if the DUAL BACKUP MEMORY has not been activated with no data to be
recovered saved in the Memory.
FLD
N
O
B
A
C
K
U
P
3.2. SERVICE PRECAUTIONS
When the Flash Rom (U3103) on the HDMI PWB is replaced make sure, in order to maintain consistency with the
Backup Memory, to clear the DUAL BACKUP MEMORY in thefollowing way :
-How to clear the Backup Memory(1) Hold down the "BAND" and "AUTO" buttons at the same time for 3 seconds or more.
(2) The FL Display indicates "BACKUP CLEAR" while the memory is being cleared.
FLD
B
A
C
K
U
P
C
L
E
A
R
(3) After the FL Display indicates "COMPLETE", the operation is completed.
FLD
C
O
M
P
L
E
T
E
22
JIG FOR SERVICING
When you repair the printing board, you can use the following JIG (Extension cable kit).
Please order to marantz Official Service. Distributor in your region if necessary.
Note: When the connection which is wrong in the JIG (EXTENSION UNIT KIT) is done it becomes cause of damage.
8U-110084S
:
EXTENSION UNIT KIT
• Connection of PCB HDMI JIG
-Preparation8U-110084S : EXTENSION UNIT KIT
Insulation sheet (Do not supply it)
Ground lead (Do not supply it)
: 1 Set
: 3 sheet
: 3 pcs
-Procedures(1) Remove the screws, then remove the BACK PANEL.
(2) Remove the PCB RS232/SIRIUS.
Board to board
PCB SIRIUS
PCB RS232
(3) Disconnect the connector board.
Board to board
Board to board
Board to board
PCB HDMI
23
(4) Disconnect the connector board.
Board to board
PCB VIDEO ASSY
(5) Remove the screws then disconnect the connector.
Connector
(6) Disconnect the connector board.
PCB SIDE CNT
Board to board
PCB PREOUT
PCB VIDEO
Board to board
24
PCB FRONT CNT
(3) PCB HDMI is detached from the chassis, and it puts it into the state turned inside out.
Please pave an insulation sheet that is larger than PCB HDMI under PCB.
b Connect the ground point of PCB to the chassis with a ground lead or the like.
Ground lead
PCB INPUT
PCB VIDEO
PCB HDMI
Ground lead
Insulation sheet
(4) Connect the four extension jig cables.
PCB HDMI
7
6
5
4
3
Insulation sheet
PCB FRONT CNT
PCB HDMI
Insulation sheet
1
PCB SIDE CNT
2
Insulation sheet
PCB HDMI
9
PCB VIDEO
8
10
PCB FRONT CNT
25
PCB HDMI
12
11
Insulation sheet
13
14
15
PCB INPUT
PCB SIDE CNT
Connection table of Board to Board
No.
Pin
Ref. No.
PCB
Ref. No.
PCB
q
11 pin
CP3
SIDE CNT
N2709
HDMI
w
25 pin
CP4
SIDE CNT
N2708
HDMI
e
19 pin
CP105
FRONT CNT
N2701
HDMI
r
17 pin
CP106
FRONT CNT
N2702
HDMI
t
19 pin
CP108
FRONT CNT
N2704
HDMI
y
17 pin
CP109
FRONT CNT
N2705
HDMI
u
33 pin
CP110
FRONT CNT
N2707
HDMI
i
19 pin
CP111
FRONT CNT
N2001
VIDEO
o
17 pin
CP112
FRONT CNT
N2002
VIDEO
Q0
13 pin
CP113
FRONT CNT
N2003
VIDEO
Q11
13 pin
CP114
FRONT CNT
CX3001
INPUT
Q2
33 pin
CP115
FRONT CNT
CN3000
INPUT
Q3
19 pin
CP6
SIDE CNT
CX3000
INPUT
Q4
17 pin
CP7
SIDE CNT
CX3002
INPUT
Q5
15 pin
CP8
SIDE CNT
CX3003
INPUT
26
ABOUT REPLACE THE MICROPROCESSOR WITH A NEW ONE
When replaced of the U-PRO (Microprocessor) or the Flash ROM, confirm contents of the following.
PWB Name Ref. No.
After
replaced
Description
Remark
DIGITAL
U3102 R5F64169DFD
B
SOFTWARE: Main
DIGITAL
U3301 R5F3650KNFB
B
SOFTWARE: Sub
DIGITAL
U1903 EN29LV160BB-70TIP
B
SOFTWARE: DSP ROM
DIGITAL
U1707 EPM3032A
B
SOFTWARE: Audio PLD
After replaced
A : Mask ROM (With software). No need write-in of software to the microprocessor.
B : Flash ROM (With software). Usually, no need write-in of software. But, when the software was updated, you should be
write-in of the new software to the microprocessor or flash ROM. Please check the software version.
C : Empty Flash ROM (Without software). You should be write-in of the software to the microprocessor or flash ROM.
Refer to "Update procedure" or "writing procedure", when you should be write-in the software.
VERSION UPGRADE PROCEDURE OF FIRMWARE
1. Preparations before starting the operation
(1) Personal Computer (Installed "DFW_0002_SR5005_(Rev.1.0.6)").
(2) RS-232 cable (9P (Male), Straight).
2. Connection of the AV receiver
(1) Confirm the power on/off switch of the AV receiver is turning off.
(2) Connect the RS-232C cable from PC with the AV receiver.
RS-232C Cable
PC
3. Turn on the AV receiver
Operate the following. Turn on the AV receiver.
(1) Connect the power cable to the AC outlet while simultaneously pushing the "CURSOR 0" button and the "RETURN"
button of the front panel.
(2) Confirm the power indicator is green and “WRITTING” is displayed in the front panel.
27
4. Run the DFW
Run the "DFW_0002_SR5005_(Rev.1.0.6)" on desktop of PC.
5. Communication check
(1) Click the “Check Comm.” button.
(2) When connection is good, then you can see the “Communication check OK.” message.
(3) If connection is not good, then you can see the “Communication check NG” message.
Please confirm the following
(a) Check the connection of the AV receiver and PC. (refer to “2.Connection of the AV receiver”)
(b) Check the operation mode of the AV receiver. (refer to “3.Turn on the AV receiver”)
(c) Check the selection of the RS-232C port number of PC.
28
6. Download the firmware
(1) Click the "Load" button.
(2) Download the firmware from the specified download source to PC.
7. Complete the firmware updating
(1) Click the “Update” button.
(2) When writing of the firmware is completed, the power of this unit turns on automatically and you can see the “Update
completed” message.
(3) If you can’t complete the firmware update, please retry the firmware update from “3. Turn on the AV receiver”.
29
8. Notice:
Please keep the following notice for firmware update.
(a) Keep the PC environment
(b) Avoid the communication cable from the electrical noise source.
(e.g. telephone cable, AC line, a fluorescent light)
(c) Don’t remove cable during update.
(d) Don’t turn off the power during update.
(e) Don’t run other PC application during update.
(f) Stop the resident program on PC (Virus checker and System check utilitu, etc)
(g) Stop the screen saver on PC.
(h) Stop the power save ability on PC.
(i)
In case of laptop PC, Use the AC adaptor.
Confirming the firmware’s number after upgraded
After completion of the updating operation, the new version number can confirmed by starting up the SR5005 according
to the following procedure.
With the following operation, the SR5005 can be set to the Flash ROM Version-Number Confiemation mode.
Turn on power switch while simultaneously pressing "STATUS" and "RETURN" buttons on the front panel. Every time the
"STATUS" button is pressed, version number of the Model, Main, Sub, … are indicated on the front panel section in the
following order.
Depression
Button
1
STATUS
Model Name
Name
Remarks
2
STATUS
Main CPU
3
STATUS
4
STATUS
5
STATUS
6
STATUS
DSP ROM
_ DSP _ _ _ _ _ _ : * * . * *
7
STATUS
Audio PLD
_ A _ PLD _ : A * * * * * * *
8
STATUS
USB ROM
_ USB _ _ _ _ _ _ _ : * * *
SR5005_ _ *_ _ _ _ _ _ _
_ Main _ _ _ _ _ : * * . * *
-
_ Main _ FBL_: * * . * *
Sub CPU
_ Sub _ _ _ _ _ _ : * * . * *
-
_ Sub _ FBL _ _ : * * . * *
30
s
Symbols in the table
z1
z2
z3
z4
z5
z6
D
D
D
D
D
D
D
D
D
D
S
S
S
S
S
S
S
S
S
S
S
S
S
S
DTS NEO:6
DOLBY DIGITAL
DOLBY DIGITAL Plus
DOLBY TrueHD
DTS SURROUND
DTS 96/24
DTS-HD
DTS Express
MULTI CH STEREO
DOLBY VIRTUAL SPEAKR
Neural
Dolby Headphone
31
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
Dz2
D
D
D
D
D
D
D
D
D
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
HT-EQ.
z3
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
D. Comp
z5
Surround Parameter
DRC
z4
A signal for each channel contained in an input signal is output as audio.
Only when “Subwoofer Mode” is set to “LFE+Main” , sound is output from the subwoofer.
For HD Audio whose sampling frequency of an input signal is more than 96kHz, this sound parameter cannot be set.
This item can be selected when a Dolby TrueHD signal is played.
This item can be selected when a Dolby Digital or DTS signal is played.
This item can be selected when a Dolby Digital or DTS signal or DVD-Audio is played.
D
D
D
DOLBY PRO LOGIC g
D
D
DOLBY PRO LOGIC gx
D
D
S
D
DOLBY PRO LOGIC gz
D
D
D
S
Dz1
D
Dz2
Dz2
MULTI CH IN
Dz1
Dz1
D
D
Dz1
S
D
D
Subwoofer
S
S
DIRECT (2channel)
D
Front Height L/R
DIRECT (Multi-channel)
S
Center
Surround Back
L/R
Channel output
Surround
L/R
STEREO
S
PURE DIRECT (Multi-channel)
Front
L/R
PURE DIRECT (2channel)
Surround mode
S This indicates the audio output channels or surround parameters that can be set.
D This indicates the audio output channels. The output channels depend on the settings of “Speaker Config.”.
S
S
S
S
S
S
S
S
S
S
S
S
S
LFE
z6
SURROUND MODES AND PARAMETERS
32
z7
z8
z9
z10
z11
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
DOLBY TrueHD
DTS SURROUND
DTS 96/24
DTS-HD
DTS Express
MULTI CH STEREO
DOLBY VIRTUAL SPEAKER
Neural
Dolby Headphone
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
Dynamic
EQ®z8
Audyssey Settingsz11
This item cannot be set when “Dynamic EQ” is set to “ON”.
This item cannot be set when “MultEQ” is set to “OFF” or “Manual”.
This item cannot be set when “Dynamic EQ” is set to “OFF”.
This item can be set when the input signal is analog, PCM 48 kHz or 44.1 kHz.
For HD Audio whose sampling frequency of an input signal is more than 96 kHz, this sound parameter cannot be set.
S
S
DOLBY DIGITAL Plus
DTS NEO:6
S
S
S
S
S
S
S
S
S
S
DOLBY DIGITAL
S
DOLBY PRO LOGIC g
S
S
S
DOLBY PRO LOGIC gx
DOLBY PRO LOGIC gz
MultEQ®
S
S
Center Image
S
S
Center Width
S
S
Dimension
Tonez7
S
Panorama
MULTI CH IN
S
Height Gain
NEO:6 Music
mode only
STEREO
DIRECT (Multi-channel)
DIRECT (2 channel)
PURE DIRECT (Multi-channel)
PURE DIRECT (2 channel)
Surround mode
PRO LOGIC g/gx Music mode only
Surround Parameter
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
Dynamic
Volume®
z9
S
S
S
S
S
S
S
S
S
M-DAX
z10
33
Symbols in the table
DTS SURROUND
DTS-HD MSTR
DTS-HD HI RES
DTS ES DSCRT6.1
DTS ES MTRX6.1
DTS SURROUND
DTS 96/24
DTS (–HD) + PLgx MOVIE
DTS (–HD) + PLgx MUSIC
DTS (–HD) + PLgz
DTS EXPRESS
DTS (–HD) + NEO:6
DTS NEO:6 CINEMA
DTS NEO:6 MUSIC
DOLBY SURROUND
DOLBY TrueHD
DOLBY DIGITAL+
DOLBY DIGITAL EX
DOLBY (D+) (HD) +EX
DOLBY DIGITAL
DOLBY (D) (D+) (HD) +PLgx MOVIE
DOLBY (D) (D+) (HD) +PLgx MUSIC
DOLBY (D) (D+) (HD) +PLgz
DOLBY PRO LOGIC gx MOVIE
DOLBY PRO LOGIC gx MUSIC
DOLBY PRO LOGIC gx GAME
DOLBY PRO LOGIC gz
DOLBY PRO LOGIC g MOVIE
DOLBY PRO LOGIC g MUSIC
DOLBY PRO LOGIC g GAME
DOLBY VIRTUAL SPEAKER
DOLBY HEADPHONE
Surround mode
z1
z2
z3
z4
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
DTS
EXPRESS
S
S
S
S
S
S
S
DTS ES
DSCRT
(With Flag)
S
S
S
S
S
S
S
S
DTS ES
MTRX
(With Flag)
DTS
S
S
S
S
S
S
S
DTS
(5.1ch)
Input signal types and formats
If “Speaker Config.“ – “S.Back“ is set to “None”, this surround mode cannot be selected.
If “Speaker Config.“ – “S.Back“ is set to “1sp” or “None”, this surround mode cannot be selected.
If “Speaker Config.“ – “Front Height“ is set to “None”, this surround mode cannot be selected.
This surround mode can be selected when “Amp Assign” is set to “Normal”.
z2z4
z1z4
z3
z1z4
z1z4
z1z4
z3
z1z4
z1z4
S
S
DTS-HD
High
Resolution
Audio
DTS-HD
DTS-HD
Master
Audio
z1z4
S
S
LINEAR PCM LINEAR PCM
(multi ch)
(2ch)
S
S
S
S
S
ANALOG
PCM
z2z4
z1z4
z3
z1z4
z1z4
NOTE
S This indicates the selectable surround mode.
S
S
S
S
S
S
S
DTS 96/24
S
S
S
S
S
S
S
DOLBY
TrueHD
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
DOLBY
DIGITAL
(5.1/5/4ch)
DOLBY DIGITAL
DOLBY
DOLBY
DOLBY
DIGITAL EX
DIGITAL EX
DIGITAL Plus
(With no
(With Flag)
Flag)
DOLBY
S
S
S
S
S
S
S
S
S
S
S
DOLBY
DIGITAL
(2ch)
34
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
LINEAR PCM LINEAR PCM
(multi ch)
(2ch)
S
S
S
S
S
S
S
S
DTS-HD
Master
Audio
DTS-HD
High
Resolution
Audio
DTS-HD
S
S
S
S
DTS
EXPRESS
S
S
S
S
S
DTS ES
DSCRT
(With Flag)
S
S
S
S
S
DTS ES
MTRX
(With Flag)
DTS
S
S
S
S
S
DTS
(5.1ch)
Input signal types and formats
If “Speaker Config.“ – “S.Back“ is set to “None”, this surround mode cannot be selected.
If “Speaker Config.“ – “S.Back“ is set to “1sp” or “None”, this surround mode cannot be selected.
If “Speaker Config.“ – “Front Height“ is set to “None”, this surround mode cannot be selected.
This surround mode can be selected when “Amp Assign” is set to “Normal”.
S
S
S
S
S
z1
z2
z3
z4
S
ANALOG
PURE DIRECT
MULTI CH STEREO
STEREO
NEURAL
AUTO
z2z4
z1z4
z3
z1z4
z1z4
NOTE
MULTI CH IN
MULTI CH IN
MULTI CH IN + PLgx MOVIE
MULTI CH IN + PLgx MUSIC
MULTI CH IN + PLgz
MULTI CH IN + Dolby EX
MULTI CH IN 7.1
DIRECT
Surround mode
PCM
S
S
S
S
S
DTS 96/24
S
S
S
S
DOLBY
TrueHD
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
S
DOLBY
DIGITAL
(5.1/5/4ch)
DOLBY DIGITAL
DOLBY
DOLBY
DOLBY
DIGITAL EX
DIGITAL EX
DIGITAL Plus
(With no
(With Flag)
Flag)
DOLBY
S
S
S
S
S
S
DOLBY
DIGITAL
(2ch)
ADJUSTMENT
Audio Section
Idling Current
Required measurement equipment: DC Voltmeter
1. Preparation
(1) Avoid direct blow from an air conditioner or an electric fan, and adjust the unit at normal room temperature
15 °C ~ 30 °C (59 °F ~ 86 °F).
(2) Presetting
• POWER (Power source switch)
STANDBY
• SPEAKER (Speaker terminal)
No load
(Do not connect speaker, dummy resistor, etc.)
2. Adjustment
(1) Remove top cover and set VR401, VR402, VR403, VR404, VR405, VR406, VR407 on 7CH AMP UNIT at fully
counterclockwise (c) position.
(2) Connect DC Voltmeter to test points (FRONT-Lch: TP401, FRONT-Rch: TP402, CENTER ch: TP403, SURROUNDLch: TP404, SURROUND-Rch: TP405, SURROUND-BACK Lch: TP406, SURROUND-BACK Rch: TP407).
(3) Connect power cord to AC Line, and turn power switch "ON".
(4) Presetting.
MASTER VOLUME
: "---" counterclockwise (c min.)
SPEAKER (Speaker terminal) : No load
(Do not connect speaker, dummy resistor, etc.)
MODE
: MCH STEREO
FUNCTION
: DVD
(5) Within 2 minutes after the power on, turn VR401 clockwise (x) to adjust the TEST POINT voltage to
8.0 mV ± 0.5 mV DC.
(6) After 10 minutes from the preset above, turn VR401 to set the voltage to 8.0 mV ± 0.5 mV DC.
(7) Adjust the Variable Resistors of other channels in the same way.
7CH AMP UNIT
DC Voltmeter
VR401
VR403
VR404
TP401
TP403
VR405
VR402
TP405
TP404
VR406
VR407
TP402
TP406
F Rch
S Back Lch
TP407
C ch
S Rch
S Lch
F Lch
35
S Back Rch
TROUBLE SHOOTING
1. POWER
1.1. Power not turn on
Power not turn on.
When the power turned on,
does the FLD on the front
panel light?
NO
YES
Is the fuse blown?
Refer to 1.2.Fuse is blown.
NO
NO
Is a DC 5V voltage being
supplied from the SMPS B'D
(CX101) to the μ-com?
Is a DC 5V voltage output
when the cord supplying the
power from the SMPS B'D
to the HDMI B'D (N2501) is
unplugged?
YES
YES
Check circuitry and parts from
N2501 on the HDMI B'D to the
μ-com power supply for damage
and shortcircuits, and replace
any defective parts.
Are there any incomplete
connections in the connectors
connecting between the
various circuit boards?
YES
NO
Is the fuse blown?
YES
YES
Refer to 1.2. Fuse is blown.
Connect the connectors properly.
NO
Check voltage of N2706 (15PIN) of HDMI B'D while the
ON/STANDBY indicator is
flashing green.
0V
Check circuitry and parts from N2706 on
the HDMI B'D to the μ-com for damage
and shortcircuits, and replace any
defective parts.
0.6V or -0.6V
Pull out connector (CP1) of
SPK BD, and check "Errors
checking mode".
(Refer to 19 page.)
1.2. Fuse is blown
Fuse is blown
Check for leaks or short
circuits in the primary side
parts, and replace any
defective parts.
Check for damage
in the SMPS B'D
parts and replace any
defective parts.
YES
Check μ-com periphery
circuitry of HDMI B'D and
replace any defective parts.
About 10 seconds later,
does the sound where relay
enters?
NO
Check for short circuits in the
rectifier diodes and circuitry of
the secondary side rectifying
circuits, and replace any
defective parts.
After repairing, also replace the fuse.
36
Check for short circuits in
the power stabilizer unit's
regulator output terminal and
the ground, and replace any
defective parts.
NO
Check "Errors
checking mode".
(Refer to 19 page.)
2. Analog video
MONITOR OUT (CVBS) output NG
Input CVBS
Function : SAT
Input COMPONENT
Function : SAT
To A
Not output
MONITOR OUT (COMPONENT) output NG
Input CVBS
Function : SAT
Input COMPONENT
Function : DVD
Not output
To B
HDMI OUT outpu NG
Input COMPONENT
Function : DVD
Input CVBS
Function : SAT
Input
HDMI
To troubleshooting
3. HDMI/DVI.
To C
37
A
Input
CVBS
Check power supply voltage.
V+5V : N2004-4pin
V-5V : N2004-1pin
NG
REGULATOR B'D flaw.
OK
Check on set value of each IC.
HDMI B'D
L2701 : Check I2C communication
waveforms.
L2702 : Check I2C communication
waveforms.
NG
HDMI B'D flaw.
OK
Extend HDMI B'D using a jig.
Check output of OSD IC(U1001).
V : 13pin
Check cable between main unit
and player or check player.
NG
Check input of OSD IC(U1001).
V : 15pin
NG
OK
Check output of selector (U2003).
V : K2003 (Lead of terminal)
V : JV154
OK
Check power supply voltage of
U1001, and check oscillation of
U1001.
(X'TAL / LC oscillation)
OK
NG
Check input of selector(U2003).
V : JV82
Check power supply voltage of
U2003, and surrounding circuitry
soldering of IC. Check input circuitry
soldering of U1001.
NG
Check input of selector (U2003).
V : JV131
Check power supply voltage of
U1003, and surrounding circuitry
soldering of IC.
OK
OK
Check power supply voltage
of U2003, and surrounding
circuitry soldering of IC.
Check cable between main unit
and monitor or check monitor.
b Unless specified, VIDEO B'D part. s
38
NG
B
Input
COMPONENT
Check power supply voltage.
V+5V : N2004-4pin
V-5V : N2004-1pin
NG
REGULATOR B'D flaw.
OK
Check on set value of each IC.
HDMI B'D
NG
L2701 : Check I2C communication
waveforms.
L2702 : Check I2C communication
waveforms.
HDMI B'D flaw.
OK
Extend HDMI B'D using a jig.
Check output of selector (U2003).
COMPONENT-Y : JV94
COMPONENT-Cb : JV169
COMPONENT-Cr : JV107
NG
Check intput of selector (U2003).
COMPONENT-Y : JV80
COMPONENT-Cb : JV79
COMPONENT-Cr : JV175
C
Check cable between main unit and
player or check player.
OK
OK
Check cable between main unit
and monitor or check monitor.
NG
Check power supply voltage of
U2003, and surrounding circuitry
soldering of IC.
Input
CVBS/COMPONENT
Check input signal of VIDEO DECODER
HDMI B'D
NG
V : L2714
COMPONENT-Y : L2717
COMPONENT-Cb : L2716
COMPONENT-Cr : L2715
Check signal DET
V_L2704 : H(+3.3V)
COMPONENT_L2703 : H(+3.3V)
NG
Input CVBS
: to A
Input COPONENT : to B
OK
OK
Check FRONT CONNECTOR B'D
flaw, and connection of FRONT
CONNECTOR B'D or HDMI B'D and
VIDEO B'D.
HDMI B'D flaw
b Unless specified, VIDEO B'D part. s
39
3. HDMI/DVI
3.1. No picture or sound is output
No picture or sound is output.
Check HDMI/DVI cable connection.
NO
(1) Is the HDMI/DVI cable properly connected?
There may be a problem with the HDMI/DVI
cable. Check connection.
YES
YES
(2) Are you using an HDMI/DVI selector, repeater or a device for
improving picture quality?
Disconnect everything and connect only the
HDMI/DVI cable to check
YES
NO
(3) Are you using a certified HDMI cable (one with the HDMI stamp)?
Use a certified HDMI cable (one with the
HDMI stamp).
YES
(3) Are you using an HDMI/DVI cable less than 5 meters in length?
NO
Replace the HDMI/DVI cable with one that
is less than 5 meters in length (2 meters
recommended) to check.
YES
(4) Are the picture and sound output when another HDMI/DVI cable
is used?
YES
The HDMI/DVI cable is defective.
NO
Check BD/DVD player.
YES
YES
(5) Is the BD/DVD player's HDMI output setting correct?
Check HDMI output setting, referring to the
BD/DVD player's operating instructions.
YES
(6) When using a marantz BD/DVD player's, is the fluorescent
display tube's "HDMI" indicator lit? If using a non-marantz BD/
DVD player's, proceed to "YES".
YES
NO
(7) Are the picture and sound output
when the BD/DVD player's
resolution is changed?
YES
Set the BD/DVD player's output resolution to
a resolution with which the TV is compatible.
YES
The BD/DVD player's may not be compatible
with HDCP repeaters. Ask the BD/DVD
player's manufacturer.
NO
(8) Is sound output from the set's speaker terminals when the TV's
power is turned off or the connection cable between the TV and
the set is disconnected?
NO
(9) Are the picture and sound
output when a different BD/
DVD player's is used?
NO
40
YES
The BD/DVD player's is defective.
Check TV
NO
(10) Is the TV HDCP-compatible?
Use an HDCP-compatible TV. PC TVs
cannot be used.
YES
NO
(11) Is the TV compatible with resolutions of 1080P?
If the TV is not compatible with resolutions
of 1080P, no picture will be output, even
if the BD/DVD player's resolution is set to
1080P.
YES
NO
(12) Is the TV's input set to HDMI?
Check TV's input setting, referring to the
TV's operating instructions.
YES
NO
(13) Are the picture and sound output when a different TV is used?
The TV is defective.
Check set (SR5005)
NO
(14) Is the set's input set to HDMI?
YES
YES
The set does not recognize the TV.
(15) Is 5V supplied to C9096?
Is the "H"(3V-5V) signal outputted of U1501 (30pin)?
Is communication waveform confirmed in D1504(DDC_CLK),
D1503(DDC_DATA)?
Is 1pin/3pin/4pin/6pin/7pin/9pin/10pin/12pin of HDMI connector
(K1501) terminated by 3.3V and is the signal output?
With the TV connected, Check voltage of the IC on the side on
which the TV is connected.
YES
(16)
When using a marantz
BD/DVD player, is the FL
display's "HDMI" indicator lit?
If using a non-marantz BD/
DVD player, proceed to
"NO".
Check set's input setting, referring to the
set's operating instructions.
NO
To item (26).
YES
NO
YES
The BD/DVD player does not recognize the
connection with the set.
(17)
Is the "H"(3V-5V) signal outputted of HDMI
connector (K1001/K1002/K1003/K1004 : 19pin)?
Is communication waveform confirmed in
HDMI connector (K1001/K1002/K1003/
K1004)15pin(DDC_CLK)/16pin(DDC_DATA)?
Is 1pin/3pin/4pin/6pin/7pin/9pin/10pin/12pin of
HDMI connector (K1501) terminated by 3.3V and
is the signal output?
With the BD/DVD player connected, Check
voltage of the HDMI connector for the input
on the side on which the BD/DVD player is
connected.
YES
The HDMI input circuitry is defective.
(U1001 and surrounding circuitry)
41
NO
The pattern and circuit
from the HDMI connector
(K1001/K1002/K1003/
K1004) to the U1001 is
defective.
Check operation of each device.
(AMP source : BD / Video input of player : 480P / Connect the TV.)
Check operation of U1001.
(18) Check power supply.
Is 1.8V supplied to C1019/C1053/C1037/L1003?
Is 3.3V supplied to C1112/C9032/C1124/C1122?
NO
The power supply circuitry is defective.
YES
NO
(19) Check xtal oscillator.
Is there 28.6363MHz oscillation of X1001/X1002?
The X1001, X1002 or U1001 is defective.
YES
(20) Check RESET.
Is RESET waveform confirmed in U5500 (53pin), when power is
turned on? (If continued to "H" or " L", proceed to "NO".)
NO
The RESET circuitry or U1001 is defective.
YES
(21) Check output signal.
Is waveform confirmed in R1063 (IPINDE/IPINVSYNC/
IPINHSYNC/IPINPCK). (If not continued to " L", proceed to "YES".)
NO
The U1001 is defective.
YES
Check operation of U1501.
NO
(22) Check power supply.
Are 1.8V or 3.3V supplied to U1501 (each power supply pin)?
The power supply circuitry is defective.
YES
(23) Check RESET.
Is RESET waveform confirmed in U1501 (38pin), when power is
turned on? (If continued to "H" or " L", proceed to "NO".)
YES
The pattern and circuit from the HDMI connector (K1510) to the
IC(U1510) is defective, or HDMI output circuitry is defective (U1510
and surrounding circuitry).
42
NO
The RESET circuitry (Q1501 and
surrounding circuitry) or U1501 is defective.
HPD
TMDS D0+/TMDS D0-
DDC DATA
U2502
C2532
C9090
C9095
C2517
L2715
L2716
L2701
L2714
R1002
L2720
L2719
L2717
C9030
C9045
C9025
C2540
R2524
R2523
C2541
R2525
C2543
R2526
C2544
C9040
C9115
C9075
C9119
C9080
C9123
C9085
C9035
C1351
N1301
C2552
S1301
C9002
R1322
R1321
176
R1304
C9052
R1324
R1323
C9112
X1301
C1358
R1332
C1304
R1303
C1343
R1094
C9108
R1068
C1503
C1501
U1501
C9053
C1505
C1504
R1069
R1070
C1012
C1011
C1519
D1042
D1041
D1510
D1506 D1509
K1501
Q1007
D1026
IPSCL
IPSDA
R1524
R1544
R1535
C1520 R1536
D1507 D1508 D1511 D1512
C9104
C1524
Q1008
R1031
Q1005
C1506
C1507
R1539 C1526 C1508
R1537 R1534
D1502 C1515
R1538 D1503 R1529
C1525 D1504 R1530
D1025
D1027 D1028 D1043 D1044
C9096
D1505
C1020
C1019
X1001
C1013 C1014
R1032 R1033
Q1003
K1002
R1523
R1522
R1532
D1501
R1533
C1051
C1053
R1072
U1001
R1016
C9032
R1019
D1015
R1030 D1022
R1090
R1028 D1016
R1021 D1023
R1089
R1018 D1017
D1024
D1039
Q1006 R1017
C9132
D1020 D1021 D1040
R1029
R1020
D1018
D1019 D1037 D1038
K1003
K1004
CEC-COM
TMDS D1+/TMDS D1C
R1312 R1311
C1358
133
132
89
R1303
88
R1302
C1343
R1332
C1304
R1
R1313
TMDS D2+/TMDS D2-
C9012
C9131
C9062
R1309 R1307 R1305
R1310 R1308 R1306
R1094
633010052101S
8U-310052
1 DIGITAL UNIT
MP2
R1037
R1067
C9108
R1510
R1509
R1508
R1507
R1502
R1066
R1506
R1065
R1079
R1505
C9148
C9057
R1064
R1504
R1063
R1503
R1085
R1501
X1002
C1015 C1016
R1034 R1035
C9047
R1078
R1510
R1509
R1507
R1502
R1067
R1505
R1065
R1504
C9148
C9057
R1064
R1079
R1503
R1063
633010052101S
8U-310052
1 DIGITAL UNIT
MP2
R1501
R1085
R1506
C9127
R1302
R1313
R1314
U1303
R1315
R1316
C9062
R1309 R1307 R1305
R1310 R1308 R1306
R1508
C1321
R1326
11
N3301
TOP
1
R1312 R1311
R1037
C9017
133
132
89
R1066
R1003
R1004
C9077
R1731 R1096
R1730
88
R1080
D1011 D1012 D1033 D1034
K1001
Q1001
K1704
1
R1071
R1745
C1724
C1721
R1741
D1002
R1936
R1935 R1934
R1948
R1737
R1736
Q1002
R1320
R1088
C1049
R1910
R1947
R1938
R1937
R1940
R1939
R1733
R1732
K1703
C9127
C9027
C9136
R1337 R1327
R1336 R1328
U1302
44
R1330
C1305
C1133
C1135
C1137
C1122
C1123
C1112
C1110
C1108
C1102
C9022
R1703
U1707
R1946
R1933
C1954
C1961
C1940
C1941
R1942
R1941
R1959
R1960
R1961
C1709
C1708
R1319
X1002
C1015 C1016
R1034 R1035
C9047
R1078
R1080
R1068
C1505
C1504
R1069
R1070
C1503
C1501
U1501
C9053
R1003
C9017
R1004
R1320
R1301
45
R1350
R1349
IPINDE/IPINVSYNC/
IPHSYNC/IPINPCK
3.3V
R1319
L2718
L2829
L2828
L2827
L2826
U2504
C9111
C9055
C9099
C9060
C9103
C9065
C9107
C9070
C2555
R2527
Q2523
C2553
C3304
R3315
R3316
R3317
C9003
R3356
C9092
HSCL
R3323
C3313 U3302
R3324
R1039
R1036 C1116
C1038 C1114
L1001
C1054
C1052
R1747
R1749
R1917
R3371
C9149
R3302
R1702
C2554
R1919
R1914
N1901
C1901
R1906
R3305
R3306
C3303
C9141
Q2507
Q2508
S1901
1
R1927 R1925 R1924 R1922
R1930
R1932
L2713
L2712
L2711
L2710
L2709
L2708
L2707
L2706
L2705
L2704
L2703
L2702
R2532
U2503
Q2515
U2501
C9086
R2179
C9044
Q2529
R2533
R2528
R2165
R2166
U2505 C2556
R3301
R3307
R3369 R3308
R3373
R3311
C3306 R3312
R3313
R3359
C2156
C2155
R2140
C2148
R2163
C2154
R2178
R2164
R2113
R2128
R2138
C2146
R2161
R2162
R2177
C2153
R2137
R2127
R2112
C2145
R1977 R1976
R1972 R1973
K1702
C1126
C1124
R1318
C9012
C9131
C9037
R1076
R1013
D1008
R1026 D1009
L1003
Q1004 R1015 D1010
R1027 R1014 D1013 D1014 D1036
D1035
VCC G
3.3V
C1012
C1011
R1533
R1532
D1501
D1026
D1041
R1524
R1544
R1535
C1520 R1536
D1507 D1508 D1511 D1512
C1519
D1042
D1506 D1509
D1510
K1501
Q1007
Q1005
K1002
IPSCL
IPSDA
C1506
C1507
R1539 C1526 C1508
R1537 R1534
D1502 C1515
R1538 D1503 R1529
C1525 D1504 R1530
D1025
D1027 D1028 D1043 D1044
C9104
C1524
R1016
C9032
R1019
D1015
R1030 D1022
R1090
R1028 D1016
D1023
R1021
R1089
R1018 D1017
D1024
D1039
Q1006 R1017
D1021 D1040
C9132
D1020
R1029
R1020
D1018
D1019 D1037 D1038
C9096
D1505
U1001
K1003
K1004
TMDS D2+/TMDS D2-
TMDS D1+/TMDS D1-
R1523
R1522
R1072
Q1008
R1031
C1051
C1053
C1049
R1731 R1096
R1730
K1001
Q1001
K1704
DDC CLK
IN
R1088
D1011 D1012 D1033 D1034
VCC G
RXA_5V
VCC OUT
G
C1091
C1090
C9037
R1076
R1013
D1008
R1026 D1009
L1003
Q1004 R1015 D1010
R1027 R1014 D1013 D1014 D1036
D1035
D1029 D1030
C2521
C2518
L2752
L2753
C2701
L2721
L2751
L2750
L2749
L2748
L2747
L2746
L2745
L2744
L2743
L2742
L2741
L2740
L2739
L2738
L2737
C9063
Q2525
R2534
R2529
C2545
U2903
R1970 R1971
R1962
R1963
R1966
R1964
R1949
R1965
R1723 R1720
R1953
R1010
R1950
D1001
R1717
C1967 X1902 C1966
R1024
R1714
R1716 R1725
D1003
U1706
R1713 R1719 R1722
R1728
R1012
R1709 C1703
R1025
C1702
R1712
R1011
R1735 R1734
D1032
D1006 D1007
D1031
D1004
R1727
R1726 C1701
D1005 D1029 D1030
Q1003
D1005
C9077
R1910
D1032
D1006 D1007
D1031
D1004
X1701
C1715
C1714
R1743 R1744
R1945
R1335 R1333
R1331 R1329
C9101
C1091
C1090
C1009 R1082
C1010 R1083
C1004
C1001
C1002
C1003
R1007 C1007
C1008
R1005 C1005
R1006 C1006
R1753
R1742
105
C1955 R1740
104
R1739
R1738
C1711
53
C9140
R1075 R1073
C1083 C1084
R1926
1
TOP
C1360
C9007
C9042
C1037
C9033
C2548
C2550
7
R1325
C1928
R1920
R1921
R1943
C9050 L2503
C2542 R2522
R1071
R1745
C1724
C1721
U1706
C1927
52
C1020
C1019
X1001
C1013 C1014
R1032 R1033
R1737
R1736
Q1002
R1728
702
734
R1010
D1001
R1024
D1003
R1012
R1025
R1011
D1002
R1953
C1966
R1741
R1966
R1936
R1935 R1934
R1948
R1938
R1937
R1742
105
C1955 R1740
104
R1739
R1738
C1711
C1126
C1124
C1919
C1921
C1922
C1924
156
C9109
C1133
C1135
C1137
C1122
C1123
C1112
C1110
C1108
C1102
C9022
R1703
U1707
X1701
C1715
C1714
R1743 R1744
R1947
R1946
R1945
157
C2523 C2514 L2504
C2549
C9082
R3347
R3345
R3342
C3316
R3340
C1902
U1901
C2551
C9135
C9105
R3346
C3317
C2546
C1322
C1323
U1301
Q1301
R1317
X3302
10
1
R2345
C1009 R1082
C1010 R1083
C1004
C1001
C1002
C1003
R1007 C1007
C1008
R1005 C1005
R1006 C1006
R1753
R1904
C9133
C1912
R1911
C1908
R3309
R3351
R1928
C9042
C1037
C1928
R1920
R1921
R1943
C9125
TOP
R1075 R1073
C1083 C1084
R1926
R3318
R3358
R3319
R3374
R3375
R3355
R3357
R3320
R3321
R3322
HSDA
C9101
C9033
R1749
R1747
R1702
C1927
C9097
U3301
R1913
R1902
C9129
C1947
1.8V
R1039
R1036 C1116
C1038 C1114
L1001
C1054
C1052
N190
10
R1907
R1916 R1915
L2509
C9072
C9087
C3309
R3303 R3361
R3304
R3362
C9121
R3363
R3364
R3366 R3365
C3301
C3302
R3367
R3368 R3370
L2817
C2709
G L2816
L2814 C2707
L2813 C2704
C2703
Q2519 Q2520 Q2518
Q2521
Q2522
C9082
R3347
R3345
R3342
C3316
R3340
C1902
7
208
R2340 R2341
C2367 C2368
L2305 L2304
R2350 R2349
C2369 C2370
R1704
R1706
C1706
1.8V
R3378
1
C2502
R2502
L2510
Q2516
L2505
N1701 TOP
C9113
C9076
C9117
R2512 R2517
C2529 R2513 C2520
L2506
C2515
R1752
C9081
R1918
VCC OUT
G
1.8V
C3317
156
R3151
R3152
C3126
R3175
R3176
K1701
K3102
3.3V
C1919
C1921
C1922
C1924
C2147
R2139
R2129
R2116
C2516
R2180
R2168
R2167
L2722
L2723
C2366
C9028
L2306
C2371
C1707
C2537
R2549
C9145
R3172
R3134
R3133 R2908
R3136 R3135
I
C1946
R1729
R2333
K3103 C9110
K1706
D3101
D3109
C9106
N2901
R2117
R2130
Q2906
R3150
R3149
R3148
R3147
R3160
R3146
R3145
Q2303
R2339
R2347
C9069
C9142
C9023
D1702
C1705
R1707
R1705
X2302
Q3103 R3111
Z1
R3102
R3101
R3108
Q3102
D1701
C1704
C2524
L2512
L2511
R2519
R2520
C2538
Q2304
C9018
U2306
R1724
R1721 R1718
R1715
U1703
R2314
L2301
L2302
C2343
C2347
R2327
R2323
N4401
R2328
N2301
C9034
R2344 C9031
Q2305
C2363
C9146
R2343
R2346 R2342
Q2302
R1974
R1975 R2938
Q2306
L2760
L2759
L2758
L2757
C9014
N2706
1
TOP
R2322
R2326
R2302
C2302
R2301
8
C2336
R2348 C2340
C2342
L2831
R2329
C3101
C9114
4 K3101
R2106
R2914 C2910
C2908 L2787
C2911 R2913 R2912 R2911
C2909
L2788
L2789
L2830
R2932
R2931
R2933
R2930
R2929
R2321 R2317
R2316 R2315
R2330 R2320
D3112
R3114
R3112 R3113
C3105 C3106
C3107
C3108
Q3104 Q3105
L2820
C2717
L2819
C2719 C2714
L2792
L2791 C2713
L2790
C1971
U2304
R3140
R2313
R2307
R3144
C2323
R2336
C2305
R3139 R3138
R3141
R2310
R2308
C2321
J
C2306
C2307
R2324
C9066
C2355 C2354
D3102 R3107
R3103
D3103
C3102
D3111 D3107
D3104
C9118
C3110
C2901
1
R3162
R3161
J
H
A
R2902
R2903
R2901
U2901
157
L2821
L2822
L2765
D3105
73 L2761
72
U3102
C2722
C2723
C2726
C2728
R3109
D3108
R2926
Q2904 R2928
R3167
C3111
X3102
C3123 C3122
R3128
L2794
37
11
R3158
R3104
R3165
R3127
R3129
R3163
R3164
R3174
L2502
Q2528
C2503
R2503
C2522 C2513 L2501
Q2524
R2149 R2115
R2150 C2139
R2145 R2111
R2146 C2137
D
Q2907
U2301
C3109
Q2901
Q2902
R2515
R2514
C2530
R2518
R1751
R2927
G
G
L2782 L2783
Q2903
L2797
L2793
C3104
A C3103
D3110
R2925
R3166
R3143
108 R3173
I 36
R3156
VCC U3101 OUT
C2112
C2111
C2116
C2114
C2124
L2811
L2812
Q2532
R2536
C2706
R2530
Q2526
L2766 R2535
L2767
L2768
L2769
L2770
L2771 L2773
L2772 L2774
C9051
L2775
109
L2780
L2781
C2115
C2110
U2905
R2531 Q2527
N2710
Q2905
Z3101
D3106
L2784 L2785 L2786 L2823
C9019
1
R2152
R2151
40
TOP
H
R2118
C2140
C
R2915
N3101
L2508
R2114 R2148
39 C2138 R2147
Q2531
R3177
L2796
L2795
R2109
R2110
C2132 C2133
R2105 R2104
L2507
F
C2708
R3169
R3170
R3122
R3119
C3113
144
L2802
1
R3120
L2801
R3121
L2800 L2799 R3125
R2937
L2815 C2724 R3126
C2705
L2798
C2903
1
C2718
L2810
R2701 L2803
L2804
R2702 L2805
R2703 L2806
R2704 L2808
L2807
C9074
C9079
N2708
L2762
L2763
L2764
R2102
U2101
U2904
L2818
C2711
C2120
B2701
C2721
R2905 R2910
B
C2547 Q2517
C2904
C2501
R2501
2
C9126
C2720
L2809
C2906
R2107
R2108
R2103
U2104
U2103
C2161
C9151
C2162
C2126
C2102
C2109 C2907
R2909
R2101
C9130
U2305
F
C2356
R2510 R2516
C2528 R2511 C2519
C2121
C2122 C2716
C2105
N2709
C9102
D
C2136
C2131
U1901
C9152
R2332
C2129
C9098
C
C2101
C2568
C2560
N2703
L2303
L2756
L2755
L2754
C2359
C2360
C2361
C2362
L2736
L2734
L2735
C9083
L2733
N2707
L2732
L2728
R2136
R2135
L2727
L2730
L2726
L2725
L2724
L2729
L2731
C9073
C2130
C9000
C9005
C9010
C9015
C9020
N2701
L2516
C2702
B
N2702
N2705
N2704
N2501
3.2. HDMI test point and waveforms
CEC-COM
5V
DDC DATA
1.8V
3.3V
TMDS C+/TMDS C-
DDC CLK
TMDS D0+/TMDS D0-
TMDS C+/TMDS C-
43
DDC_CLK/DDC_DATA/TMDS(1,3,4,6,7,9,10,12pin) : Check item (15),(17)
0.9V
DDC_CLK
2.48V
DDC_DATA
IPINDE/IPINVSYNC/IPINHSYNC/IPINPCK : Check item (21)
IPINDE
IPINHSYNC
IPINDE
16.68ms
IPINVSYNC
IPINPCK
IPINHSYNC
IPINVSYNC
37.0ns
44
31.76us
31.84us
4. AUDIO
4.1. AUDIO CHECK
AUDIO OUTPUT : NG
CHECK1
INPUT
NG
SURROND MODE SOURCE
ANALOG 2CH DIRECT
Check ANALOG AUDIO BLOCK.
ANALOG
OK
CHECK2
INPUT
COAX or OPT
NG
SURROND MODE SOURCE
---
Check Digital AUDIO BLOCK.
Legacy(PCM or DolbyDigital or dts…)
OK
CHECK3
INPUT
NG
SURROND MODE SOURCE
ANALOG 2CH MCH STEREO
Check via AD.
ANALOG
OK
CHECK4
INPUT
HDMI
NG
SURROND MODE SOURCE
---
Check HDMI BLOCK.
Legacy(PCM2ch or DolbyDigital or dts…)
OK
INPUT
CHECK5
HDMI
SURROND MODE SOURCE
---
HD AUDIO
(PCM MULTI or DolbyTrueHD or dtsHD MA)
OK (Digital Audio BOLCK is OK)
NG
Check other factors.
Connect
CHECK6 HDMI OUT→other AV Surround
Receiver HDMI IN
OK
Setting
SOURCE
CEC STANDBY
HD AUDIO
(PCM MULTI or DolbyTrueHD or dtsHD MA)
NG
Check Digital AUDIO BLOCK.
Check HDMI BLOCK.
45
4.2. Power AMP (7CH AMP B'D)
No sound is output.
The protector operates.
YES
Is the power transistor open or short circuit?
2SB1647/2SD2560
YES
Replace the power transistor.
NO
Is the emitter resistance of the power
transistor open?
0.47Ω
YES
Replace the emitter resistance.
NO
Is the base resistance of the power
transistor open?
22Ω
YES
Replace the base resistance.
NO
Is trimmer potentiometer between the base
of the power transistor open?
1kΩ
YES
Replace the trimmer potentiometers.
NO
Is the zener diode and diode which are
connected with the base of a power
transistor short-circuited?
MTZJ4.7B
1SS133
YES
Replace the Zener diode and the diode.
NO
YES
Is other transistor trouble?
Replace the transistor.
NO
YES
Is other resistance trouble?
Replace the resistance.
Turn on power, check that voltage of the section is normal and that idling electric current is flowing.
46
4.3. Analog audio
No sound is output
Is the voltage of ±8V supplied to
AV B'D CP8?
NO
The power supply of ±8V is repaired.
YES
Is the audio signal transmitted to
AV B'D CN401?
YES
The power amplifier is repaired.
NO
Is the serial data for electronic volume YES
transmitted to AV B'D CP11?
NO
Connect the connectors properly.
Is the connector correctly connected
with HDMI B'D?
YES
YES
Once more, checking the connection of the connector.
When can specify the trouble of the channel and function, Check signal route where it
corresponds.
47
The HDMI B'D is repaired.
Personal notes:
48
CLOCK FLOW & WAVE FORM IN DIGITAL BLOCK
Wave form
HRMCK(5)
HR64fs/DCLK(6)
HRfs/DR0(8)
HRSD0/DL0(14)
q
2
CH1: DATA
COAXIAL-1
(2)RX0
OPTICAL-1
(4)RX2
(9)RX5
CH2: fs
SDIN(24)
SLRCK(23)
(B2)HRSPDIF/DL2
U1707
AUDIO PLD
EPM3032ATC
DIR/DIT
U1706
LC89058W-E
INPUT
(D5)HRSD1/DR1
(J3)HRSD2/DL1
(J4)HRSD3/DR2
CH1: D-DATA
HRSD1/DR1(13)
HRSD2/DL1(12)
HRSD3/DR2(10)
(F3)HRMCK
1
(B1)HR64fs/DCLK
(A2)HRfs/DR0
(K3)HRSD0/DL0
U1001
HDMI Rx
ADV7840
w
(42)SLRCK
(37)256fsDIR
(3)64fsDIR
(2)fsDIR
(44)DATADIR1
(43)SBCK
RMCK(16)
RBCK(17)
RLRCK(20)
RDATA(21)
SBCK(22)
TMCK(44)
TBCK(45)
TLRCK(46)
TDATA(47)
CH3: 64fs
49
e
(28)DSPINSB
(31)DSPINS
(33)DSPINC
(34)DSPINF
(23)DSPOUTfs
(25)DSPOUT64fs
(131)
(130)
(125)
(143)
(137)
(22)DSPINfs
DAC_SBL/SBR(136)
SDTI4(13)
SDTI3(16)
SDTI2(15)
SDTI1(14)
BICK(9)
LRCK(17)
CH4: 256fs
DAC_C/SW(134)
CH3: 64fs
DSDDATA4(11)
DSDDATA3(14)
DSDDATA2(15)
DSDDATA1(16)
DBCLK(17)
DLRCK(18)
DAC_SL/SR(135)
DAC
U2102
AK4358VQ
DAC_FL/FR(133)
CH2: fs
(132)
MCLK(10)
(21)DSPIN64fs
MCLK(11)
SCLK(12)
LRCLK(10)
ADATA(9)
CH1: DATA
(144)
3
(146)
ADC
U2101
AAK5358B
U1901
DSP
ADSP-21367
BLOCK DIAGRAM
AUDIO BLOCK DIAGRAM
IC3003(AUDIO B'D)
VOLUME AND INPUT SELECTOR
R2A15220FP
FL
ATT
EXT-FL
HEADPHONE OUT
FR
DA-FL
7.1CH
INPUT
C
EXT-FR
SW
R-CH
Audio
Selction
SL
DA-FR
FL-B/FL-H
EXT-C
SR
DA-C
FR-B/FR-H
SBL
EXT-SL
DIRECT
SBR
DA-SL
EXT-SR
FL-A
DA-SR
BD
EXT-SBL
DVD
ANALOG
INPUT
FR-A
DA-SBL/FL-H
SPK OUT
SAT
C
VCR
CD
SL
EXT-SBR
DA-SBR/FR-H
FRONT
INPUT
SR
AUX1
TO DSP
SBL/Z2L
EXT-SW
DA-SW
SIRIUS
SBR/Z2R
U1B ONLY
RELAY BLOCK
MIC(Mini Jack)
FL
FR
HPF
ZONE2
M-Xport
MONO
MIX
MONO
MIX
C
IC3007(AUDIO B'D)
ZONE2 VOLUME
NJW1194
SL
PRE-OUT
SR
HPF
SBL
SBR
U2101(8U-310052)
ADC
AK5358
FLASH
SW
SRAM
Z2L
U2304(8U-310052)
DATA+/-
Z2R
BCKo
Aout
MCLK
RLCKo
TCC8600
DACMUTEZ2
USB
VCR
U2305(8U-310052)
AK4424ET
D/A
HDMI IN1
U1001(8U-310052)
INPUT SWITCHER
RECEIVER
ADV7840
HDMI IN2
HDMI
SPDIF
LRCK
BCK
MCLK
I2S3
I2S2
I2S1
I2S0
1TXSPDIF
1TXFS
1TX64FS
1TXMCLK
EPROM
16MBIT
RAM
64MBIT
DA-FL
1TXI2S0
1TXI2S1
1TXI2S2
1TXI2S3
1TXMCLK
1TX64FS
1TXFS
1TXSPDIF
U1501(8U-310052)
TRANSMITTER
ADV7511
HDMI OUT1
HDMI OUT
U1707(8U-310052)
DA-FR
EPM3032A
HDMI IN3
1TXI2S0
1TXI2S1
1TXI2S2
1TXI2S3
1TXMCLK
1TX64FS
1TXFS
HDMI IN4
1TXSPDIF
TC74VHCU04
U1706(8U-310052)
TC74VHCU04
DIR LC89058W-E
COAXIAL1
DIGITAL
INPUT
SELECTOR
COAXIAL2
TC74VHCU04
TC74VHCU04
OPTICAL 1
DEMO_
DULATION
&
LOCK
DETECT
RDATA
DIR_RERR
DIR_AUDIO_VO
SLRCK
SBCK
DIR_256FS
DIR_FS
DIR_64FS
DIN_FLFR
DIN_CSW
DIN_SLSR
DIN_SBLSBR
DA-C
U1901(8U-310052)
DIGITAL AUDIO DECODER
ADSP21367
DA-SW
U2102(8U-310052)
DAC
AK4358
DSPIN_64FS
DSPIN_FS
DSPOUT_64FS
DSPOUT_FS
DA-SR
DATA
SELECTOR
DA-SL
OPTICAL 2
FRONT OPTICAL
DA-SBL/FL-H
XIN
FCX-03(24.576MHz)
RMC DATA (IN/OUT)
DA-SBR/FR-H
TO MICOM
RC-5
VCC
TO MICOM
IR FLASHER
IR FLASHER DATA
50
DIGITAL OUT
VIDEO BLOCK DIAGRAM
HDMI1
HDMI2
U1302(8U-310052)
I/P CONVERTER
& SCALER
ABT1030
U1001(8U-310052)
HDMI Rx
VIDEO DECODER
ADV7840
HDMI IN
U1501(8U-310052)
HDMI Tx
ADV7511
HDMI OUT
HDMI3
HDMI4
U1303(8U-310052)
SD RAM
U2003(8U-110085)
COMPONENT & CVBS SELECT
AVDM-2000
6dB
COMP1-Y
COMP2-Y
COMP3-Y
COMP4-Y
SIGNAL DET.
2V
75
75
COMP1-Y
COMP1-Cb
COMP2-Cb
COMP3-Cb
COMP4-Cb
2V
75
2V
75
2V
75
75
Y
COMP1-Cb
DVD
Cb
COMP1-Cr
Cr
COMP1-Cr
COMP2-Cr
COMP3-Cr
COMP4-Cr
-6dB
75
75
Y
2V
COMP2-Y
75
75
Cb
Y
BD
COMP2-Cb
Cb
Cr
COMP2-Cr
2V
75
75
Cr
-6dB
COMPONENT IN
COMPONENT
OUT
COMP3-Y
Y
COMP3-Cb
SAT
Cb
COMP3-Cr
Cr
-6dB
SIGNAL DET.
2V
75
75
2V
75
75
BD
CVBS MONITOR OUT
DVD
2V
75
SAT
CVBS IN
75
VCR
CVBS VCR REC OUT
U1001(8U-110085)
2V
AUX1
75
1K
DC CLAMP
OSD
LC74781
-6dB
75
OSD V
OSD V
51
VCC BLOCK DIAGRAM
MAIN +B
S1(AMP +B)
MAIN -B
A+8.0V (Pre)
7808
DA+5.0V
7805
S2(+8V,-8V)
A-8.0V (Pre)
7908
MAIN TRANS
2388F09
A.TUNER+9.0V(N/F/K)s
2388F05
A.TUNER+5.0V(U)s
7805
A.V+5.0V
7905
A.V-5.0V
S4(VIDEO)
-VKK
S5(-VKK)
FL AC (F1)
AC CORD
S6(FILAMENT)
FL AC (F2)
DV5.0V
DV POWER1
3.3V
RELAY
CEC3.3V / HDMI Rx, Tx
D5V_POWER
CEC POWER
/ HDMI Rx
TK73333
DV3.3V / VRS(ABT)
DV POWER1
NET3.3V / Microprocessor (TCC8600), ROM, RAM
NET POWER
DA3.3V / DSP, ROM, RAM, DIR, PLD
DA POWER
1.8V
5.2V
CEC1.8 / HDMI Rx, Tx
VCC
D5V_POWER
CEC POWER
DV1.8V / VRS(ABT)
DV POWER2
NET1.8V / Microprocessor (TCC8600)
NET POWER
USB5.0V / USB Bus
HVBUS_CTL
1.2V
4
DA1.2V / DSP
1
DA.POWER
3
2
DA POWER
MAIN PWR ON
M3.3V / Microprocessor (M32C)
TK73333
SCPU3.3V / Microprocessor (M32C)
TK73333
CPUPOWER
52
LEVEL DIAGRAM
LEVEL DIAGRAM
FRONT ch
4#(2
#0#.1)
#66
*2176
52'#-'4
176
㧗
.+0'+0
)#+0
#&,
㧗
#-$
#&
61
<10'
#-83
㧗
&#
2.&
㧙
㧗
㧙
/76'
24'176
&52
#7&+1
176
%*
+0276
F$
8TOUǡ
52-176
(7..5%#.'.'8'.8TOU
#-83
*GCFTQQOF$
%QPHKI .550 &1.$;.+/+6.'8'.8TOU EJF$(5CV*\
F$
#-$
F$(58TOU
F$
F$
F$
F$(58TOU
F$
F$
F$(5
O8TOU
F$(5
O8‫ޓ‬F$
F$
F$
.+0'+0
F$(5O8TOU
F$
F$(5
F$(5 &+)+0
F$(5 #&+0
#&+0O8
F$
O8TOU
F$
24'176
F$
F$(5
F$
O8TOU
*2176
O8TOU
F$
F$(5
F$(5
O8TOUǡ
O8TOU
O8TO
F$
F$
.2()#+0 F$
O8TOU
5901
F$(5 &+)+0
F$(5 #&+0
F$
)#+0UGVVKPIQH/#56'481.F$
㨯59;'5 &+)+6#. ‫ޓޓ‬F$F$F$F$
㨯59;'5 #0#.1) ‫ޓޓ‬F$F$ F$ F$
㨯59010' &+)+6#. ‫ޓޓ‬F$F$ F$F$ F$
㨯59010' #0#.1) ‫ޓޓ‬F$F$ F$ F$ F$
ԘԙԚԛԜ
F$(5
F$(5
Ԙ$CUKE1((5'6QH81.F$ KPFKECVKQPXCNWG
ԙ(4106EJTGXKUKQP
Ԛ1((5'6QH59010'
ԛ1((5'6QHVJG#&KPRWV
Ԝ4GXKUKQP1((5'6QHVJG&+)+6#.KPRWV
F$
F$
F$
F$
F$
53
LEVEL DIAGRAM
CENTER ch
4#(2
52'#-'4
176
㧗
)#+0
#&,
2.&
#-83
㧗
&#
㧙
㧗
㧙
/76'
24'176
&52
%*
+0276
F$
8TOUǡ
52176
/#:5+)0#..'8'.
F$
F$
F$(58TOU
F$
F$
F$
F$(5
.2()#+0 F$
O8‫ޓ‬F$
':6+0
O8TOU
&&+0276F$(5%06M*\
F$(5O8TOU
O8TOU
O8TOU
F$
F$
#0#+0276O8TOU. 4M*\
/1&'/7.6+%*56'4'1
O8TOU
F$
F$
O8TOU
24'176
F$
F$
)#+0UGVVKPIQH/#56'481.F$
㨯&1.$;&+)+6#.+0F$F$F$
㨯#0#.1)+0‫ޓޓ‬F$ F$ F$
ԘԙԚ
F$(5
Ԙ$CUKE1((5'6QH81.F$ KPFKECVKQPXCNWG
ԙ1((5'6QHVJG#&KPRWV
Ԛ4GXKUKQP1((5'6QHVJG&+)+6#.KPRWV
F$(5
F$
F$
F$
F$
F$
F$
54
LEVEL DIAGRAM
SUBWOOFER ch
4#(2
52'#-'4
176
㧗
)#+0
#&,
2.&
#-83
㧗
&#
㧙
㧗
㧙
/76'
24'176
&52
%*
+0276
8TOU
59EJ&#%/#:5+)0#..'8'.
#-83
F$
F$
24'176
F$
%QPHKI 555; &1.$;.+/+6.'8'.8TOU EJF$(5CV*\
F$
F$(58TOU
O8TOU
F$
F$
&&+0276F$(5.('*\
O8TOU
F$(5
.2()#+0 F$
F$
24'176
‫ޓ‬O8TOU
F$
‫ޓ‬O8TOU
O8‫ޓ‬F$
F$(5O8TOU
F$
F$
&&+0276F$(5.('*\
F$
O8TOU
F$
F$
F$(5O8
O8TOU
F$
F$
#0#+0276O8TOU. 4*\
F$
F$(5
O8TOU
)#+0UGVVKPIQH/#56'481.F$
㨯&1.$;&+)+6#.+0F$ F$F$ F$
㨯#0#.1)+0F$ F$ F$ F$
ԘԙԚԛ
F$
Ԙ$CUKE1((5'6QH81.F$ KPFKECVKQPXCNWG
ԙ59EJTGXKUKQP
Ԛ1((5'6QHVJG#&KPRWV
ԛ4GXKUKQP1((5'6QHVJG&+)+6#.KPRWV
F$
F$
F$
55
LEVEL DIAGRAM
SURROUND ch
4#(2
52'#-'4
176
㧗
)#+0
#&,
2.&
#-83
㧗
&#
㧙
㧗
㧙
/76'
24'176
&52
%*
+0276
F$
8TOUǡ
52176
/#:5+)0#..'8'
#-83
F$
F$
F$
F$(58TOU
F$
F$
F$
F$(5
.2()#+0 F$
O8
O8‫ޓ‬F$
F$(5O8TOU
&&+0276F$(55744M*\
F$
F$
#0#+0276O8TOU. 4M*\
/1&'/7.6+%*56'4'1
F$
O8TOU
F$
O8TOU
O8
O8TOU
24'176
F$
F$
F$
F$(5O8TOU
)#+0UGVVKPIQH/#56'481.F$
㨯&1.$;&+)+6#.+0F$F$F$
㨯#0#.1)+0‫ޓޓ‬F$ F$ F$
ԘԙԚ
Ԙ$CUKE1((5'6QH81.F$ KPFKECVKQPXCNWG
ԙ1((5'6QHVJG#&KPRWV
Ԛ4GXKUKQP1((5'6QHVJG&+)+6#.KPRWV
F$(5
F$
F$
F$
F$
F$
56
LEVEL DIAGRAM
SURR.BACK ch
4#(2
52'#-'4
176
㧗
)#+0
#&,
2.&
#-83
㧗
&#
㧙
㧗
㧙
/76'
24'176
HTQO
<10'
&52
%*
+0276
F$
8TOUǡ
#-83
F$
F$(58TOU
52176
/#:5+)0#..'8'
F$
F$
F$
F$
F$
F$(5
.2()#+0 F$
O8‫ޓ‬F$
':6+0
F$(5O8TOU
&&+0276F$(55. 54M*\
5$/64:10&&':/1&'
O8
F$
#0#+0276O8TOU. 4M*\
/1&'/7.6+%*56'4'1
O8TOU
O8TOU
24'176
F$
O8
F$
F$
F$(5O8TOU
F$
F$
F$
)#+0UGVVKPIQH/#56'481.F$
㨯&1.$;&+)+6#.+0F$ F$F$F$
㨯#0#.1)+0‫ޓޓ‬F$ F$ F$ F$
ԘԙԚԛ
F$(5
Ԙ$CUKE1((5'6QH81.F$ KPFKECVKQPXCNWG
ԙ5$EJTGXKUKQP
Ԛ1((5'6QHVJG#&KPRWV
ԛ4GXKUKQP1((5'6QHVJG&+)+6#.KPRWV
F$
F$
F$
F$
F$
57
LEVEL DIAGRAM
ZONE ch
4#(2
HTQO
(EJ
.+0'+0
HTQO
&#5$EJ
61
/#+0
㧗
5$EJ
(4106<10'#55+)0F$
52'#-'4
176
㧗
HTQO
%*+0276
5$EJ
<10'0,9
610'
/101
/76'
24'176
*2(
&#
/101
F$
F$(5
8TOU
52176
8TOUǡ
#-'6
/#:5+)0#..'8'.8TOU
F$
F$
F$(5
F$(5
F$
F$(5 &+)+0
O8‫ޓ‬F$
F$
F$
F$
.+0'+0':6+0
F$
F$
‫ޓ‬F$
24'176
O8TOU
F$(5
F$
F$(5
F$
F$(5
F$
F$
F$
F$
58
基板図
7CH-AMP (COMPONENT SIDE)
B C
E
E
J557
R669
R702
R668
D442
D441
Q479
R699
R713
R701
R700
Q483
R673
R660
J574
J571
J572
R661
R692
R672
D
R493
Q417
J576
D439
ZD421
C512
C511
J577
ZD420
C487
D440
J579
R693
E
C503
J481
J580
R691
B C
E
B C
E
EC B
R689
C510
R698
R684
R683
C497
R674
R647
R646
C494
R655
EC B
J582
J581
B C
R690
J556
R641
R640
B C
E
C419
100/100
R551
R679
C495
C
J573
D438
J569
R675
J568
R670
C505
J567
SBL
NC
SBR
J575
J550
C481
R631
R474
B C
J578
R678
J566
R671
J565
J564
ZD419
MTZ5.1A
R709
R663
R635
R630
J548
J547
J482
R618
J545
R649
J546
CP405
J555
R475
R483
EC B
Q473
R662
J552
R629
D432
R708
J543
R632
J541
R627
TP407
C500
D437 J562
R648
J530
C519
Q474
R664
B
WIRE
BONDINGQ484
R677
C507
R686
R696
R695
J591
Q467
J558
R617
J536
R620
J540
R628
R508
J542
C489
J480
J533
J538
D436
D435
J531
R626
R659
C518
R714
R656
R625 R658
Q472
Q471
R657
R653
R643
R652
Q462
J532
J544
J522
J521
C491
R487
J520
J519
R446
R477
R697
R667 R723
J466
J554
R468
E
R694
R688
R681
TP406
ZD417
J551
J525
R467
C417
R458
R604
B C
E
C502
A
SBR Pb
WIRE
BONDING
C508 C506
Q461
D434
J553
R651
J529
R603
C478
R612
B C
Q480
G402
D433
ZD418
C496
R476
J504
R597
+HIGHB
+HIGHB
+HIGHB
-HIGHB
-HIGHB
-HIGHB
HIGHGND
HIGHGND
HIGHGND
PREGND
C423
C430
R605
J503
C504
J563
J459
J528
G402
R634
C484
D431
R687
WIRE
BONDING
WIRE
BONDING
ZD416
MTZ5.1A
J549
J524
J523
R463
D428
J456
ZD405
J527
631010096006S
WIRE
BONDING
VR407
R619
D410
R479
C471
EC B
C431
D409
ZD406
C432
CLAMP 401
CP403
J507
E
J509
R638
J505
R453
R452
R485
R456
C427
D408
J517
R454
J515
R459
J516
R704
J526
J514
J587
R455
J513
J588
J461
J495
SR
SL
C
FR
FL
R722
R621
R447
J496
J493
R606
CP402
J589
D411
D412
Q419
R484
R711
R445
J512
J464
R592
R624 R654
TP402
R457
R616
J500
J494
J539
J570
R575
ZD404
MTZ5.1A
D407
WIRE
BONDING
R650
R645
C420 J510
R444
D430
R465
J479
D429
R583
R614
Q460
C483
R589
J492
C475
D426
C499
Q424
R461
C513
ZD414
J501
R598
C465
R518
R588
R517
C446
R526
B C
R707
J559
J491
J485
J431
J489
C473
R608
C480
J478
EC B
Q423
R462
C479
J499
ZD415
R593
J497
J498
D416
J455
E
R482
Q413
D427
J502
R512
R511
C433
R562
B C
E
R582
R595
R584
J469
C443
J470
J511
J486
J488
J474
J487
J468
J467
J508
R705
R490
D414
C441
J473
ZD409
J472
R507
R522
B C
R448
C488
WIRE
BONDING
C425
R519
J477
R561
R569
EC B
C447
C439
J483
J476
R574
R486
R644
C490
C492 C486
G400
18
G401
WIRE
BONDING
VR406
17
WIRE
BONDING
J590
Q468
R478
R473
R718
Q414
R576
R585
ZD408
J475
R451
TP405
J484
D425 ZD413
MTZ5.1A
R578
R503
J465
J463
J560
J490
J583
J462
J430
R498
J460
J506
J428
R591
C426
C422
Q449
C468
Q450
D415
J452
R555
E
C448
J471
R560
J451
Q455
R715
D418
R497
R530
Q425
R499
J535
J444
C455
C462
J447
R554
C449
C414
R439
R430
B C
E
J449
R613
C476
C472
R609
R610
R600
Q431
D417
R527
R528
C467
R506
ZD407
MTZ5.1A
Q459
R581
J537
D413
R496
J458
R488
R489
R505
Q436
C428
R602
R721
FR
16
WIRE
BONDING
WIRE
BONDING
Q420
VR402
15
SBL
R472
R471
14
CLAMP 400 G400 G401
C424
R611
Q435
13
R636
SBR
AGND
FR
AGND
SBL
AGND
SR
AGND
R712
R577
R719
J407
J534
R544
J443
J441
J442
R532
R563
C459
D420
ZD411
D422
R565
J454
J426
B C
C463
D421
J450
EC B
R529
R521
R516
R491
R502
J446
J445
ZD412
R432
R425
R424
C464
SR
R615
R607
12
7CH AMP B'D
7020-06941-000-0S
C474
C470
J561
R545
J421
C415
R431
J420
J419
R415
C402
E
C
AGND
FL
D424
R509
J427
D423
R540
R573
C451
R531
J448
R543
J439
R546
J437
R541
R706
J436
J438
R542
J457
J440
J434
R550
R549
J432
R534
J518
R419
J418
J415
R433
J435
J417
J402
R601
VR405
Q432
C438
C436
R492
Q437
ZD410
MTZ5.1A
C516
C407
J425
B C
C442
R495
TP404
Q426
C452
D419
C514
D404
J424
C444
R520
ZD402
11
Q456
J453
J423
R435
J433
10
TP403
VR403
D403
ZD403
C416
AGND
R514
R523
R570
Q443
R443
R571
R567
R552
R548
R533
R402
R421
C409
Q448
J403
R703
R413
C411
D402
J412
R411
J409
C517
Q438
C
J429
R412
J584
J408
C435
R409
J405
J422
J413
J411
C515
J410
J585
J406
ASO DET
RADI THERMAL
TR THERMAL
R420
ZD401
MTZ5.1A
R515
R525
Q447
R568
R535
R401
R403
R405
C440
R720
Q401
R416
Q402
D401
J404
R404
C404 R418
R572
R564
R559
TP401
Q412
C454
R538
J416
R414
IN
R408
9
R481
R438
C458
C460
R539
VR404
R429
Q411
R716
D406
D405
J401
C412
R437
Q407
R442
R410
R441
R434
R717
R558
R566
R710
FI
Q408
R422
SL
C456
Q444
C410
C406
ICT
FL
8
R480
OP ADJ
7
R524
R557
R428
C457
C408
VR401
BAR CODE
6
CP401
R440
CN404
DC DET4
DC DET3
R536
5
SL
4
MTG
BKT400
R436
R427
3
C/S
2
DIP
1
E
F
7CH-AMP (FOIL SIDE)
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
A
C445
C405
D443
C413
C461
D449
C437
D447
C469
D451
C477
C421
D445
D446
D450
D448
D452
D454
D444
B
WIRE
WIRE
Q405
R501
R587
R733
R734
D457
C
R407
C403
D458
Q406
R423
R556
R426
R417
Q439
R553
Q442
R510
R504
R513
R726
R731
D
R727
R599
Q427
R596
Q451
Q454
R725
Q430
R547
R590
Q418
R729
Q415
R469
R460
R466
R730
Q463
R642
R633
R639
R682
Q475
R685
R676
Q466
Q478
R732
J592
R586
WIRE
R500
WIRE
R449
R464
Pb
C429
C485
WIRE
WIRE
D453
D455
C493
C509
D456
C453
C501
WIRE WIREWIRE
Q403
R724
C466
C401
C418
C498
C482
R728
C434
C450
E
R623
R666
C
B
B
C
E
E
C
B
E
C
B
B
C
E
E
C
R450
B
E
C
B
B
C
E
E
C
B
E
C
B
B
C
E
E
C
B
E
C
B
B
C
E
E
C
R406
R537
B
E
C
B
B
C
E
E
C
B
E
C
B
B
C
E
E
C
B
F
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
R494
R580
E
59
SMPS (COMPONENT SIDE)
3
ZD109
D101
MTZJ39B
ADJ
C131
BONDING
FI
J151
D105
TR100
IC102
TOP258MG
TR101
C133
C101
0.1UF/250V
ZD104
MTZJ22B
J180
ZD100
C103
MTZJ22B
1000P/1KV
TH100
ZD106
MTZJ22B
R105
D109
J175
ZD118
MTZJ22B
CX103
J170
BONDING
MTG
BKT102
CX104
D
JK100
J179
R107
J169
J154
J153
J159
C108
5600UF/6.3V
C106
5600UF/6.3V
E
D103
J158
C114
J157
R110
J156
IC116 R112 D111
J155
R111
BONDING
LIVE
RL101
D112
Sec.
CX105
MTG
C
TR102
C105
470P/250V
BONDING
IC100
PC123
BKT101
NEUTRAL
BONDING
C117
0.01UF/250V
BONDING
D110
R119
J171
BONDING
ZD114
MTZJ5.6B
C115
Pri.2200P/250V
BKT100
J168
T100
ST-4430A
B
MTG
Sec.
CX100
C107
5600UF/6.3V
C104
470P/250V
R100
C109
5600UF/6.3V
ZD112
MTZJ22B
ZD115
MTZJ39B
ZD107
MTZJ22B
ZD117
MTZJ22B
CX102
CX106
C112
J165
J178
D108
C119
12
J164
J176
ZD116
J161
J160
BAR CODE
ZD102
MTZJ22B
ZD119
LF4ZBE273H
L100
LF4ZBE273H
L101
D100
C100
0.1UF/250V
F101 T6.3AL/250V
R122
11
A
MAINPOWER
OP
10
J152
D106
DGND
ICT
9
R113
C102
100UF/400V
R101
R104
8
D5.2V
MTZJ39B
R115
ZD108
ZD101
7
F100 T2AL/250V
Pb
MTZJ39B
IN
ZD110
ZD103
6
D5.2V
ZD111
ZD105
5
BONDING
SMPS B'D7020-06942-101-1S
ZD113
4
DGND
2
J177
1
D25SC6M
F
SMPS (FOIL SIDE)
11
10
9
8
7
6
5
4
3
2
1
C123
C122
C121
C120
R125
A
R120
R144
R106
C118
R121
R126
R114
B
Sec.
C111
C110
R108
C113
DGND
C125
E
C
CA
A
Pri.
D5.2V
R118
Sec.
D
C116
R123
C124
R109
R124
C
MAINPOWER
12
E
鉛フリー半田
F
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
60
SPK (COMPONENT SIDE)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
A
JACK2
JACK3
C24
J80
J75
J88
WIRE4
R16
C21
B
WIRE4
C8
J38
J34
WIRE5
C16
J39
L4
WIRE5
C19
J82
C
C6
J78
C3
J77
J79
C1
R11
R15
J72
C4
C11
J51
C22
JACK1
J55
C14
R6
RLY3
J49
R7
R5
R8
C9
J46
RLY5
L2
R12
L6
J50
J40
R39
R17
J35
J27
L7
RLY4
C17
J33
SBR
ICT
OP ADJ
J73
R38
J71
SPK B'D
E
J96
J97
FL
FR
CEN
SL
SR
FI
R37
BKT1
J76
R53
RLY1
J63
18
WIRE2
BLACK(L)
WIRE1
WHITE(R)
J69
C53
R20
R24
R25
J94
CLAMP3
1
R23
J93
R34
C57
R22
J91
CP12
LIMITLIMITLIMIT+
LIMIT+
MUTE+7V
RLGND
RL+B
NC
RELAY_WIDE
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_FB
RELAY_H/P
RELAY_H
H/PL
H/PGND
H/PR
R21
R26
J83
DZ1
R31
0.1-J/5W
J37
CN3
G
D8
J62
J92
F
R19
R28
R18
19
C25
J74
WIRE3
7020-06942-201-0S
BAR CODE
BKT3
CN2
Pb
J43
SBL
WIRE2
BLACK(L)
R13
IN
J21
R9
R10
J22
J87
J89
R14
L5
CN13
D
J81
J56
J58
J26
J84
CN1
WIRE3
J23
J25
WIRE1
WHITE(R)
J57
2
RLGND
F-WIDEV
FR_WIDE
FR_W_GND
FL_W_GND
FL_WIDE
J24
J70
L1
J52
J85
CP22
RLY2
J68
J54
J32
RLY7
L3
J53
C12
RLY6
R27
PREGND
HIGHGND
R30
H
HIGHGND
HIGHGND
-HIGHB
R33
J42
-HIGHB
R29
J36
-HIGHB
R32
+HIGHB
+HIGHB
J66
+HIGHB
J44
BKT2
J31
J86
J45
J2
WIRE5
J
BONDING
BONDING
WIRE5 J1
J65
I
C60
J29
R35
C55
C58
R36
J17
J19
J28
K
J18
J20
J14 J10
J13
J9
J15
CP1
-
AC
AC
J16
L
D10
+
J8 J7
D3SB60
J3
J4
C61
J12
C54
J5
D3SB60
J6
D9
J11
AC
AC
+
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
M
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
61
14
13
12
11
10
9
8
7
6
5
4
3
2
1
A
C28
C27
C26
C40
C39
C38
C34
C33
C32
C37
C36
C35
C46
C45
C44
C41
C42
C43
C50
C51
C52
B
C47
C48
C49
C20
C29
C30
C31
C7
C23
C13
C10
C18
C5
C2
C15
C
D5
D6
D7
Q20
D4
D2
D3
D
E
D11
D1
Q21
Q2
Q9
Q3
Q10
F
Q4
Q11
Q5
Q12
Q6
Q13
Q8
Q1
Q14
Q7
G
H
C63
SPK (FOIL SIDE)
C62
I
J
K
L
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
62
M
C131
J19
IC105
NJM7805FA
H
R127
ZD117
MTZ33B
D118
NJM7808FA
IC106
J
CP114
IC103
J114
1
2
D121
I
F104A
F106A
CP104
F105A
F108A
3
CP102
J436
F104
F106B
F105B
F105
F108B
OSD_N/P SEL
OSD_DATA
OSD_CE
OSD_CLK
D/MGND
VAUX
D/MGND
VDI_SC
D/MGND
VDI_SY
D/MGND
VDI_PY
D/MGND
VDI_CB
D/MGND
VDI_CR
D/MGND
VDI_V
CP113
CP111
CP112
NC
LINEB
LINEA
ASPECT_L
ASPECT_H
Z2B
Z2A
INB
INA
SVIDEO_DET
CVBS_DET
COMPSGDET
D/MGND
AVSDA
D/MGND
AVSCL
D/MGND
BAR CODE
F107B
C145
D138
D142
J55
J22
4
F104B
F107
J53
ZD120
MTZ9B
D140
ZD119
MTZ18B
J92
BKT100
NC
VDOY
D/MGND
VDOCB
D/MGND
VDOCR
D/MGND
NC
D/MGND
ETHERC
D/MGND
ETHERY
Z2_SVIDEO_DET
J120
MVOLDATA
MVOLCLK
MUTE3.3V
LIMITDET
A+BLIMIT
LIMIT
PRESWMUTE
PRESBMUTE
PREZ2MUTE
RLY_H
RLY_FB
RLY_SB
RLY_S
RLY_C
RLY_F
RLY_HP
H/P_R
H/P_G
H/P_L
5
C141
D131
D133
D134
J1
C147
D129
D130
D144
D139
NC
OSD_N/P SEL
OSD_DATA
OSD_CE
OSD_CLK
D/MGND
VAUX
D/MGND
VDI_SC
D/MGND
VDI_SY
D/MGND
VDI_PY
D/MGND
VDI_CB
D/MGND
VDI_CR
D/MGND
VDI_V
NC
LINEB
LINEA
ASPECT_L
ASPECT_H
Z2B
Z2A
INB
INA
SVIDEO_DET
CVBS_DET
COMPSGDET
D/MGND
AVSDA
D/MGND
AVSCL
D/MGND
NC
VDOY
D/MGND
VDOCB
D/MGND
VDOCR
D/MGND
NC
D/MGND
ETHERC
D/MGND
ETHERY
Z2_SVIDEO_DET
7028-06943-301-0
FRONT CNT_B'D
D127
F108
D128
F107A
F106
D137
63
J113
J112
D141
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
J111
C148
D136
Lead-free Solder
FI
D135
鉛フリー半田
ADJ
CP107
CP106
CP108
CP109
NC
PREFMUTE
PRECMUTE
PRESW2MUTE
PRESMUTE
PREZ3MUTE
DAGND
Z2L
DAGND
Z2R
DAGND
Z3L
DAGND
Z3R
ZVOLCLK
ZVOLDATA
ZVOLDSTB
CLAMP102
10
TRG_GND
NC
TRG_15V
OUT
J11
NJM7805FA
OP
9
TU_VOL_IN
TU_VOL_IN
TU_GND
TU_GND
-5V_IN
-5V_IN
VGND
VGND
+5V_IN
+5V_IN
TRG_15V
TRG_15V
TRG_VGND
J61
J116
C144
J20
F
J118
C142
G
J12
IN
J10
J109
ICT
OSD_N/P SEL
OSD_DATA
OSD_CE
OSD_CLK
D/MGND
VAUX
D/MGND
VDI_SC
D/MGND
VDI_SY
D/MGND
VDI_PY
D/MGND
VDI_CB
D/MGND
VDI_CR
D/MGND
J60
DAGND
Z2L
DAGND
Z2R
DAGND
Z3L
DAGND
Z3R
ZVOLCLK
ZVOLDATA
ZVOLDSTB
MVOLDATA
MVOLCLK
E
IN
8
C146
J7
OUT
J9
IC104
-->CN104
Pb
T1.6AL/250V
IN
C134
D
J106
CLAMP101
7
T1.6AL/250V
J8
G
C132
ZD118
MTZ33B
OUT
J21
NJM7905FA
V+5V
J103A
D115
J105
R144
6
T1.6AL/250V
J72
C
J104
5
J117
J15
V_GND
V_GND
9
CN102
C129
3300/16
V-5V
J101A
J14
CLAMP100
V-5V
V-5V
VAGND
VAGND
V+5V
V+5V
OSDSW
PS
MONIB
MONIA
S/V_SEL
INC
INB
INA
Z1VSIDET
COMPSGDET
COMPONENT SW2
COMPONENT SW1
D/MGND
J13
CP14
J102A
R145
R139
CN15
R140
J17
B
3
AC_MUTE_DET
X2_VOLT_DET
+8V_IN
+8V_IN
AGND
AGND
AGND
AGND
AGND
-8V_IN
-8V_IN
D119
G
D122
D120
CP110
C137
R141
IC108
DAGND
ADINL
DAGND
ADINR
DAGND
DAFL
DAGND
DAFR
DAGND
DAC
DAGND
DASW
DAGND
DASL
DAGND
DASR
DAGND
DASBL
DAGND
DASBR
DACRTGND
DACRTGND
RLY_W
USBL
AGND
USBR
AGND
VAUXL
AGND
VAUXR
AGND
MIC
AGND
A
R142
J62
NJM2388F
2
CN103
J73
IN
J49
J56
J47
J18
OUT
D117
R143
C128
IN
CP101
J6
1
CN104
J16
G
D116
J4
1:PREFMUTE
2:PRECMUTE
3:PRESW2MUTE
4:PRESMUTE
5:PREZ3MUTE
6:RLY_W
7:NC
8:NC
9:NC
10:NC
11:MUTE3.3V
12:LIMITDET
13:A+BLIMIT
14:LIMIT
15:PRESWMUTE
16:PRESBMUTE
17:PREZ2MUTE
18:RLY_H
19:RLY_FB
20:RLY_SB
21:RLY_S
22:RLY_C
23:RLY_F
24:RLY_H/P
25:H/P_R
26:H/P_G
27:H/P_L
J59
J3
DAGND
ADINL
DAGND
ADINR
DAGND
DAFL
DAGND
DAFR
DAGND
DAC
DAGND
DASW
DAGND
DASL
DAGND
DASR
DAGND
DASBL
DAGND
DASBR
DACRTGND
DACRTGND
NC
USBL
AGND
USBR
AGND
VAUXL
AGND
VAUXR
AGND
MIC
AGND
J75
CP115
R146
6
1:USBL
2:USBR
3:AGND
4:VAUXL
5:VAUXL
6:AGND
7:MIC
8:AGND
9:MVOLDATA
10:MVOLCLK
11:MUTE3.3V
12:LIMITDET
13:A+BLIMIT
14:LIMIT
15:PRESWMUTE
16:PRESBMUTE
17:PREZ2MUTE
18:RLY_H
19:RLY_FB
20:RLY_SB
21:RLY_S
22:RLY_C
23:RLY_F
24:RLY_H/P
25:H/P_R
26:H/P_G
27:H/P_L
J91
J68
OUT
C130
G
TRG_VGND
TRG_15V
TRG_15V
+5V_IN
+5V_IN
VGND
VGND
-5V_IN
-5V_IN
TU_GND
TU_GND
TU_VOL_IN
TU_VOL_IN
J38
C135
4700/25
R128
C133
4700/25
C127
IN
CP100
J5
ADINL
DAGND
ADINR
DAGND
DAFL
DAFR
DAGND
DAC
DASW
DAGND
DASL
DASR
DAGND
DASBL
DASBR
DACRTGND
DACRTGND
5
CN105
CP116
D114
C126
4700/16
J63
J54
-8V_IN
-8V_IN
AGND
AGND
AGND
AGND
AGND
+8V_IN
+8V_IN
X2_VOLT_DET
AC_MUTE_DET
D123
R126
G
CN13
R125
J48
C136
OUT
CP117
VIDEO-5V
VIDEO_GND
VIDEO_GND
VIDEO+5V
4
IN
J66
TRG_GND
NC
TRG_15V
J71
R129
AGND
NC
A+8V
RL_GND
RL_+B
TU_RTGND
TU3.3V/5V/9V
AGND
AGND
A-8V
A+8V
TRG_GND
TRG_+15V
DA_+5V
X2 VOLT DET
AC MUTE DET
2
J69
J64
J74
Pb
1
J58
7028-06943-101-0
REG_B'D
REG (COMPONENT SIDE)
FRONT_CNT (COMPONENT SIDE)
11
12
6
D124
D145
D126
7028-06943-201-0
REG_CNT B'D
R130
J67
13
CP105
A
J115
G
REG_CNT (COMPONENT SIDE)
NJM7908FA
IC107
7
D125
BONDING
A
D132
C140
470/25
C143
B
C138
4700/25
CP103
C139
470/25
C
J2
D143
R131
D
Pb
CN101
E
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
CN100
F
B
C
J107
D
J108
J110
J119
E
F
G
H
ADINL
DAGND
ADINR
DAGND
DAFL
DAFR
DAGND
DAC
DASW
DAGND
DASL
DASR
DAGND
DASBL
DASBR
DACRTGND
DACRTGND
R133
R132
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
F
DAGND
ADINL
DAGND
ADINR
DAGND
DAFL
DAGND
DAFR
DAGND
DAC
DAGND
DASW
DAGND
DASL
DAGND
DASR
DAGND
DASBL
DAGND
DASBR
DACRTGND
DACRTGND
NC
USBL
AGND
USBR
AGND
VAUXL
AGND
VAUXR
AGND
MIC
AGND
DAGND
Z2L
DAGND
Z2R
V-5V
DAGND
V-5V
Z3L
VAGND
DAGND
VAGND
Z3R
V+5V
ZVOLCLK
V+5V
ZVOLDATA
OSDSW
ZVOLDSTB
PS
MVOLDATA
MONIB
MVOLCLK
MONIA
S/V_SEL
INC
INB
INA
Z1VSIDET
COMPSGDET
COMPONENT SW2
COMPONENT SW1
D/MGND
B
R134
R135
R136
R137
R138
27:H/P_L
26:H/P_G
25:H/P_R
24:RLY_H/P
23:RLY_F
22:RLY_C
21:RLY_S
20:RLY_SB
19:RLY_FB
18:RLY_H
17:PREZ2MUTE
16:PRESBMUTE
15:PRESWMUTE
14:LIMIT
13:A+BLIMIT
12:LIMITDET
11:MUTE3.3V
10:NC
9:NC
8:NC
7:NC
6:RLY_W
5:PREZ3MUTE
4:PRESMUTE
3:PRESW2MUTE
2:PRECMUTE
1:PREFMUTE
DAGND
ADINL
DAGND
ADINR
DAGND
DAFL
DAGND
DAFR
DAGND
DAC
DAGND
DASW
DAGND
DASL
DAGND
DASR
DAGND
DASBL
DAGND
DASBR
DACRTGND
DACRTGND
RLY_W
USBL
AGND
USBR
AGND
VAUXL
AGND
VAUXR
AGND
MIC
AGND
NC
LINEB
LINEA
ASPECT_L
ASPECT_H
Z2B
Z2A
INB
INA
SVIDEO_DET
CVBS_DET
COMPSGDET
D/MGND
AVSDA
D/MGND
AVSCL
D/MGND
OSD_N/P SEL
OSD_DATA
OSD_CE
OSD_CLK
D/MGND
VAUX
D/MGND
VDI_SC
D/MGND
VDI_SY
D/MGND
VDI_PY
D/MGND
VDI_CB
D/MGND
VDI_CR
D/MGND
J
1:USBL
2:USBR
3:AGND
4:VAUXL
5:VAUXL
6:AGND
7:MIC
8:AGND
9:MVOLDATA
10:MVOLCLK
11:MUTE3.3V
12:LIMITDET
13:A+BLIMIT
14:LIMIT
15:PRESWMUTE
16:PRESBMUTE
17:PREZ2MUTE
18:RLY_H
19:RLY_FB
20:RLY_SB
21:RLY_S
22:RLY_C
23:RLY_F
24:RLY_H/P
25:H/P_R
26:H/P_G
27:H/P_L
MVOLDATA
MVOLCLK
MUTE3.3V
LIMITDET
A+BLIMIT
LIMIT
PRESWMUTE
PRESBMUTE
PREZ2MUTE
RLY_H
RLY_FB
RLY_SB
RLY_S
RLY_C
RLY_F
RLY_HP
H/P_R
H/P_G
H/P_L
NC
OSD_N/P SEL
OSD_DATA
OSD_CE
OSD_CLK
D/MGND
VAUX
D/MGND
VDI_SC
D/MGND
VDI_SY
D/MGND
VDI_PY
D/MGND
VDI_CB
D/MGND
VDI_CR
D/MGND
VDI_V
A
鉛フリー半田
E
Q101
AC_MUTE_DET
X2_VOLT_DET
+8V_IN
+8V_IN
AGND
AGND
AGND
AGND
AGND
-8V_IN
-8V_IN
Q100
TU_VOL_IN
TU_VOL_IN
TU_GND
TU_GND
-5V_IN
-5V_IN
VGND
VGND
+5V_IN
+5V_IN
TRG_15V
TRG_15V
TRG_VGND
64
NC
LINEB
LINEA
ASPECT_L
ASPECT_H
Z2B
Z2A
INB
INA
SVIDEO_DET
CVBS_DET
COMPSGDET
D/MGND
AVSDA
D/MGND
AVSCL
D/MGND
NC
VDOY
D/MGND
VDOCB
D/MGND
VDOCR
D/MGND
NC
D/MGND
ETHERC
D/MGND
ETHERY
Z2_SVIDEO_DET
NC
OSD_N/P SEL
OSD_DATA
OSD_CE
OSD_CLK
D/MGND
VAUX
D/MGND
VDI_SC
D/MGND
VDI_SY
D/MGND
VDI_PY
D/MGND
VDI_CB
D/MGND
VDI_CR
D/MGND
VDI_V
VIDEO+5V
VIDEO_GND
VIDEO_GND
VIDEO-5V
NC
PREFMUTE
PRECMUTE
PRESW2MUTE
PRESMUTE
PREZ3MUTE
DAGND
Z2L
DAGND
Z2R
DAGND
Z3L
DAGND
Z3R
ZVOLCLK
ZVOLDATA
ZVOLDSTB
N
D/ VDOC
M Y
VD GN
D / OC D
M B
V GN
D/ DO D
M CR
GN
D
D
/
M NC
E T GN
Z2
D/ H E R D
_S
M C
G
V
I
DE ETH ND
O_ ER
DE Y
T
RL_GND
RL_+B
TU_RTGND
TU3.3V/5V/9V
AGND
AGND
A-8V
A+8V
TRG_GND
TRG_+15V
DA_+5V
X2 VOLT DET
AC MUTE DET
I
G
G
1
2
3
4
5
6
7
H
REG_CNT (FOIL SIDE)
H
E
E
F
F
D
D
TRG_VGND
TRG_15V
TRG_15V
+5V_IN
+5V_IN
VGND
VGND
-5V_IN
-5V_IN
TU_GND
TU_GND
TU_VOL_IN
TU_VOL_IN
C
C
B
B
A
A
1
2
3
4
5
6
7
8
9
10
11
12
13
1
2
AC_MUTE_DET
X2_VOLT_DET
+8V_IN
+8V_IN
AGND
AGND
AGND
AGND
AGND
-8V_IN
-8V_IN
3
4
5
FRONT_CNT (FOIL SIDE)
REG (FOIL SIDE)
C
D
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
RED
CN307
J387
JP8
JP7
CN10
JP6
CN9
USB (COMPONENT SIDE)
3
J43
J37
J33
3
J34
J44
CP7
BD101
CP801
98
C336
C335
R412
VBUS
+DATA
-DATA
GND
GND
A
DA+5V
AC MUTE DET
AC MUTE DET
X2 VOLT DET
X2 VOLT DET
DA+5V
TRG+15V
TRG_GND
A+8V
2
Pb
E
RL+B
RL_GND
TRG+15V
TRG_GND
A+8V
A-8V
A-8V
AGND
AGND
AGND
AGND
TU_3.3V/5V/9V
TU_RTGND
TU_3.3V/5V/9V
TU_RTGND
F
RL+B
RL_GND
G
USB (FOIL SIDE)
1
C362 D332
USB B'D
7020-06962-000-0S
A
BD103
VBUS
-DATA
D331
B
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
65
L8
C79
C78
CP13A
IC1
AZ4580
R51
R52
C64
R40
C67
R41
C72
R45
R38
C61
Q18
C82
C83
Q19
C77
R42
R50
C74
C73
NC
TRIGGND
TRIG+15V
MXR
GND_MX
MXL
AGND
SIRIR
AGND
SIRIL
TRG2
TRG1
232IMO
232OMI
REMOTE3.3V
3
R43
C84
R44
R49
R48
RC_IN
FRONT_IRIN
BT_LINK
RC_OUT
KILLR
WAKEUP
A-8V
A-8V
A+8V
A+8V
SIRIUSMUTE
SIRIUSRST
SIRIUSMI
SIRIUSMO
D/MGND
M3.3V
SWM3.3V
SWM5V(MX)
D5V(MX)
4
.Z2L
PREGND
DVR_REC_R
PREGND
DVR_REC_L
PREGND
VCR_REC_R
PREGND
VCR_REC_L
D
C85
.Z3R
PREGND
.Z3L
PREGND
.Z2R
PREGND
.Z2L
PREGND
DVR_REC_R
PREGND
DVR_REC_L
PREGND
VCR_REC_R
PREGND
VCR_REC_L
7028-06943-401-0
SIDE CNT_B'D
GND
TXD
RXD
GND
TU_RST
PRESL
NC
GND
GND
TU_3.3V
TU_3.3V
AUDIO_L
AUDIO_M
C63
5
.Z3R
PREGND
.Z3L
PREGND
.Z2R
PREGND
PRESW2
PREGND
PRESBR
PREGND
PRESBL
PREGND
PRESR
PREGND
PRESL
PREGND
PRESW
PREGND
PREC
PREGND
PREFR
PREGND
PREFL
J35
C
6
PRESW2
PREGND
PRESBR
PREGND
PRESBL
PREGND
PRESR
PREGND
PRESL
PREGND
PRESW
PREGND
PREC
PREGND
PREFR
PREGND
PREFL
J29
7
TU_RST/ST
TU_SEN
TU_GPIO2/TUNED
TU/TXMI/SCL
TU_RXMO/SDA
SIR_MI/RDS_CLK
SIR_MO/RDS_DATA
J27
B
8
NC
TRG2
TRG1
232IMO
232OMI
REMOTE3.3V
RC_IN
FRONT_IRIN
BT_LINK
RC_OUT
KILLIR
WAKEUP
A-8V
A-8V
A+8V
A+8V
SIRIUSMUTE
SIRIUSRST
SIR_MI/RDS_CLK
SIR_MO/RDS_DATA
M/DGND
M3.3V
SWM3.3V
SWM5V(MX)
D5V(MX)
CP3
9
AGND
AGND
A-8V
A-8V
A+8V
A+8V
TU_MUTE
J36
18
TUNERR
GND_TU
TUNERL
GND_TU
MXR
GND_MX
MXL
GND_MC
SIRIR
AGND
SIRIL
AGND
A-8V
A-8V
A+8V
A+8V
AGND
AGND
AGND
J85
Pb
17
NC
ACMUTEDET
X2VOLTDET
DA+5V
DA+5V
TU_SEN
TU_GPIO2/TUNED
TU_TXMI/SCL
TU_RXMO/SDA
CN4
TU_RXMO/SDA
TU_TXMI/SCL
TU_GPIO2/TUNED
TU_SEN
DA+5V
DA+5V
X2VOLTDET
ACMUTEDET
NC
REMOTE3.3V
232OMI
232IMO
TRG1
TRG2
SIRIL
AGND
SIRIR
AGND
MXL
GND_MX
MXR
TRIG+15V
TRIGGND
NC
16
NC
RL_GND
RL+B
NC
TU_RTGND
TU_3.3V/5V/9V
M/DGND
TUNERR
GND_TU
TUNERL
HD_TU_GND
J28
J25
J31
J84
HD_TU_GND
TUNERL
GND_TU
TUNERR
M/DGND
TU_3.3V/5V/9V
TU_RTGND
NC
RL+B
RL_GND
NC
MTG
BKT101
D5V(MX)
SWM5V(MX)
SWM3.3V
M3.3V
D/MGND
SIRIUSMO
SIRIUSMI
SIRIUSRST
SIRIUSMUTE
A+8V
A+8V
A-8V
A-8V
WAKEUP
KILLR
RC_OUT
BT_LINK
FRONT_IRIN
RC_IN
CP4
J30
C62
AGND
AGND
AGND
A+8V
A+8V
A-8V
A-8V
AGND
SIRIL
AGND
SIRIR
GND_MC
MXL
GND_MX
MXR
GND_TU
TUNERL
GND_TU
TUNERR
J81
CN5
71
C3 0
7
C363
C372
C3 30
D3
B
J23
CP8
15
J24
J79
J82
J76
J80
D5V(MX)
SWM5V(MX)
SWM3.3V
M3.3V
M/DGND
SIR_MO/RDS_DATA
SIR_MI/RDS_CLK
SIRIUSRST
SIRIUSMUTE
A+8V
A+8V
A-8V
A-8V
WAKEUP
KILLIR
RC_OUT
BT_LINK
FRONT_IRIN
RC_IN
REMOTE3.3V
232OMI
232IMO
TRG1
TRG2
NC
14
SIR_MO/RDS_DATA
SIR_MI/RDS_CLK
TU_RXMO/SDA
TU/TXMI/SCL
TU_GPIO2/TUNED
TU_SEN
TU_RST/ST
TU_MUTE
A+8V
A+8V
A-8V
A-8V
AGND
AGND
J83
C70
JP2
J77
J40
J86
R47
C75
NC
NC
NC
NC
NC
NC
NC
NC
TUNERL
AGND
TUNERR
C68
J78
J50
AUDIO_R
AUDIO_M
AUDIO_L
TU_3.3V
TU_3.3V
GND
GND
NC
PRESL
TU_RST
GND
RXD
TXD
GND
C81
PREFL
PREGND
PREFR
PREGND
PREC
PREGND
PRESW
PREGND
PRESL
PREGND
PRESR
PREGND
PRESBL
PREGND
PRESBR
PREGND
PRESW2
J98
C69
J100
C80
PREFL
PREGND
PREFR
PREGND
PREC
PREGND PREGND
.Z2R
PRESW
PREGND PREGND
PRESL
PREGND PREGND
.Z3R
PRESR
PREGND
PRESBL
PREGND
PRESBR
PREGND
PRESW2
J39
J99
J101
VCR_REC_L
PREGND
VCR_REC_R
PREGND
DVR_REC_L
PREGND
DVR_REC_R
PREGND
VCR_REC_L
PREGND
VCR_REC_R
PREGND
DVR_REC_L
PREGND
DVR_REC_R
PREGND
.Z2L
PREGND
.Z2R
PREGND
.Z3L
PREGND
.Z3R
J97
J95
J96
CP802
M3.3V
232IMO
232OMI
M/DGND
+DATA
GND
+DATA
GND
2
13
R3
USB B'D
7020-06962-000-0S
12
R411
VBUS
Pb
-DATA
11
R53
1
GND
GND
-DATA
+DATA
VBUS
10
JP1
C334
SIDE_CNT (COMPONENT SIDE)
SIDE_CNT (FOIL SIDE)
2
1
A
A
PQ033DNA1ZPH
IC109
B
J42
J52
J51
Q15
C
R37
R54
Q16
C66
C65
D
Q17
R39
E
R46
C76
C71
CP6
F
G
CN11
H
H
I
I
FRONT (COMPONENT SIDE)
5
6
7
8
9
10
11
FOPT
D/MGND
VSELB
VSELA
ISELB
ISELA
KEY3
KEY2
KEY1
NC(DOORDET)
H/PDET
MICDET
PURELED(SR5)
MDAX_LED(SR6)
PURELED(SR6)
S.BY_GRN_LED
FLRST
MDAX_LED(SR5)
FLCE1
FLDATA
FLCLK
S.BY_RED_LED
POWERKEY
SWM5V(MZ)
FRONT_IRIN
H/PR
H/PL
H/PGND
VAUXV
VGND
MIC
MICGND
VAUXL
AGND
VAUXR
A-8V
A+8V
SWM3.3V
D/MGND
M3.3V
J348
J349
J329
R335
C314
R410
R408
J389
J352
J355
J353
J356
SR5005 - KSA916Y
SR6005 - KTC1027Y
SURROUND
MODE
AUTO
DISPLAY
STATUS
RETURN
BAND
R301B
ZONE
ON/OFF
ZONE SELECT
C331
D
C342
J375
ZD308
MTZ5.1B
C332
J326
SR5005
C364
C333
MENU
R304A
J325
C343
ZD307
MTZ5.1B
R399
ZD304
ZD303
C367
C366
J372
J374
J365
C
R409
J376
J354
J342
J344
R405
100/10(6.3*5)
J335
J381
J357
J347
J345
J380
J346
J338
J359
J336
J334
J366
J333
SR5005 : OPEN
SR6005 : JUMPER
M-DAX
R403
SR5005 : OPEN
SR6005 : JUMPER
R422
R419
R353
R354
R351
J363
J382
VOLUME
R413
J339
J351
J350
J364
SR6005
R
B
VEC304
R427
SR5005 : OPEN
SR6005 : 100
J388
(24V)
R435
R425
SR5005 : 100
SR6005 : 10
(16V)
R196
(18V)
SR6005
SR5005
D321 D322
(18V)
J337
ZD304
CN300
J330
R197
ZD303
A
J340
J373
R407
R406
C320
C319
D322
D321
ZD301
SR5005 - 6.8V
SR6005 - 7.5V
G
J358
3D
SR6005
18
J378
J379
J327
J371
17
R415
R420
SR6005 ONLY
R401
R404
FIL1
FIL2
OPEN
OPEN
Vkk GND
Vkk
OPEN
{RefDes}
J343
D321 D322
J386
J370
R389
LED301
16
SR5005 : JUMPER
SR6005 : OPEN
C312
10/50(5*7)
{RefDes} {Value}
(SR5005)
PURE
DIRECT
C317
R346
R320
SW302A
IC304
ICP-N15
Q300
SW308A
SW303A
SW303C
SW307C
SW301C
SW301A
C341
SW303B
PURE
DIRECT
R319
C329
J362
E
J311
J385
J313
J383
J312
LEFT
RIGHT
SW305A
SW305B
CN306
SW304A
ENTER
ZD318
MTZ5.1B
IN
J314
RIGHT
R303A
VIDEO
H/PR
J367
H/P_DET
H/PL
H/P_GND
ICT
MICDET
MIC
MICGND
C349
LEFT
SWM_3.3V
D/M_GND
C340
J384
J361
R
G
J315
SW302C
OP
V.AUX B'D
7020-06962-000-0S
F
SW306B
DOWN
ST-BY
SW309
Pb
JACK301
PLT2
PLT1
G
H/P (COMPONENT SIDE)
2
5
A
Pb
C357
JACK303
J320
R378
J319
CP306
4
J317
MICDET
MIC
MICGND
SWM3.3V
MIC, H/P B'D
D/MGND
OPTIN 7020-06962-000-0S
H/PDET
IN
ICT
OP ADJ
FI
H/PL
H/PGND
H/PR
3
J318
1
J316
R199
UP
R364
SR6005
FI
R388
R361
J321
ADJ
SW304B
SW306A
J360
OPTIN
SR5005
15
J341
INPUT
SELECTOR
R.NO SR5005
14
J332
{RefDes}(SR6005)
{Value}
Pb
VEC302
R350
13
7020-06962-000-0S
FRONT B'D
CP308
12
J377
4
J323
3
J324
2
R402
1
B
R380
PLT3
PLT4
J322
JACK310
JACK365
C
D
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
66
FRONT (FOIL SIDE)
15
14
13
12
11
10
9
8
6
5
4
3
2
1
A
R431
R430
R430 - SR5005 ONLY
R431 - SR6005 ONLY
C347
FRONT B'D
7020-06962-000-0S
7
FOPT
D/MGND
VSELB
VSELA
ISELB
ISELA
KEY3
KEY2
KEY1
NC(DOORDET)
H/PDET
MICDET
PURELED(SR5)
MDAX_LED(SR6)
PURELED(SR6)
S.BY_GRN_LED
FLRST
MDAX_LED(SR5)
FLCE1
FLDATA
FLCLK
S.BY_RED_LED
POWERKEY
SWM5V(MZ)
FRONT_IRIN
H/PR
H/PL
H/PGND
VAUXV
VGND
MIC
MICGND
VAUXL
AGND
VAUXR
A-8V
A+8V
SWM3.3V
D/MGND
M3.3V
C384
R416
SR5005 : 0
SR6005 : OPEN
Pb
C350
C385
16
C346
Q317
R426
R333
R421
C324
Q309
Q310
R360
R362
C323
C322
USE
10
Vkk
100N
-
USE
100
OPEN
1000N
USE
FL1
C387
C352
C360
R434
C337
C361
R426
VkkGND
OPEN
Q302
R_NO.
Q315
R428
SR5005 SR6005
15K
12K
12K
15K
OPEN
R313
Q315
C386
B
R_NO.
R423
R424
FL2
R428
R434
Q312
R429
C325 C373
R414
C337
C360
R424
R423
Q313
CLAMP
R363
C306
C361
Q314
R
17
G
18
C359 C353
SR5005 SR6005
KTC3875S
39K
100K
SR5005
SR6005
6R8
2R2
C315
C
5
R3
8
R390
R383
R355,R358
R383,R390
R355
-
R429
R377
Q318
R314
R305A
R303C
RETURN
R302C
AUTO
R376
SURROUND
MODE
UP
C348
R359
R357
R356
C338
R303B
R302A
RIGHT
E
R367
R336
C339
R342
MICDET
MIC
MICGND
SWM3.3V
H/PDET
OPTIN
D/MGND
PLT2
H/PL
H/PGND
H/PR
R302B
Pb
C318
C321
PLT1
G
R337
LEFT
ENTER
C374
R
Q320
R373
C375
Q311
AZ4580M
IC305
C354 C356
V.AUX B'D 7020-06962-000-0S
DISPLAY
C365
CLAMP
STATUS
MENU
R381
BAND
R301C
R375
ZONE
SELECT
ZONE
ON/OFF
R328
C327
R323
IC301
AZ4580M
C326
R330
R301A
R332
R329
C328
R339
C345 C344
PURE
DIRECT
R338
D
R306A
R365
C330
R334
F
DOWN
ST-BY
G
H/P (FOIL SIDE)
5
4
3
3
1
MICDET
MIC
A
MICGND
C351
SWM3.3V
D/MGND
OPTIN
Pb
C369
C368
PLT4
MIC, H/P B'D
7020-06962-000-0S
H/PDET
H/PL
H/PGND
H/PR
B
PLT3
R379
C355
D313 D320
C
C358
D
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
67
( K2021
)
LOW UP
K2001
( K2011
)
LOW UP
C
Y K2002
C
Y
JV189
7.5
K2003
K2005
K1001
( K1011
)
UP
K1002
B2001
JV22
JV46
( K1012
)
B2002
15.0
UP
68
15.0
17.5
JV186
JV110
15.0
JV134
C1030
C1025
JV152
20.0
N3003
JV103
7.5
JV65
JV81
7.5
JV53
K1003
( K1013
)
JV136
B2004
JV202
JV107
JV232
JV210
7.5
C1022
10
JV231
7.5
JV204
C2069
JV106
JV132
C1015
JV83
JV169
JV150
7.5
7.5
JV120
JV133
JV130
L1002
12.5
JV250
C1014
B2005
JV139
12.5
12.5
12.5
12.5
12.5
C2068
JV16
7.5
JV201
X1001
X1002
JV30
17.5
JV138
17.5
12.5
JV153
12.5
12.5
12.5
JV244
JV75
JV1
JV128
JV31
JV154
JV191
12.5
C2074
JV2
9
JV87
JV50
C1026
C1029
JV209
12.5
JV245
17.5
JV198
20.0
17.5
JV131
25.0
JV157
JV230
JV228
7.5
JV203
B2003
JV95
JV256
JV70
JV118
12.5
7.5
JV229
N3002
JV242
12.5
JV177
12.5
JV18
15.0
8
JV246
JV205
25.0
25.0
JV117
JV123
20.0
JV7
20.0
JV164
22.5
JV148
JV160
JV129
15.0
JV88
20.0
JV60
JV19
20.0
JV32
25.0
JV25
JV243
22.5
JV64
JV253
JV146
12.5
JV137
JV226
JV47
JV227
JV176
JV14
JV3
JV48
7.5
JV58
JV79
15.0
15.0
JV115
7.5
JV57
JV185
JV161
JV33
C2060
N2001
JV188
12.5
JV74
17.5
JV56
20.0
C3001
22.5
JV184
JV162
JV66
JV254
JV251
JV149
22.5
20.0
20.0
20.0
JV249
25.0
22.5
25.0
JV178
15.0
12.5
JV4
C2073
JV85
22.5
22.5
JV80
JV216
JV252
15.0
JV180
JV86
JV49
JV37
JV183
7.5
12.5
JV27
JV93
JV174
JV255
17.5
C2065
12.5
C2047
12.5
N3001
7
JV114
20.0
JV5
JV59
[VSDA]
JV12
[VSCL]
JV142
JV38
JV127
20.0
JV52
B2006
12.5
20.0
JV225
7.5
6
JV181
JV98
22.5
22.5
JV15
22.5
JV175
22.5
JV68
22.5
JV167
22.5
JV10
22.5
JV126
22.5
C2031
7.5
JV223
15.0
JV101
JV224
JV144
JV24
JV165
17.5
25.0
C2032
JV156
JV44
N2002
JV182
JV172
JV147
12.5
JV222
12.5
12.5
JV158
5
7.5
JV99
7.5
JV195
JV116
JV78
JV100
JV28
7.5
JV143
JV111
12.5
JV61
JV41
JV197
15.0
JV193
JV20
JV96
C2034
JV77
JV13
12.5
JV21
JV159
12.5
JV168
15.0
C2033
15.0
JV104
JV124
20.0
JV105
20.0
JV8
17.5
JV241
15.0
15.0
15.0
15.0
JV72
JV213
17.5
12.5
JV221
12.5
C2018
17.5
17.5
15.0
20.0
JV23
JV54
JV179
JV192
JV43
JV97
JV62
JV42
JV112
12.5
JV113
JV82
JV91
R2151
R2152
MP1
JV234
JV166
JV240
12.5
JV84
JV199
25.0
12.5
4
JV235
JV141
JV200
JV194
JV214
12.5
12.5
C2077
25.0
JV239
JV215
12.5
12.5
JV122
25.0
C2011
3
C2078
JV155
C2012
ICT
JV29
JV238
JV108
15.0
JV145
15.0
25.0
25.0
7020-06951-000-0S
VIDEO B'D
25.0
C2009
25.0
25.0
25.0
IN
7.5
JV220
JV9
7.5
JV76
C2010
JV92
JV26
22.5
JV34
12.5
JV219
JV6
JV55
JV36
JV163
15.0
C2051
0
JV151
JV237
JV102
C2017
JV236
17.5
17.5
7.5
JV135
JV73
N2004
2
B
C
E
JV125
7.5
20.0
17.5
12.5
25.0
25.0
25.0
25.0
12.5
JV67
JV211
631010085006S
8U-110085
JV218
17.5
JV170
JV90
JV63
JV109
JV140
JV11
1
C2006
20.0
15.0
JV171
15.0
JV45
15.0
JV39
17.5
JV17
JV51
7.5
7.5
7.5
JV119
JV196
JV212
C2008
JV35
JV121
JV89
JV71
25.0
25.0
JV173
C2005
C2007
JV217
VIDEO (COMPONENT SIDE)
11
12
OP ADJ FI
A
12.5
N2003
JV208
B
25.0
25.0
20.0
JV207
7.5
JV206
JV69
C
12.5
JV248
JV94
C1013
D
22.5
7.5
JV190
C1032
JV247
17.5
C1036
25.0
C1016
Q1004
UP
E
JV233
JV187
F
L1001
U1001
G
H
I
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
VIDEO (FOIL SIDE)
12
11
10
9
8
7
6
5
4
3
2
1
C1010
R3002
R2032 C2026
R2031 C2025
R2030 C2024
Q2011
C2042
D2009
R1
R2005
C2014
U2005
C2013
R2014
R2061
R2006
C2044
C2043
R2131
R2088
R2090
R2018
R2139
R2023
R2024
C2002
R2025
R2026
R2128
R2129
C2016
R2070
R2068
R2081 R2051
R2082 R2052
F
C2003
R2007
C3003
R2019
R2015
R3
R2130
U2007
R2126
U2001
R2127
U2002
R2008
U2006
R1030
U3002
R2115
R2114
R2113
R2118 R2116
R2117
R3004
U3001
R3003
C2001
R2138
E
R2069 R2067
R2089 R2079 R2049
R2087 R2080 R2050
C3002
R1029
R2017
R2013
R2133
R2
R2132
R2021
R2022
R2060
R2092
R1001
R1004
C2015
C1018
R1027
R1018
R1019
C1023
C1019
R1021
C2004
G
R2002
R2001
R2003
R2012
R2010
R2120
R2119
R1050
R1054
R1051
R1059
R1056
R1060
R1057
U2008
R2011
R2027
R2028
R2020
R2016
C2075
C2076
R2009
R1041
R2004
R1052
R1049
R1053
R1058
R1055
R2121
R1043
R1040
Q1002
D
C1007
R1007
C1004
R1026
R1044
Q1001
R1010
C2049
R2105
C2081
R2106
C2080
Q1003
C1028
U2004
R1008
R1002
R1005
R1016
R1020
R1045
R1042
C1020
C2040
R3001
C2063
C1005
R1028
R1048
R1047
R1046
C1009
R1009
R1011
C1027
D1001
C1035
C1038
C1037
C1017
C1012
R1012
U1003
C1024
Q3001
C1001
C2055
C2048
R2134
Q1006
R1038
R1039
R1036
R1037
R1034
R1035
R1017
R1025
B
C
R1015
D1002
Q3002
R1003
R4
R2122
C2050
C2046
C2061
U2003
R1013
R1014
R1022
R1006
C1002
U1002
R1023
R2078
R2074
C2030
R2062
R2056
R2047
D2004 R2039
C2057
C2058
C2054
C2053
R2091
C1033
R1024
C1021
R2066
R2048
R2040
C2022
D2008
Q2008
Q2004
C1003
C1011
C1008
Q1005
R2077
C2038
R2073
C2029
R2059
R2055
R2045
D2003 R2037
Q2012
R2124
C1006
C2067
C2070
C2066
C2071
R2143
R2096
C2045
C1031
R7
R2076
C2037
R2065
R2046
R2038
C2021
R2086
D2007
Q2007
Q2003
R2112
R2107
R2155
R6
C2035
R2072
C2028
R2058
R2054
R2043
D2002 R2035
R2094
R2098
R5
C1034 R1031
R1032
R2084
R2075
C2036
R2064
R2044
R2036
C2020
R2085
D2006
Q2006
Q2002
C2041
R2140
R2108
R2111
R8
R9
R2110
R1033
Q2009
C2079
R2042
R2034
C2019
Q2010
R2071
C2027
R2057
R2053
R2041
D2001 R2033
R2109
C2062
C2064
R2142
C2059
C2056
R2141
C2052
R2083
C2039
R2123
R2063
R2135
R2104
R2103
R2154
R2102
R2153
R2101
R2093
R2100
D1004
R2125
R2029 C2023
D1003
A
D2005
Q2005
Q2001
H
I
69
HDMI (COMPONENT SIDE)
7
8
N2702
14
15
16
C9000
C9005
C9010
C9015
C9020
A
U2502
C2532
C9090
C9095
L2701
C2517
L2714
R1002
L2715
L2716
L2717
L2718
L2720
L2719
L2829
L2828
L2827
L2826
L2713
L2712
L2711
L2710
L2709
L2708
L2707
L2706
L2705
L2704
L2703
L2702
C9030
C9025
C2540
R2524
C9045
R2523
C2541
R2525
C2543
R2526
C2544
C9040
C9115
C9075
C9119
C9080
C9123
C9085
C9035
C9111
C9055
C9099
C9060
C9103
C9065
C9107
C9070
C2555
R2527
Q2523
C2553
C1351
N1301
C2552
S1301
C9002
R1322
R1321
R1301
U1302
1
44
176
R1318
C9027
C9136
R1337 R1327
R1336 R1328
45
R1304
C9052
R1324
R1323
R1350
R1349
C1358
R1303
133
132
89
R1312 R1311
F
C9062
R1309 R1307 R1305
R1310 R1308 R1306
R1094
633010052101S
8U-310052
1 DIGITAL UNIT
MP2
R1037
C9108
G
R1510
R1509
R1507
R1506
R1502
R1067
R1505
R1065
R1079
R1504
C9148
C9057
R1064
R1503
R1063
R1501
R1085
C1133
C1135
C1137
C1122
C1123
C1112
C1110
C1108
C1102
X1002
C1015 C1016
R1034 R1035
C9047
R1078
C9112
X1301
R1332
C1304
88
R1302
R1313
C1343
C9127
R1320
R1314
U1303
R1315
R1316
1
R1319
E
R1330
C1305
R1508
C1321
N3301
R1068
C1504
R1069
R1070
C1505
U1501
C1503
C1501
C9053
TOP
R1326
11
D
R1066
R1003
C9017
R1004
C9077
C1012
C1011
R1071
H
R1523
R1522
R1072
C1524
D1026
D1041
D1042
IPSCL
IPSDA
R1524
R1544
R1535
C1519
C1520 R1536
D1507 D1508 D1511 D1512
D1506 D1509
I
D1510
K1501
Q1007
Q1008
R1031
C1506
C1507
R1539 C1526 C1508
R1534
R1537 D1502
C1515
R1538 D1503 R1529
C1525 D1504 R1530
D1025
D1027 D1028 D1043 D1044
C9096
D1505
U1001
R1016
C9032
R1019
D1015
R1030 D1022
R1090
R1028 D1016
R1021 D1023
R1089
R1018 D1017
D1024
D1039
Q1006 R1017
C9132
D1020 D1021 D1040
R1029
R1020
D1018
D1019 D1037 D1038
C9104
R1533
R1532
D1501
Q1005
C1051
C1053
C1049
R1730
R1731 R1096
K1002
K1001
C1360
R1080
C9037
R1076
R1013
D1008
R1026 D1009
L1003
Q1004 R1015 D1010
R1027 R1014 D1013 D1014 D1036
D1035
Q1003
R1741
Q1001
R1335 R1333
R1331 R1329
R1088
D1011 D1012 D1033 D1034
VCC G
K1704
K1703
IN
R1936
R1935 R1934
R1948
D1002
R1737
R1736
Q1002
R1733
R1732
C1709
C1708
VCC OUT
G
K1702
VCC OUT
G
C1721
R1938
R1937
R1939
C1954
C1961
C1940
C1941
R1942
R1941
R1959
R1960
R1961
R1962
R1963
R1966
R1964
R1949
R1965
R1723 R1720
R1953
R1010
R1950
C1966
D1001
X1902
R1717
C1967
R1024
R1714
R1716 R1725
D1003
U1706
R1713 R1719 R1722
R1728
R1012
R1709 C1703
R1025
C1702
R1712
R1011
R1735 R1734
D1032
D1006 D1007
D1031
D1004
R1727
R1726 C1701
D1005 D1029 D1030
C1126
C1124
R1745
C1724
R1910
R1946
R1947
X1701
C1715
C1714
R1743 R1744
1
C9012
C9131
C1009 R1082
C1010 R1083
C1004
C1001
C1002
C1003
R1007 C1007
C1008
R1005 C1005
R1006 C1006
R1753
R1742
105
C1955 R1740
104
R1739
R1738
C1711
53
C1091
C1090
R1075 R1073
C1083 C1084
R1703
U1707
R1930
R1933
52
C
C2548
C2550
TOP
C9007
C9042
C1037
C9033
R1926
R1945
C9140
R1317
C1928
R1920
R1921
R1943
C9050 L2503
C2542 R2522
7
R1325
C1020
C1019
X1001
C1013 C1014
R1032 R1033
C1927
C2523 C2514 L2504
C2549
C9101
C9022
1
R1927 R1925 R1924 R1922
156
R1747
157
C1919
C1921
C1922
C1924
C2551
C9082
R3347
R3345
R3342
C3316
R3340
C1902
C2546
C9135
C9105
R3346
C3317
N1901
U1901
208
R1932
X3302
10
1
L2509
C1322
C1323
U1301
Q1301
C9109
R1039
R1036 C1116
C1038 C1114
L1001
C1054
C1052
C9133
C1912
R1911
C1908
U2504
R2532
U2503
R3371
C9149
R3302
R1904
R1749
C1947
R1913
R1902
C9129
R3309
R3351
R1914
R1916 R1915
R3355
R3357
R3320
R3321
R3322
HSDA
R1702
R1919
R1918
C9125
Q2519 Q2520 Q2518
Q2521
R3318
R3358
R3319
R3374
R3375
R3305
R3306
C3303
C2554
R1907
B
C9072
C9087
C9097
U3301
R3303 R3361
R3304
R3362
C9121
R3363
R3364
R3366 R3365
C3301
C3302
R3368 R3367
R3370
C2709
C2707
C2704
C2703
Q2522
C3309
C3304
R3315
R3316
R3317
C9003
R3356
C9092
HSCL
R3323
C3313 U3302
R3324
C9086
R3301
R3307
R3369 R3308
R3373
R3311
C3306 R3312
R3313
R3359
Q2507
L2817
G L2816
L2814
L2813
U2505 C2556
R3378
7
1
TOP
C1901
R1906
R1970 R1971
C9076
C9117
R1928
R2341
C2368
L2304
R2349
C2370
L2505
N1701 TOP
R2533
R2528
R2179
C9044
Q2529
R2549
C9145
S1901
R3151
R3152
C3126
R3175
R3176
R1977 R1976
R1972 R1973
R1975 R2938
R1974
C2363
C9146
R2343
R2346 R2342
Q2302
Q2306
C2366
C2515
R1752
C2502
R2502
L2510
Q2516
C9081
C9113
R2512 R2517
C2529 R2513 C2520
L2506
R2345
Q2304
C9018
U2306
R1724
R1721 R1718
R1715
U1703
R1704
R1706
C1706
C9141
Q2508
R2161
R2162
R2177
R2137
R2127
R2112
Q2906
R3150
R3149
R3148
R3147
R3160
R3146
R3145
N2301
C9034
R2344 C9031
Q2305
L2306
C2371
C1707
R2340
C2367
L2305
R2350
C2369
C2524
C2522 C2513 L2501
R2519
Q2528
C2503
R2503
L2512
L2511
C2537
R3172
R3134
R3133 R2908
R3136 R3135
I
C1946
R1729
R2333
K1701
D3101
R2339
R2347
C9028
D1701
X2302
Q3103 R3111 C1704
Z1
R3102
R3101
R3108
Q3102
Q2303
R2314
L2301
L2302
R2327
R2323
N4401
R2328
C2343
C2347
U2501
Q2515
Q2525
R2534
R2529
C2545
C2155
C2147
R2139
R2129
R2116
R2163
C2154
R2178
R2164
R2113
R2128
R2138
C2146
C2153
C2145
R2165
R2166
R2140
C2148
C2156
R2117
R2130
R2106
R2914 C2910
C2908 L2787
C2911 R2913 R2912 R2911
C2909
L2788
L2789
R2926
Q2904 R2928
Q2903
L2760
L2759
L2758
L2757
1
C1971
C2521
C2518
L2752
L2753
C2701
L2721
L2751
L2750
L2749
L2748
L2747
L2746
L2745
L2744
L2743
L2742
L2741
L2740
L2739
L2738
L2737
C9063
R2180
R2168
R2167
C2516
L2722
L2723
R2103
L2821
L2822
L2765
C9014
U2304
R2321 R2317
R2316 R2315
R2330 R2320
R2302
C2302
R2301
C9118
8
TOP
R2322
R2326
R3114
N2706
R3139 R3138
R3141
R2310
R2308
C2321
K3103 C9110
K1706
C9106
N2901
L2830
R2932
R2931
R2933
R2930
R2929
73 L2761
72
U3102
R2329
C3101
C9114
L2820
C2717
L2819
C2719 C2714
L2792
L2791 C2713
L2790
L2502
Q2524
R2520
C2538
R3104
R3165
R3127
R3129
R3163
R3164
R3174
R3162
R3161
R2515
R2514
C2530
R2518
R1751
R2149 R2115
R2150 C2139
R2145 R2111
R2146 C2137
J
H
C2336
R2348 C2340
C2342
L2831
R2324
C9066
C2355 C2354
D3102 R3107
R3103
D3103
C3102
D3111 D3107
D3104
K3102
D3109
4 K3101
L2508
R2927
A
R2902
1
D
Q2907
37
11
J
R3140
R3158
C2306
C2307
R3112 R3113
C3105 C3106
C3107
C3108
Q3104 Q3105
D3112
C3110
C2901
R2903
R2901
U2901
R3109
D3108
D3105
Q2905
I 36
U2301
C3109
Q2901
C3104
C3103
D3110
R2925
R3166
R3143
R3173
C9019
C2722
C2723
C2726
C2728
VCC U3101 OUT
Q2902
R2915
Z3101
G
C2116
C2124
U2905
R2531 Q2527
108
G
D3106
A
L2782 L2783
L2507
F
C
C9069
C9142
C9023
D1702
C1705
R1707
R1705
C2305
R3156
R2152
R2151
L2784 L2785 L2786 L2823
N2710
R2313
R2307
R3144
C2323
R2336
H
C2132 C2133
R2105 R2104
R2118
C2140
C2708
TOP
1
R2109
R2110
R2114 R2148
39 C2138 R2147
X3102
C3123 C3122
R3128
N3101
C2112
C2111
L2793
R3177
L2796
L2795
L2794
L2797
L2802
R3120
L2801
R3121
L2800 L2799 R3125
R2937
L2815 C2724 R3126
C2705
L2798
C2903
1
R3169
R3170
R3122
R3119
C3113
144
1
R2107
R2108
C2547 Q2517
C2904
40
C2718
L2810
13
C2568
C2560
C2501
R2501
2
Q2531
R2701 L2803
L2804
R2702 L2805
R2703 L2806
L2808
R2704 L2807
C9074
C9079
N2708
L2811
L2812
C2120
C2110
C2115
U2904
L2818
C2711
C2721
R2905 R2910
B
Q2532
R2536
C2706
R3167
R2530
C3111
Q2526
L2766 R2535
L2767
L2768
L2769
L2770
L2771
L2772 L2773
L2774
C9051
L2775
109
L2780
L2781
B2701
C9126
C2720
L2809
L2762
L2763
L2764
R2102
U2101
C9151
C2162
C2126
C2102
C2109 C2907
R2909
C2906
U2305
F
C2356
R2510 R2516
C2528 R2511 C2519
C2121
C2122 C2716
C2105
R2101
C9130
C2114
U2104
C2161
U2103
C2136
C2131
N2709
C9102
D
12
C9152
R2332
C2129
C9098
C
C2101
11
N2703
L2303
L2756
L2755
U2903
L2754
C2359
C2360
C2361
C2362
L2733
N2707
L2732
L2730
R2136
R2135
L2728
L2727
L2726
L2725
L2724
L2729
L2731
C9073
C2130
L2736
L2734
L2735
C9083
10
L2516
C2702
B
9
N2701
6
N2501
5
R1917
4
R1940
3
N2704
2
N2705
1
K1003
K1004
CEC-COM
J
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
70
HDMI (FOIL SIDE)
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
C1062
C1026
C1066
R3330
C2157
R2169
R2170
R2181
U2105
C2149
C2106
C2135
R2141
R2131
R2120
C2158
R2182
R2172
R2171
R2121
R2132
R2142
C2150
R2907
R2906
C9089
L2825
C9009
C9122
L2779
L2778
L2777
L2776
D
R3137
R2545
R2537
Q2534
R3154 R3153
R3155
R3159
C2344
C2301 C2310
C2316
C2331
C9071
C2308
C2350
U2302
H
C9004
C2318
U2303
C2349
C2325
C2348
G
C2309
R2305
C2332
C2346
C2319
C2339
R2306
C2341
C3119
F
C2303
C2315
C9150
C9064
C2345
C2572
R2548 R3131
C3128
C2311
C2317
C2353
C2322
C2329
C2352
C2320
R2309
R3171
E
C3120
Q3110
C3118
C3127
C3114 C3124
R3178
R3130
C2304
C2559
Q2535
R2547
D2502
U2506
R2546
C2571
C3125
C2561
U3103
R2921
R2919
R2920
C2913
Q2533
C9084
R3124
R3132
R2918
R2916
R2917
C2912
C9026
R2541
C2569
R2542
R2544
R3123
R3157
R3168
C3121
C3116
R2543
C2570
Q3101
Q2909
C3112 C3115
C9046
C2564
R2539
C2565 R2538
C9056
Q2910
C3117
C1970
R1710
Q3106 Q3107 Q3108 Q3109
R3110
C1973
C1976
C1975
C1977 C1980
C2328
Q2301
C2324
R2304
R2303
C2313
C2312
C2314
C2338
C
R2540
C9001
R1955 C1974
C1972
C1981
C1923
C1934 C1930 C1926
C1937
C1953
Q2908
C2351
C9038
C1979
Q3303
R3331
R2311
R2312
C2330
C2333
C2334
R2318
C2335 C2327
R2319 C2337
C2326
C2134
C2103
C9153
R2125
R2134
R2144
C2152
U1002
D3301 C3314
R1981
R1978
R1956
C1968
C9043
R1957
U1903
R3327
R1980
C1962
R1951
R1952
C1963
C1719
C1720
C1713
C1978
C1920
C1907
C1931 C1929 C1925
C1913
C1906
C1910
C1914
C1905
C1909
R1923
R1968
R1944
R1954
R1967
R1979
C1710
C1717
C1938 C1943
C1956
C1948
C1722
C1712
C1718
C1939
C1960
C9134
B
R3115 R3116 R3117 R3118
C9039
C9029
R2924
R2922
R2923
C2914
C1949
C1957
C1964
C1965
C1950
C1958
C1951
C1911
C1959
C1952
C1945
R1746
C1138
C1139
C1723
C1101
C1100
C1099
C1918
C9036
U1902
R1958
R1077
C1043
C1041
R1038
C1088
C1045
C1121 C1103
C1078
C1070
C1098
C1071
C1072
C1063
C1064
C1915
R1931
C1134
C1132
C1130
C1136
C1716
C1089
C1069
R1929
C1936
R1909
D1045
C1087
C1057
C1056
R1091
C1917
C1932
C1933
R1908
C2159
R2173
R2174
R2183
R2184
R2176
R2175
C2160
C2107
C2117
C9094
R1903
R1905
C1935
R1748
C1916
C1726
C1725
C1944
U2102 C9155
C9011
C9041
C9013
R1912
R1701
C1727
R1049
R1047
R1050
R1048
R1001
C1055
C9006
C1097
C1096
C1728
R1043
Q1009
C1065
R1901
C1904
C1104
R1087
C1039
C1047
C1067
R1095
C1080
C1111
C1107
C1109
C1113
C1115
C1117
C9016
Q1902
Q1901
C1942
C1092
C1017
R1084
C9061
R1022
R1008
R1009
C1036
C1029
C1030 C1035
C1031 C1034
C1032
R2156
R2155
R2119
C2141
D2501
C9024
C9008
L1002
C1059
C1058 C1094
C1093
C1048
C1046
C9067
R1086
C1127
C1018
C1040
R1042
R1044
C1105
C1082
C1119
R3310
C9021
C1903
R3314
R3333
R1339
R1342
Q3301 Q3305
R3352
C3310
C3308
C3312
C3307
R3336
R3329
R1540
C1340
C1042
C1044
C1077
R1023
R1062
R1052 R1061 R1059 R1057 R1055
R1060 R1058 R1056
R1051
R1093
R1046
C1079
R1040
C2730
C2729
C2727
C2725
C9137
C9147
C9143
C9139
C9124
R1341
R1541
C1331
C1068
C1075
R1543
C1076
C1028
C1027
C1523
C1514
C1522
C1512
R1531
C1513
U1502 R1542
C1527
L1503
C1509
R1526
C1521
L1502
R1053
R1054
C1528
R1518
R1519
R1520
C1511
C1502
C1510
R1521
C1516
C1517
R1528
Q3306
C1086
C1085 R1074 C1095
R1081
C1060
C9128
C1061
C1131
C1125
C1129
C1128
C1050
C1073
C1074
R1041
R1045
C1106
C1120
C1310
C1312
C1118
C1081
Q1501
C1024
C1023
C1025 C1022
C1021
R1092
C1033
L1501
C1518
R1527
C1341
Q3302 R3339
R3338
R3337
Q3304 C3315
R2122
C2142
R2153
R2154
C9154
C2104
C9138
C1969
Q2514
Q2513
C1353 C1359
C1348
C1344
R1344 R1343
R1346 R1345
R1348 R1347
C1320
C1319
C1306
C1313
C1301
C1302
C1308
Q1502
C9100
C1332
C1329
C9091
R3353
C3305
C1328
C1342
C1325
C1334
C1330
C1324
R1512
R1514 R1516
R1513
R1511
R1517
R1515
R1525
C1339
C1333
C1335
R1338
C1350
L1302
L1301
C1356
R1340
R3372
R3354
C1345
C1338
C1354
C1352
R3332
R3335
R3334
R3343
R3341 R3344
C9068
C9088
R2157 R2123
R2158 C2143
L2824
C3311
R3350
R3349
C9116
C1346
C1347
C1303
C1315
C1314
C1311
C1309
C1307
C1349
R3360
R3325
C9144
C1337
C1336
C1327
R1334
Q1302
C1316 L1303
R3326
R3328
C1326
C1355
C1357
C9048
C1318
C1317
C9059
C9054
R3348
C9120
Q2511
Q2512
Q2510
R1750
R2325
C9049
C2128
C2715
C2712
C2710
U2106
C2151
R2143
C2119
R2133
R2124
C2533
Q2549
Q2536 Q2537
C2525
R2126 R2160
C2144 R2159
C9078
C9093
C2123
C2536
C2113
C2127
C2118
C2125
R2553
Q2543 Q2542
C2531
C2535
R2551 R2552
Q2550 Q2541 Q2540
C2357
C2108
C2527
C2358
R2331
R2506
C2526
C9058
Q2509
R2507 C2539
R2521
R2936
R2550
R2504
R2934
R2935
U2902
R2509
C2509
C2905
C2902
R2904
R2508
R2505
C2508
C2510
L2515
L2513 C2507
L2514
Q2530
C2512
C2534
C2511
C2365
R2338
R2335
R2334
R2337
C2364
C2567
C2566
C2563
C2562
C2557
C2558
A
R1708
R1711
R
R
L
L
I
J
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
71
AUDIO [INPUT] (COMPONENT SIDE)
3
4
R3178 R3188
CN3001
C3157
C3163
C3140
C3150
C3137
R3207
C3149
IN
ICT
OP ADJ
FI
C3153
-
C3121
C3139
BAR CODE
C3130
C3000
IC3009
AZ4580
IC3005
AZ4580
D
C3018
IC3000
AZ4580
C3035 C3031
C3138
C3142
C3122
R3000
R3039
C3019
C3017
C3030
C3034
L3000
L3001
PHONO
C3101
C3022
R3209
IC3010
AZ4580
C3023
Pb
C3151
C3108
C3097
C3132
R3150
R3146
R3157
C3024
EXT.FL_FR
7028-06939-101-0S
JK3003
C3103
INPUT B'D
JK3004
R3179
C3090
C
C3109
IC3012
AZ4580
C3095
R3134
B
C3123
IC3011
NJW1194A
C3110
IC3006
AZ4580
A
CP3001
R3203
IC3008
AZ4580
11
C3143
C3136
C3105 C3107 R3156 C3106
10
EXT.C_SW
R3151 C3100
9
EXT.SL_SR
IC3007
NJW1194A
C3102
8
JK3005
R3133 R3140
C3096
7
EXT.SBL_SBR
C3147
C3131
6
AMP_SBR
AGND
AMP_SBL
AGND
AMP_FR
AGND
AMP_SR
AGND
AMP_C
AGND
AMP_SL
AGND
AMP_FL
C3104
5
C3148
2
C3160
1
C3033
E
R3129
C3055
C3041
6
R3224
IC3001
AZ4580
C3036
C3057
G
C3085
100
95
JK3002
C3071
90
45
C3077
85
DVR
35
40
H
50
C3064
SAT/CBL
80
70
75
65
60
IC3003
R2A15220
55
C3007
C3006
10
C3009
15
C3008
20
C3010
30
C3011
C3073
C3062
26
C3013
C3012
C3078
DOCK
CN3000
AGND
MIC_IN
AGND
V.AUXR
AGND
V.AUXL
AGND
USBR
AGND
USBL
NC
DACRTGND
DACRTGND
DASBR
AGND
DASBL
AGND
DASR
AGND
DASL
AGND
DASW
AGND
DAC
AGND
DAFR
AGND
DAFL
AGND
ADIN_R
AGND
ADIN_L
AGND
R3054 R3049
C3014
F
C3089
C3079
C3015
C3074
C3052 C3059
C3050
C3046
C3045
C3044
C3043
C3053
TUNERR
AGND
TUNERL
AGND
MXPR
AGND
MXPL
AGND
SIRIUSR
AGND
SIRIUSL
AGND
-7V
-7V
+7V
+7V
C3016
C3027 C3086
C3028
C3066
R3223
MVOL_CLK
MVOL_D ATA
VOL_STB
VOL_D ATA
VOL_CLK
DA ZONE3R
AGND
C3067
C3154
C3069
DARTGND
DARTGND
DARTGND
DA ZONE3L
C3125
AGND
DA ZONE2R
AGND
DA ZONE2L
AGND
R3167
CD
C3112
CX3001
C3060
C3084
C3076
C3070
C3063
C3037
I
C3054
C3039
C3002
CX3003
C3040
BD
C3001
CX3002
IC3002
AZ4580
JK3001
CX3000
C3061
IC3004
AZ4580
ZONE3_R
AGND
ZONE3_L
AGND
ZONE2_R
AGND
ZONE2_L
AGND
DVR_REC_R
AGND
DVR_REC_L
AGND
VCR_REC_R
AGND
VCR_REC_L
PRESW2
AGND
PRESBR
AGND
PRESBL
AGND
PRESR
AGND
PRESL
AGND
PRESW
AGND
PREC
AGND
PREFR
AGND
PREFL
D3023
D3025
DVD
C3003
C3038
C3005
C3004
J
C3051
K
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
72
AUDIO [INPUT] (FOIL SIDE)
7
R3231
R3218
C3162
R3221
C3145
D3021 D3020
R3213
8
R3220
6
5
4
3
2
1
A
AMP_SBR
AGND
AMP_SBL
AGND
AMP_FR
AGND
AMP_SR
AGND
AMP_C
AGND
AMP_SL
AGND
AMP_FL
9
C3161
10
R3217
11
R3232
R3222
R3103
D3008
C3042
R3056
R3202
R3052
R3233
R3236
R3155
C3117
R3164
R3145
R3132
R3136
C3094
R3193
R3060
R3079
R3045
R3044
R3043
R3072
R3067
R3065
R3071
R3066
R3068
R3055
R3046 C3049
R3235
R3234
R3153
R3189
R3141
C3047
R3041
D3002
R3117
R3100R3093
R3104
R3078
R3075
C3058
R3076
R3073
R3087
R3113
R3102
C3068
R3089
R3086
R3091
R3047
D3005
ZONE3_R
AGND
ZONE3_L
AGND
ZONE2_R
AGND
ZONE2_L
AGND
DVR_REC_R
AGND
DVR_REC_L
AGND
VCR_REC_R
AGND
VCR_REC_L
R3080
R3120
R3107 R3116
R3096
R3099
R3074
R3059
R3077
C3056
R3062
R3063
D3004
R3160
R3206
R3137
R3154
C3091
E
R3148
R3143
R3142
R3147
C3156
R3040
R3048
R3058
R3069
C3065
R3083
R3084
C3075
R3105
D3007
D3003
R3197
R3198
R3192
R3191
R3032
10
R3
D3009
D3006
R3108 R3115
TUNERR
AGND
TUNERL
AGND
MXPR
AGND
MXPL
AGND
SIRIUSR
AGND
SIRIUSL
AGND
-7V
-7V
+7V
+7V
DARTGND
DARTGND
DARTGND
R3085 R3090
PRESW2
AGND
PRESBR
AGND
PRESBL
AGND
PRESR
AGND
PRESL
AGND
PRESW
AGND
PREC
AGND
PREFR
AGND
PREFL
R3081
C3113
C3114
C3092
R3011
R3004
R3042
1
R3095
R3226
MVOL_CLK
MVOL_D ATA
VOL_STB
VOL_D ATA
VOL_CLK
DAZONE3R
AGND
DAZONE3L
R3025
AGND
DAZONE2R
AGND
DAZONE2L
AGND
R3024
R3106
R3092
C3048
R3050
R3
C3082
R3122
R3057
09 R3114
8
R3119
R3125
R3033
D
C3093 R3138
R3135 R3130
R3183
C3127
R3171
R3061
R3111
C3088
R3127
C3083
C
R3118
R3 307
09 2
7
D3011 D3010
R3
C3 10
0 9
R3 80
11
0
C3165
C3081
R3126
R3031
C3087
R3123
D3012
D3013
R3121
R3128
R3219
R3038
C3116
R3159
R3131
R3149
R3180 R3175
R3029
R3205
R3037
C3029
R3124
C3128 R3186
R3022
R3028
R3036
R3165
C3126
R3021
R3030
R3177
R3181
C3129
R3023 R3014
R3020
C3021
R3007
R3200
C
R3144
C3158
R3210
R3176
R3015
C3020
C3164
R3212
R3215
R3034
C3032
R3168
R3152
R3163
C3026
C3025 C3120
R3169
D3015 D3014
D3000
C3111
R3190
R3008
R3006
R3035
C3133
R3211
C3159
R3214
R3228
R3166
R3170
D3001
R3216
R3201
C3155
C3124
R3173
R3158
C3119
R3182
C3115 C3135
R3162 R3185
D3017 D3016
C3118
R3187
C3099
R3139
C3098
R3184
C3134
R3112
R3064
R3174
R3172
B
C3152
R3094
R3229
R3230
R3227
R3088
R3082
C3141
R3195
C3146
R3204
D3019
C3144
R3208
R3070
R3199
R3194
R3161
D3018
R3196
R3225
F
R3027
R3026
G
AGND
MIC_IN
AGND
V.AUXR
AGND
R3003
V.AUXL
R3005
AGND
USBR
AGND
R3002
USBL
NC
R3001
DACRTGND
DACRTGND
DASBR
AGND
R3019
DASBL
AGND
R3017
DASR
AGND
DASL
AGND
R3013
DASW
AGND
DAC
R3010
AGND
DAFR
AGND
R3018
DAFL
AGND
ADIN_R
R3016
AGND
ADIN_L
AGND
R3009
H
I
J
R3012
R3053
R3051
K
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
73
PREOUT (COMPONENT SIDE)
3
4
6
7
8
R4339
R4135
R4087
R4090
R4101
C4039
C4031
C4022
C4014
R4077
R4002
R4004
C4002
R4014
C4007
R4013
R4019
R4343
C4008
C4157
C4006
C4064
Q4044
R
L
ZONE3_R
ZGND
ZONE3_L
ZGND
ZONE2_R
ZGND
ZONE2_L
ZGND
DVR_REC_R
AGND
DVR_REC_L
AGND
VCR_REC_R
AGND
VCR_REC_L
H
R
I
Q4025
TUNERL
TUNERR
TU_5V
SCLK
SDIO
SEN
TU_RST/ST
TU_GPIO2_TUNED
RDS_DATA
RDS_CLK
GND
NC
SDA
SCL
ST_IND
TUNED
TUNER_R
GND
TUNER_L
GND800
C4136
L4001
K
L4002
Q4100
CP4002
C4135
Q4101
C4150
CP4003
C4131
D4002 C4149
J
R4315
Q4102
L4000
GND801
R4325
D4001
C4130
R4036
D4000
C4129
Q4099 Q4098 C4132
R4332
Q4049
C4059
CX4002
SW2
AGND
SBR
AGND
SBL
AGND
SR
AGND
SL
AGND
SW
AGND
C
AGND
FR
AGND
FL
CX4001
CP4000
C4134
C4133
Q4037
Q4020
R4132 Q4034
R4114
R4105
Q4048
R4133
C4055
C4158
G
R
L
R4149
C4061
C4159
R4345
C4000
Q4010 Q4013
Q4045
R4062
Q4017
Q4041 Q4033
Q4021 Q4024
R4055
Q4016
ZONE2
R4005
C4001
F
Q4009
ZD4000
Q4027
R4125
Q4030
R4080
Q4040
R
L
C4016
R4344
A
D
K AT
NE L DA CL _D
D D D
TU C /S S_ S
T
GNAGN AGN
2/ I/S MO /RD /RD
S
E
V
A
/
D
T
O
N /5 D R
L U_ _ U_
U ST N PI XM X I O
D
TG .3V GN ER D ER _T TU _T V V V 8V M _R SE _G _T _R _M _M
GN +B
_R _3 D N GN N D D_ D -8 -8 +8 + U_ U U_ U U TU SIR SIR
NC RL RL NC TU TU M/ TU A TU H H H A A A A T T T T T
L
ZONE3
R4033
R4038
C4015
IC4009
AZ4580
Q4026
PRE
SW2
R4044
R4052
R4025
C4010
R4032
C4156
C4079
C4082
C4028
C4009
C4060
C4089
C4071
C4090
FR
C4024
R4024
E
C4018
DVR REC
C4047
C4072
R4051
R4151
C4063
C4053
C4122
C4017
R4143
C4056
C4104
C4080
C4114
C4112
C4113
C4121
C4103
FL
R4043
R4342
IC4008
AZ4580
C4081
C4111
R4065
7028-06939-201-0S
FI
ADJ
ICT
C4174
C4168
R4353
C4033
R4041
R4022
IN
R4348
R4347
R4346
C4172
R4350
R4083
D
SW
C4032
R4057
OP
R4199
C4088
R4208
C4026
R4076
C
JACK4001
R4349
C4170
C4025
C4173
C4095
R4207 C4175
C4087
IC4002
AZ4580
IC4003
AZ4580
R4064
C4023
C4075
R4175
R4209
R4060
PREOUT B'D
R4189
Q4064
R4193
R4195
Q4062 D4024
Q4061
R4172
R4210 R4186
Q4067
Q4057
R4278
C4128
R4288
C4120
Pb
R4197
R4171
C4066
R4280
R4188
C4171
R4191
R4187
R4194
R4185
Q4066
R4192
D4023
R4274
D4033 Q4089
R4290
R4252
IC4007
AZ4580
D4022
R4196
D4025
C4076
R4177
C4169
Q4087
Q4085 D4031 Q4083
Q4081
C4127
C4098
C4097
R4289
IC4006
AZ4580
C4107
R4255
R4266
Q4091
R4273
R4279
R4268 R4272
Q4090
Q4080
R4277
R4251
R4287
R4352 C4119
C4108
R4257
R4351
R4271
Q4084
R4267
R4270
Q4058
C4041
JACK4002
H/P_L
H/P_GND
H/P_R
RLY_H/P
RLY_F
RLY_C
RLY_S
RLY_SB
RLY_FB
RLY_H
PREZ2MUTE
PRESBMUTE
PRESWMUTE
NC
NC
NC
MUTE3.3V
NC
NC
NC
NC
RLY_W
PREZ3MUTE
PRESMUTE
PRESW2MUTE
PRECMUTE
PREFMUTE
D4030 Q4082
R4265
Q4086 D4032 Q4088
.H
R4276
C4077
Q4063
Q4065
R4198
C4096
R4200
Q4056
Q4060
C4065
R4275
R4269
Q4059 R4190
C4109
CX4000 S
C4084
C4078
C4116
C4040
JACK4003
C4083
C4110
C4115
SR
R4107
R4182
C4164
C
C4035
R4102
C4167
C4105
C4160
R4262
C4161
SL
C4034
AZ4580
IC4000
AZ4580
IC4001
C4085
R4201
R4281
C4117
C4163
C4049
R4091
C4091
B
SBL
SBR
C4048
R4203
C4086
AZ4580
IC4005
C4106
R4122
R4121
C4073
R4283
C4118
C4162
C4092
C4074
R4261
C4124
C4102
C4043
R4127
R4181
C4166
C4165
C4069
C4070
R
JACK4004
R4110
R4112
C4123
A
C4051
C4050
R4145
C4058
H/PR
H/PGND
H/PL
RELAY_H
NC
NC
RELAY_SB
RELAY_S
RELAY_C
RELAY_F
RELAY_W
RL+B
RL+B
RLGND
NUTE_7V
LIMIT+
LIMIT+
LIMITLIMIT-
SBR
SBL
AGND
FR
AGND
AGND
C
SR
AGND
SL
AGND
FL
JACK4005
R4130
R4098
C4042
C4101
11
L
CP4004
C4153
IC4004
AZ4580
10
BKT01
CX4003
AGND
9
VCR REC
CN401
5
R4136
2
R4113
1
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
74
PREOUT (FOIL SIDE)
8
7
D4015
R4284
R4260
R4282
R4293
R4249
R4301
R4263
R4285
R4017
R4264
Q4002
R4134
R4000
R4322
R4321
R4328
R4324
R4327
C4147
R4334
R4333
R4320
J
R4313
R4314
C4152
R4329
C4151
R4009
R4323
R4337
R4319
R4316
R4318
R4330
H
I
R4317
R4338
C4137
C4138
C4143
C4144
C4145
C4146
R4331
C4148
R4336
R4335
VCR_REC_L
AGND
VCR_REC_R
AGND
DVR_REC_L
AGND
DVR_REC_R
ZGND
ZONE2_L
ZGND
ZONE2_R
ZGND
ZONE3_L
ZGND
ZONE3_R
R4010
Q4006
R4120
R4140
R4100
R4118
R4063
R4097
R4286
R4184
R4074
R4070
R4048
R4029
R4050
F
G
Q4003
FL
AGND
FR
AGND
C
AGND
SW
AGND
SL
AGND
SR
AGND
SBL
AGND
SBR
AGND
SW2
R4146
R4144
R4031
SI
R_
M
SI O/
R_ R
TU MI/ DS_
D
R
TU_RX DS AT
_ M _C A
TU TXM O/S LK
_G I/ DA
PI SC
TU T O2/ L
_R U_ TU
ST SE NE
TU /ST N D
_
A+ MU
A+8V TE
A- 8V
A 8V
HD-8V
_T
HD U_
_ A
HD TU GND
_ _
TU TU AGN
N _A D
AGERL GN
D
TU ND
N
M ER
TU /DG R
_ N
TU 3.3V D
_R /5
NC TGN V
D
RL
+
RL B
G
NC ND
R4012
R4326
C4141
C4140
C4139
R4206
R4183
R4205
R4072
R4011
E
R4235
R4253
R4018
R4141
R4142
Q4004
R4355
R4354
R4357
R4356
R4147
R4152
R4150
Q4005
H/P_L
H/P_GND
H/P_R
RLY_H/P
RLY_F
RLY_C
RLY_S
RLY_SB
RLY_FB
RLY_H
PREZ2MUTE
PRESBMUTE
PRESWMUTE
NC
NC
NC
MUTE3.3V
NC
NC
NC
NC
RLY_W
PREZ3MUTE
PRESMUTE
PRESW2MUTE
PRECMUTE
PREFMUTE
R4256
Q4078
R4303
R4241
R4245
R4299 R4247
D4028
Q4074
C4099
C4125
R4307
R4236
R4310
D
R4239 R4234
C4100
Q4076
R4233
Q4079
R4237
R4254
R4238
R4291 R4309
Q4092 R4295
R4258
R4240
R4305 R4308
R4160
R4226
C4126
D4034
Q4096
R4298 R4300 Q4097
R4304
R4248 R4246
Q4055
R4178
R4292 R4158
R4312
Q4093 R4296
Q4075
D4035
R4306
R4227
Q4094
R4297
R4302
Q4095
R4162
R4218
R4173
Q4073
R4167
R4155
R4232
Q4069 R4212
Q4054
R4229
R4001
Q4000
Q4077
R4242
R4174
C4068
C4094
R4230
Q4011
R4030
Q4001
C4067
R4243
R4244
R4006
R4148
C
R4259
D4029
D4021
Q4051
R4156
Q4012
R4037
R4026
C4013 R4034
R4035
R4039
R4003
R4008
C4003 R4007
R4211
C4093
R4159 R4154
R4231
Q4007
R4176
R4153
Q4008
R4049
Q4018
Q4068
Q4019
Q4050
R4219
R4217
R4215
R4056
D4027
R4165
Q4072
R4216
R4168 R4220 R4166
R4224
D4020
Q4014 R4040
Q4015
R4157
R4311
Q4052
Q4070
R4161
D4026
R4225 R4228
D4005
R4180
Q4053
R4222
Q4071
R4163
R4221
R4223
R4073
R4023
R4028
C4011
D4006
R4250
R4164
R4089
R4085
R4096
R4092
D4009
D4010
Q4029 Q4028
R4045
R4027
R4294
R4169
R4204
R4066
R4082
R4053
R4170
R4099
Q4022 R4059
Q4023
R4042
R4047
C4019
D4004 D4003
D4019 D4018
D4016
R4214
Q4036 Q4035
R4061
R4068
C4027
C4005 R4015
R4016
R4020
D4017
R4179
R4213
R4093
R4106
C4038 R4103
R4104
R4108
R4067
D4008 D4007
C4154
C4004
R4202
R4086
R4071
R4069
R4081
R4075
D4011
D4012
R4088
R4095
C4036
C4021
R4054
R4058
C4012
R4119
Q4031
Q4032
R4021
D4013
D4014
Q4043 Q4042
R4124 R4123
C4046
R4128
R4094
R4046
C4062
B
R4115
R4126
C4030 R4078
R4079
R4084
C4057
FL
AGND
C
SL
AGND
C4020
SR
C4029
1
AGND
C4045
AGND
C4037
FR
AGND
Q4038 R4109
R4111
R4117
C4044
2
A
Q4046
R4137
Q4039
3
SBR
R4116
4
SBL
AGND
Q4047
5
H/PR
H/PGND
H/PL
RELAY_H
NC
NC
RELAY_SB
RELAY_S
RELAY_C
RELAY_F
RELAY_W
RL+B
RL+B
RLGND
NUTE_7V
LIMIT+
LIMIT+
LIMITLIMIT-
C4052 R4138
R4139
R4131
6
R4129
R4341
C4054
R4340
C4155
9
D4036
10
C4142
11
K
鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).
75
A
B
C
D
E
F
G
J
K
L
M
N
O
R703
1.2K
R403
270(1)
Q401
KTA1024Y
2
R409
150K
R428
0
C408
22/50
C406
220P-500V
Q408
KTA1268
OPEN
OPEN
R427
1.2K
D443
D444
Q407
KTA1268
3
R436
470K
13 12 11 10 9 8 7 6 5 4 3 2 1
C404 OPEN
R408
OPEN
R420
220K
R432
2.7K
R415
22(1)
R429
33K
C410
100/50
R434
3.3K(1)
C409
220P-500V
VR401
1K
C411
470P-500V
R422
470
R433
220K
R435
560
R413 R414
10K 10K
ZD403
MTZJ4.7B
R424
0R47(2)
R425
0R47(2)
R430
0R47(2)
R431
0R47(2)
C401
100N-K
C403
10N-K
R411
150K
Q403
2N5401S
D402
1SS133T R417
180K
R423
10K
R426
5.6K
R724
56K
R439
22(1)
R442
1K(1)
D405
1SS133T
R443
270(1)
D406
1SS133T
2
Q405
2N5401S
R421
22K
Q406
2N5551S
FR
C414
OPEN
R441
1K(1)
15K
22K
22K
FL
FL
Q410
2SB1647
C435
OPEN
C413
2N2-C
R412
R416
R419
R407
10K
D404
1SS133T
Q412
KTC3206
Q411
KTC3206
Q404
2SD2560
ZD402
MTZJ4.7B
Q409
KTC3964
D403
1SS133T
R438
220
R717
220
R440 R710
150 150
R418
15K(2)
TP401
1 2 3
C
SL
SR
FL
FR
C
SL
SR
3
CP402
C416
10/100
C415
10/100
PAGE 3(A3)
SPK B'D
J416
AGND
4
R718
220
R484 R711
150 150
6
Q423
KTC3206
ZD406
MTZJ4.7B
R467
0R47(2)
R468
0R47(2)
R474
0R47(2)
R475
0R47(2)
R469
5.6K
R725
56K
R483
22(1)
C430
OPEN
R486
1K(1)
D411
1SS133T
R487
270(1)
D412
1SS133T
DC_DET4
ASO_DET
RADI_THERMAL
TR_THERMAL
C432
10/100
J520
CP403
5
PAGE 3(A5)
SPK B'D
R470
OPEN
FR
C431
10/100
R733
150K
R734
150K
R449
PRF18BD471Q
R464
PRF18BD471Q
Q418
2N5551S
DC_DET3
Q422
2SB1647
C499
OPEN
R485
1K(1)
C419
OPEN
D410
1SS133T
Q424
KTC3206
C429
2N2-C
15K
22K
22K
+HIGHB
+HIGHB
+HIGHB
-HIGHB
-HIGHB
-HIGHB
HIGHGND
HIGHGND
HIGHGND
PREGND
D458
1N4148
R479
560
R482
220
D409
1SS133T
R466
10K
R455
R459
R462
D457
1N4148
R477
220K
ZD405
MTZJ4.7B
D408
1SS133T R460
180K
5 4 3 2 1
R481
33K
R465
470
VR402
1K
C427
470P-500V
R456 R457
10K 10K
1.2K
C428
470P-500V
C425
220P-500V
Q421
KTC3964
Q416
2SD2560
R551
OPEN
Q415
2N5401S
R536
R480
470K
R473
33K
C426
100/50
R478
3.3K(1)
R463
220K
R476
2.7K
R458
22(1)
C418
100N-K
R454
150K
330/6.3
330/6.3
22/16
OPEN
OPEN
2.2K
2.2K
Q419
KTA1268
R461
15K(2)
TP402
1 2 3
R493
OPEN
C513
C514
C515
C516
C517
R731
R732
Q420
KTA1268
OPEN
OPEN
R472
0
D445
D446
C_IN
FR_IN
AGND
AGND
SR_IN
SL_IN
AGND
AGND
AGND
SBL_IN
AGND
SBR_IN
C422
220P-500V
C421
220P-J
C424
22/50
C420 OPEN
R451
OPEN
Q414
KTA1024Y
CP401
R471
1.2K
C417
OPEN
D407
1SS133T
R453
0
Q417
OPEN
R450
PRF18BB471QB5RB
R508
OPEN
Q413
KTA1024Y
R452
150K
R445
OPEN
R448
270(1)
-HIGHB
R447
270(1)
+HIGHB
R704
1.2K
HIGHGND
R444
1.2K
R446
100K
47/50
ZD404
MTZJ5.1A
10 9 8 7 6 5 4 3 2 1
J518 OPEN
C423
FL
AGND
SL
AGND
C
AGND
SR
AGND
FR
AGND
SBL
AGND
SBR
R437
33K
FL_IN
PAGE 9(04)
AUDIO B'D
C412
470P-500V
C402
OPEN
Q402
KTA1024Y
C405
220P-J
R406
PRF18BB471QB5RB
R404
OPEN
R405
270(1)
D401
1SS133T
R410
0
1
5 4 3 2 1
R401
1.2K
R402
100K
C407
ZD401
MTZJ5.1A
5
I
7CH-AMP B'D (1/2)
1
4
H
DC_DET4
DC_DET3
ASO_DET
RADI_THERMAL
TR_THERMAL
CN404
6
PAGE 24(E5)
HDMI B'D
J544 OPEN
R488
1.2K
R490
100K
R705
1.2K
R491
270(1)
Q425
KTA1024Y
C437
220P-J
R515
0
Q432
KTA1268
Q431
KTA1268
8
R523
470K
C444
470P-500V
R524
33K
R527 R712
150 150
OPEN
OPEN
C440
22/50
C438
220P-500V
R516
33K
D447
D448
R514
1.2K
C436 OPEN
R495
OPEN
Q426
KTA1024Y
C442
100/50
R521
3.3K(1)
R719
220
R505
15K(2)
R507
220K
C441
220P-500V
C443
470P-500V
R519
2.7K
Q433
KTC3964
VR403
1K
R509
470
R520
220K
R502
22(1)
Q428
2SD2560
R500 R501
10K 10K
ZD408
MTZJ4.7B
D415
1SS133T
ZD409
MTZJ4.7B
C434
100N-K
R498
150K
Q427
2N5401S
D414
1SS133T R504
180K
10K
R511
0R47(2)
R512
0R47(2)
R517
0R47(2)
R518
0R47(2)
R513
5.6K
R526
22(1)
Q434
2SB1647
C446
OPEN
R528
1K(1)
R529
1K(1)
9
D417
1SS133T
R530
270(1)
D418
1SS133T
15K
22K
22K
Q430
2N5551S
8
C
C467
OPEN
C445
2N2-C
R726
56K
R499
R503
R506
D416
1SS133T
Q436
KTC3206
Q435
KTC3206
TP403
1 2 3
R522
560
R525
220
7
R494
PRF18BB471QB5RB
C433
OPEN
D413
1SS133T
R497
0
R496
150K
R489
OPEN
R492
270(1)
47/50
ZD407
MTZJ5.1A
C439
7
C448
10/100
C447
10/100
9
J468
ASO
RADI_THERMAL
SR
TR_THERMAL
SL
DC_DET4
-HIGHB
HIGHGND
-B
SL_IN
SR_IN
AGND
SBL_IN
AGND
SBR_IN
AGND
AGND
10
+HIGHB
J537 OPEN
PAGE 2
AMP B'D 2/2_M1
10
ANALOG AUDIO SIGNAL LINE
PAGE 2
AMP B'D 2/2_A1
SCHEMATIC DIAGRAMS (1/28)
A
B
C
D
E
F
G
H
76
I
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
L
N
O
Q438
KTA1024Y
C454
220P-500V
C453
220P-J
C456
22/50
R558
0
Q444
KTA1268
OPEN
OPEN
R557
1.2K
Q443
KTA1268
D449
D450
2
R566
470K
C460
470P-500V
R567
33K
R720
220
R570 R716
150 150
R548
15K(2)
R559
33K
C458
100/50
R564
3.3K(1)
R550
220K
C457
220P-500V
R560
2.7K
VR404
1K
C459
470P-500V
Q445
KTC3964
R552
470
R563
220K
R545
22(1)
R565
560
R568
220
R543 R544
10K 10K
ZD411
MTZJ4.7B
D421
1SS133T
ZD412
MTZJ4.7B
R554
0R47(2)
R555
0R47(2)
R561
0R47(2)
R562
0R47(2)
Q439
2N5401S
D420 R547
1SS133T 180K
R553
10K
R556
5.6K
R727
56K
R569
22(1)
D423
1SS133T
R573
270(1)
D424
1SS133T
ASO
SR
SL
Q442
2N5551S
SL
Q446
2SB1647
C462
OPEN
R572
1K(1)
15K
22K
22K
2
C451
OPEN
R571
1K(1)
R542
R546
R549
1
D422
1SS133T
Q448
KTC3206
Q447
KTC3206
C461
2N2-C
Q440
2SD2560
C450
100N-K
R541
150K
DC_DET4
1 2 3
-HIGHB
C452 OPEN
R538
OPEN
TP404
RADI_THERMAL
R540
0
C449
OPEN
TR_THERMAL
R539
150K
R537
PRF18BB 471QB5RB
R534
OPEN
R535
270(1)
D419
1SS133T
-B
R533
270(1)
Q437
KTA1024Y
+HIGHB
R706
1.2K
47/50
R531
1.2K
R532
100K
C455
ZD410
MTZJ5.1A
HIGHGND
PAGE 2
AMP B'D 1/2_M10
SL_IN
AGND
SR_IN
AGND
AGND
SBL_IN
AGND
1
SBR_IN
PAGE 2
AMP B'D 1/2_A10
M
C463
10/100
C464
10/100
J442
3
3
J535 OPEN
R707
1.2K
R576
270(1)
Q449
KTA1024Y
R582
150K
R583
0
4
R609
470K
C476
470P-500V
Q456
KTA1268
Q455
KTA1268
R610
33K
R602
33K
C474
100/50
R607
3.3K(1)
R721
220
R613 R715
150 150
5
OPEN
OPEN
R601
0
D451
D452
C472
22/50
R600
1.2K
C470
220P-500V
C465
OPEN
C468 OPEN
R581
OPEN
Q450
KTA1024Y
C469
220P-J
R580
PRF18BB471QB5RB
R577
OPEN
R578
270(1)
D425
1SS133T
R591
15K(2)
R593
220K
C473
220P-500V
R605
2.7K
VR405
1K
C475
470P-500V
Q457
KTC3964
R595
470
R606
220K
R588
22(1)
R608
560
R611
220
C477
2N2-C
Q452
2SD2560
R586 R587
10K 10K
ZD414
MTZJ4.7B
D427
1SS133T
ZD415
MTZJ4.7B
R597
0R47(2)
R598
0R47(2)
R603
0R47(2)
R604
0R47(2)
C466
100N-K
R584
150K
Q451
2N5401S
D426 R590
1SS133T 180K
R596
10K
R599
5.6K
R728
56K
R612
22(1)
Q458
2SB1647
C478
OPEN
R615
1K(1)
D429
1SS133T
R616
270(1)
D430
1SS133T
15K
22K
22K
4
Q454
2N5551S
SR
C483
OPEN
R614
1K(1)
R585
R589
R592
D428
1SS133T
Q460
KTC3206
Q459
KTC3206
TP405
1 2 3
47/50
R574
1.2K
R575
100K
C471
ZD413
MTZJ5.1A
C479
10/100
5
C480
10/100
7CH-AMP B'D (2/2)
J494
J539 OPEN
R617
1.2K
R618
100K
R708
1.2K
R619
270(1)
Q461
KTA1024Y
R626
0
R625
150K
C486
220P-500V
C488
22/50
R644
0
Q468
KTA1268
Q467
KTA1268
7
R652
470K
C492
470P-500V
R653
33K
OPEN
OPEN
R643
1.2K
R645
33K
D453
D454
C485
220P-J
C490
100/50
R650
3.3K(1)
R722
220
R656 R714
150 150
C481
OPEN
C484 OPEN
R624
OPEN
Q462
KTA1024Y
R634
15K(2)
R636
220K
C489
220P-500V
R648
2.7K
VR406
1K
C491
470P-500V
Q469
KTC3964
R638
470
R649
220K
Q471
KTC3206
R631
22(1)
Q464
2SD2560
R629 R630
10K 10K
ZD417
MTZJ4.7B
D433
1SS133T
ZD418
MTZJ4.7B
R640
0R47(2)
R641
0R47(2)
R646
0R47(2)
R647
0R47(2)
C482
100N-K
R627
150K
Q463
2N5401S
D432 R633
1SS133T 180K
R639
10K
R642
5.6K
R729
56K
R655
22(1)
Q470
2SB1647
C494
OPEN
R658
1K(1)
D435
1SS133T
8
R659
270(1)
D436
1SS133T
15K
22K
22K
Q466
2N5551S
7
SBL
C518
OPEN
R657
1K(1)
R628
R632
R635
D434
1SS133T
Q472
KTC3206
C493
2N2-C
TP406
1 2 3
R651
560
R654
220
6
R623
PRF18BB471QB5RB
R620
OPEN
R621
270(1)
D431
1SS133T
47/50
ZD416
MTZJ5.1A
C487
6
C495
10/100
C496
10/100
J546
8
R662
270(1)
Q473
KTA1024Y
9
C502
220P-500V
Q480
KTA1268
Q479
KTA1268
R695
470K
10
C508
470P-500V
R696
33K
R699 R713
150 150
OPEN
OPEN
R687
0
R688
33K
D455
D456
C504
22/50
C506
100/50
R694
3.3K(1)
R723
220
C497
OPEN
C500 OPEN
R667
OPEN
Q474
KTA1024Y
C501
220P-J
R686
1.2K
R664
270(1)
D437
1SS133T
R669
0
R668
150K
R666
PRF18BB471QB5RB
R663
OPEN
R677
15K(2)
R679
220K
C505
220P-500V
R691
2.7K
VR407
1K
C507
470P-500V
Q481
KTC3964
R681
470
R692
220K
R672 R673
10K 10K
D439
1SS133T
ZD421
MTZJ4.7B
R683
0R47(2)
R684
0R47(2)
R689
0R47(2)
R690
0R47(2)
R701
1K(1)
D441
1SS133T
R702
270(1)
D442
1SS133T
C498
100N-K
R670
150K
Q475
2N5401S
R682
10K
R685
5.6K
R730
56K
R671
R675
R678
B
C
D
E
SBR
9
15K
22K
22K
Q478
2N5551S
R698
22(1)
Q482
2SB1647
10
SBR
C511
10/100
C512
10/100
J572
SCHEMAT GRAMS (2/28)
J574 OPEN
A
SBL
CP405
D438 R676
1SS133T 180K
C519
OPEN
C510
OPEN
R700
1K(1)
SBR
D440
1SS133T
Q484
KTC3206
Q483
KTC3206
C509
2N2-C
Q476
2SD2560
ZD420
R693
560
R697
220
TP407
1 2 3
R674
22(1)
47/50
R709
1.2K
C503
R660
1.2K
R661
100K
3 2 1
SBL
ZD419
MTZJ5.1A
PAGE 3(A4)
SPK B’D
J558 OPEN
F
G
H
I
77
J
K
L
M
N
O
A
B
C
D
E
F
G
R38
470(2)
RLY1
BC3-12
K
L
M
2
L2
0.5uH
R7
10(NB)
L4
0.5uH
R11
10(NB)
L5
0.5uH
CN1
R15
10(NB)
CN2
L7
0.5uH
+HIGHB
+HIGHB
+HIGHB
-HIGHB
-HIGHB
-HIGHB
HIGHGND
HIGHGND
HIGHGND
PREGND
C6
0.047
C2
2200P
C3
0.047
C7
2200P
C8
0.047
C13
2200P
C14
0.047
C10
2200P
C11
0.047
C18
2200P
C19
0.047
C15
2200P
C16
0.047
C23
2200P
C24
0.047
C20
2200P
C21
0.047
FR_A
FL_GND
FL_A
C_GND
CEN
RLY2
G5PA-28-MC 12V
R8
10(2)
JACK2
C9
0.047
CV
R10
10(2)
RLY3
G5PA-28-MC 12V
C12
0.047
R12
10(2)
SR
SL
SBR_GND
SBL
SUV
JACK3
R14
10(2)
SBV
C22
0.047
FR_B_GND
FR_B
FL_B_GND
FL_B
RLY5
G5PA-28-MC 12V
R16
10(2)
C25
0.047
RLY6
G5PA-28-MC 12V
F-HV
C26
C27
C28
C29
C30
C31
C32
C33
C34
C35
C36
C37
C38
C39
C40
C41
C42
C43
C44
C45
C46
C47
C48
C49
C50
C51
C52
F-WIDEV
F-HV
Q20
OPEN
R53
OPEN
D11
Q21
OPEN
F-WIDE
D7
KDS4148U
R25
22
Q14
KRC102S
F-HRL
KDS4148U
D6
SBRL
Q7
KRA102S
R24
22
Q13
KRC102S
F-BRL
D5
D4
KDS4148U
Q6
KRA102S
R23
22
Q12
KRC102S
KDS4148U
Q5
KRA102S
R22
22
Q11
KRC102S
SURL
D3
CRL
KDS4148U
D2
Q4
KRA102S
R21
22
Q10
KRC102S
KDS4148U
Q3
KRA102S
R20
22
Q9
KRC102S
FRL
KDS4148U
D1
HPRL
Q2
KRA102S
R19
100
Q8
KRC102S
OPEN
F-BV
SBV
SUV
CV
FV
HPV
7 6 5 4 3 2 1
Q1
KRA102S
OPEN
1000P
OPEN
OPEN
1000P
OPEN
OPEN
1000P
OPEN
OPEN
1000P
OPEN
OPEN
1000P
OPEN
OPEN
1000P
OPEN
OPEN
1000P
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
C56
OPEN
3 2 1
CP1
C54
100N(R)-250V
FL_W_GND
C59
OPEN
C84
OPEN
C89
C91
C90
OPEN
OPEN
OPEN
C88
C86
C87
OPEN
OPEN
OPEN
FR_WIDE
FR_W_GND
6
AC1
AC2
8
C61
100N(R)-250V
C55
12000/71
C57
10/50
C63 1000P
C58
12000/71
D9
D3SB60-5000
D10
D3SB60-5000
10
C60
0.1/50
GND2
SCHEMATIC DI AGRAMS (3/28)
ANALOG AUDIO SIGNAL LINE
A
B
C
D
E
F
5
JACK4
OPEN
9
OPEN
2K2(1)
R33
C62
2K2(1)
R30
R32
2K2(1)
R35
OPEN
R29
2K2(1)
2K2(1)
R31
FL_WIDE
R36
OPEN
2K2(1)
R28
DZ1
MTZ7B
R34
10K(1/4)
H/PL
F-HRL
HPRL
F-BRL
SBRL
SURL
CRL
FRL
F-WIDE
C85
OPEN
7
R26
OPEN
J65
R27
OPEN
H/PR
D8
1SS133T
19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1
CP12
PAGE 10(F8)
FL_WIDE
FL_W_GND
FR_W_GND
FR_WIDE
F_WIDEV
RLGND
CN13
OPEN
CP22
TO PREOUT/AV B'D
JACK5
OPEN
CP13
OPEN
8
10
4
F-BV
R39
10(2)
RLY7
G5PA-28-MC 12V
C53
100/50
9
3
SBR
SBL_GND
RLY4
G5PA-28-MC 12V
C17
0.047
6
H/PR
H/PGND
H/PL
RELAY_H
RELAY_H/P
RELAY_FB
RELAY_SB
RELAY_S
RELAY_C
RELAY_F
RELAY_WIDE
RL+B
RL+B
RLGND
MUTE+7V
LIMIT+
LIMIT+
LIMITLIMIT-
SR_GND
SL_GND
CN3
7
2
FV
C4
0.047
R18
10(2)
10 9 8 7 6 5 4 3 2 1
TO 7CH AMP
PAGE 1(O4)
R17
10(NB)
FR_GND
C5
2200P
FROM
POWER TRANS
SBR
L6
0.5uH
3 2 1
SBL
R13
10(NB)
H/PL
C1
0.047
R6
10(2)
1
JACK1
H/PR
6 5 4 3 2 1
FL
FR
C
SL
SR
5 4 3 2 1
PAGE 1(O3)
R9
10(NB)
PAGE 2(N8)
TO 7CH AMP TO 7CH AMP
L3
0.5uH
470(2)
O
SPK_SMPS (1/2)
HPV
R37
N
RLY8
OPEN
R5
10(NB)
5
J
6 5 4 3 2 1
L1
0.5uH
4
I
SPEAKER B'D
1
3
H
G
H
78
I
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
SPK_SMPS (2/2)
1
2
SMPS B'D
100N-K
C123
R125
1M
MTZ5.6B
OPEN
22K-F
OPEN
R110
R109
R107
56
3K3
R111
IC100
PC123Y22
OPEN
C109
5600/6.3(NXA)
5600/6.3(NXA)
5600/6.3(NXA)
C108
SHORT
SHORT
SHORT
J106
J107
J108
4.7UF-K
C107
SHORT
C111
R108
OPEN
S
S
S
S
S
6
7
8
9
10
1 2 3 4 5
R119
V
6R8
X
C114
OPEN
IC116
KIA2431AP
C116
100N-K
R118
6K8-D
BKT101
M3
RL101
HL31-1AT-5H
TR102
KTC3199Y
R123
D5.2V
D5.2V
DGND
DGND
MAINPOWER
CX105
370MM/5P
D112
1SS133T
C125
OPEN
OPTION
CX102
5
PAGE 23
HDMI B'D (A8)
100N-K
C122
C
C115
2200P/250V
C118
100N-K
100N-K
C121
D
C119
100N-K
C120
1
IC102
TOP258MG
TR101
KTC3199Y
D111
47/25
470K
F103
OPEN
R122
F101
TR100
KTC3199Y
2
15K
47
6
R120
3
R121
TV-8
5
1K
ZD119
JUMPER
R126
C117
10N
SHORT
5
R112 C113
5K6 100N-K
IC101
PC123Y22
ZD116
JUMPER
RL101
C106
270K
7,8
D110
1N4007
4
ZD114
R144
270K
6
1N4007 MTZJ22B
ZD115
MTZ39B
R114
OPTION
1 2
ZD113
JUMPER
10
3
C112
10UF-M/50V
ZD112
D109
100N-K
1 2
ZD110
MTZJ22B
T100
ST-4430A
11
D108
AP01C-V1
ZD111
JUMPER
6
4K7
R124
10K
3 2 1
TO POWER TRANS
1
C110
OPTION
R106
10
ZD109
MTZ39B
CX106
OPEN
J105
ZD118
MTZJ22B
ZD108
MTZ39B
CX100
7
ZD117
MTZJ22B
SHORT
ZD107
MTZJ22B
R115
1M
5
ZD106
MTZJ22B
SHORT
R113
1M
ZD104
MTZJ22B
R104
ZD105
MTZ39B
J104
10N
D103
D25SC6M
J103
1N4007
C133
ZD102
MTZJ22B
J102
D104
JUMPER
ZD103
MTZ39B
1000P/1KV
1N4007
ZD100
MTZJ22B
C103
D102
ZD101
MTZ39B
R101
10N
2M2
1N4007
C131
2M2
C104
470P/250V
CHASSIS GND
1N4007
D101
C105
470P/250V
J101
2
D100
R105
1
JUMPER
3
1M
2
4
OPTION
3
C102
100UF-M/400V
1
BKT100
M3
4
J100
4
1N4007
J150
JUMPER
1N4007
OPTION
R100
1
Neutral
C100
ECQU2A104ML
OPEN
live
L101
OPEN
D106
F100
3
L100
LF4ZBE273H
D105
J180
JUMPER
TH100
OPEN
2
JK100
BKT102
M3
OPEN
3
C101
POWER S/W
AC INLET
2
1 2
CX103
OPEN
1
7
C124
-
OPTION TABLE
N
F
K
JK103
OPEN
8
ZD113
R101
R104
R105
R114
2M2(5)
2M2(5)
1M(5)
270K
2A
6.3A
OPEN
OPEN
OPEN
56K
1.6A
3.15A
2M2(5)
OPEN
2M2(5)
OPEN
1M(5)
OPEN
270K
56K
2A
1.6A
3.15A
1M (5)
ZD109
1M (5)
ZD110
ZD111
ZD116
ZD119
F101
ZD105
MTZJ39B MTZJ39B MTZJ22B JUMPER JUMPER JUMPER JUMPER MTZJ39B MTZJ39B MTZJ39B
ZD108
U
MTZJ39B MTZJ39B MTZJ39B MTZJ39B MTZJ39B
ZD101
OPEN
ZD103
OPEN
OPEN
MTZJ39B MTZJ39B JUMPER JUMPER JUMPER JUMPER JUMPER MTZJ39B MTZJ39B MTZJ39B
1M (5)
1M (5) MTZJ39B MTZJ39B MTZJ39B MTZJ39B MTZJ39B OPEN
OPEN
OPEN
F100
6.3A
8
9
9
JK104
OPEN
10
10
SCHEMATIC DIAGRAMS (4/28)
A
B
C
D
E
F
G
H
I
79
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
M
N
O
REG_SIRIUS_COMMON CNT (1/4)
REGULATOR B'D
1
L
1
D114
SCHOTTKY
OPTIO N_E
IC103
NJM7805FA
C129
3300/16
C127
10/50
-8V
R125
0
OPTION_C
IN
OUT
C133
4700/25
R128 ZD118 R127 ZD117
0 MTZ33B
0 MTZ33B
4
C135
4700/25
C134
100/16
C131
10/50
C132
100/16
AGND
D119
1SS133T
C130
100/16
REG_CNT B'D
IN
IC107
NJM7908FA
OPTION_A
D122
SCHOTTKY
C
F104
zT3.15AL/250V
J436
3
2
1
D125
1N4007
5
C141
OPEN
4
5
6
7
8
F105
zT3.15AL/250V
D127
1N4007
R131
0
D130
1N4007
D131
1N4007
D132
1N4007
CP103
OPEN
AC_IN
VGND
AC_IN
AC_IN
6
z
F106
T3.15AL/250V
D133
1N4007
7
CP102
1 2 3 4
OPEN
OPEN
2200/25
D129
1N4007
FROM TRANS
D128
1N4007
C142
100N(EB)-50V
R130
0
9
10
11
12
OPTION_F
C144
100N(EB)-50V
TRG 15V
NC
TRG GND
D124
1N4007
D145
1N4007
C138
C139
C140
3 2 1
TRG 15V
NC
TRG GND
D126
1N4007
OPEN
CN100
CP100
CP116
OPEN
6
TU_VOLT_IN
TU_VOLT_IN
TU_GND
TU_GND
-5V_IN
-5V_IN
VGND
VGND
+5V_IN
+5V_IN
TRG 15V
TRG 15V
TRG GND
13
13
12
11
10
OPTION_B‫ޓ‬
TU_VOLT_IN
TU_VOLT_IN
TU_GND
TU_GND
-5V_IN
-5V_IN
VGND
VGND
+5V_IN
+5V_IN
TRG 15V
TRG 15V
TRG GND
3 2 1
1
2
3
4
5
6
9
8
7
D123
1SS133T
C136
100/16
R129
OPEN
5
IN
C143
100N(EB)-50V
IC108
NJM2388F
OUT
C137
10/50
RL GND
RL +B
TU_RTGND
TU_5V/9V
AGND
AGND
A-8V
A+8V
TRG GND
TRG 15V
DA_+5V
X2 VOLT DET
AC MUTE DET
NC
IC106
NJM7808FA
OUT
+8V
D121 D120
1SS133T 1SS133T
CN13
3 2 1
CP117
OPEN
A+8V
IN
3
R126
0
D115
1SS133T
D116
1SS133T
R145
OPEN
R146
OPEN
D118
SCHOTTKY
13 12 11 10 9 8 7 6 5 4 3 2 1
REG_SIRIUS_COMMON_CNT
PAGE 6(B9)
OPTION_D
SCHOTTKY
D117
IC105
NJM7805FA
2
IN
IC104
NJM7905FA
OUT
7
D134
1N4007
CP101
OPEN
D136
OPEN
D141
D137
1N4007
1N4007
D142
D138
1N4007
1N4007
D143
D139
D144
D140
1N4007
1N4007
1N4007
OPEN
8
F107
zT3.15AL/250V
C146
100N(EB)-50V
C148
100N(EB)-50V
9
8
7
6
5
4
3
2
1
D135
Q100
OPEN C145
10
AC_MUTE_DET
X2_VOLT_DET
+8V_IN
+8V_IN
AGND
AGND
AGND
AGND
AGND
-8V_IN
-8V_IN
ZD120
OPEN
1N4007
CN101
z F108
C147
100N(EB)-50V
AC_IN
A_GND
AC_IN
CP104
T3.15AL/250V
FROM TRANS
11
10
9
8
7
6
5
4
3
2
8
AC_MUTE_DET
X2_VOLT_DET
+8V_IN
+8V_IN
AGND
AGND
AGND
AGND
AGND
-8V_IN
-8V_IN
11
1
R133
OPEN
Q101
OPEN R132 ZD119
OPEN OPEN
3 2 1
4
OUT
4 3 2 1
PAGE 13(A13)
3
VIDEO_-5V
CN14
VIDEO-5V
VIDEO_GND
VIDEO_GND
VIDEO+5V
C128
10/50
VIDEO_+5V
2
IN
C126
3300/16
OUT
9
9
*OPTION TABLE
SR5005
MODEL
K
F
U
N
AREA
OPTION_A NJM2388F05 NJM2388F09 NJM2388F09 NJM2388F09
USE
USE
USE
USE
OPTION_B
OPTION_C NOT USE
USE
USE
USE
OPTION_D
NOT USE
USE
NOT USE
NOT USE
OPTION_E
3300/16
4700/16
3300/16
3300/16
USE
USE
USE
OPTION_F NOT USE
10
SR6005
U
OPEN
NOT USE
NOT USE
NOT USE
3300/16
NOT USE
SR7005
K
N
NJM2388F09 NJM2388F09
USE
USE
USE
USE
NOT USE
NOT USE
3300/16
3300/16
USE
USE
U
OPEN
NOT USE
NOT USE
NOTUSE
3300/16
NOT USE
K
F
N
NJM2388F09 NJM2388F09 NJM2388F09
USE
USE
NOT USE
3300/16
USE
USE
USE
NOT USE
3300/16
USE
USE
USE
NOTUSE
3300/16
USE
10
SCHEMATIC DIAGRAMS (5/28)
A
B
C
D
E
F
G
H
80
I
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
REG_SIRIUS_COMMON CNT (2/4)
1
1
SIDE CONNECTOR B’D
F
X
X
K
X
X
X
OPTION_B
1
OPTION_A
R38
C68
10/50
TO HD RADIO
R42
15K
CP801
C69
10/50
AUDIO_R
AUDIO_M
AUDIO_L
GND
GND
R43
R44
33P
R41
39K
C67
33P
5
6
C70
10/50
C73
1/16
C74
100N-K
15
1
CN4
C125Z2-15P
14
3
5
2
7
4
9
6
11
8
10
13
12
15
14
17
16
19
18
CN5
C125Z2-19P
10/50
C81
CP802
OPEN
4 3 2 1
C75
10/50
3
M3.3V
232IMO
232OMI
D/MGND
4
A-8V
A+8V
IC1B:
AZ4580MTR
R45
39K
33P
C72
R46
TU_RST/ST
10/50
C80
8
7
15K
13
12
R47
100K
39K
C64
15K
11
10
C63
10/50
1K
TU_RST/ST.
GND
TU_RXMO/SDA
2
TU_TXMI/SCL
PAGE 33 (N2)
Q15
OPEN
R48
OPEN
R49
OPEN
Q16
OPEN
R50
OPEN
R51
OPEN
Q18
OPEN
OPEN
OPEN
C82
C83
Q17
OPEN
8
7
CP3
C125Z1-11P
10
9
11
2
1
TO DIGITAL
PAGE 33(N4)
4
3
6
8
5
10
7
9
12
11
14
13
16
15
18
17
20
19
22
21
24
23
25
CP4
C125Z1-25P
5
TO AUDIO
0
0
PAGE 9 (E1)
JP1
1
1
3
2
5
4
7
6
9
8
11
10
13
12
15
14
17
16
19
18
3
2
CP6
C125Z1-19P
5
4
7
6
9
8
11
10
13
12
15
14
17
16
TO AUDIO
PAGE 9 (O6)
CP7
C125Z1-17P
TO AUDIO
1
CP8
C125Z1-15P
3
2
5
4
7
6
9
8
11
10
13
12
15
14
6
PAGE 9 (O8)
TUNERL.
JP2
7
TO DIGITAL
TU_5V/9V
6
C85
OPEN
C84
OPEN
R53
R54
6
5
.Z3R
PREGND
.Z3L
PREGND
.Z2R
PREGND
.Z2L
PREGND
DVR_REC_R
PREGND
DVR_REC_L
PREGND
VCR_REC_R
PREGND
VCR_REC_L
OPEN
100N-K
4
3
NC
ACMUTEDET
X2VOLTDET
DA+5V
DA+5V
TU_MUTE
TU_RST/ST
TU_SEN
TU_GPIO2/TUNED
TU_TXMI/SCL
TU_RXMO/SDA
L8
C79
1
PRESW2
PREGND
PRESBR
PREGND
PRESBL
PREGND
PRESR
PREGND
PRESL
PREGND
PRESW
PREGND
PREC
PREGND
PREFR
PREGND
PREFL
100P-J
100P-J
100P-J
TUNERR
GND_TU
TUNERL
GND_TU
MXR
GND_MX
MXL
GND_MX
SIRIR
AGND
SIRIL
AGND
A-8V
A-8V
A+8V
A+8V
AGND
AGND
AGND
C76
C77
C78
GND
TUNERL
TU_TXMI/SCL
NC
TRG2
TRG1
232IMO
232OMI
REMOTE3.3V
RC_IN
FRONT_IRIN
BT_LINK
RC_OUT
KILLIR
WAKEUP
A-8V
A-8V
A+8V
A+8V
SIRIUSMUTE
SIRIUSRST
SIR_MI/RDS_CLK
SIR_MO/RDS_DATA
M/DGND
M3.3V
SWM3.3V
SWM5V(MX)
D5V(MX)
TU_RXMO/SDA
TUNERR
5
AUDIO_R
AUDIO_M
AUDIO_L
TU_3.3V
TU_3.3V
GND
GND
NC
TU_RST
GND
RXD
TXD
GND
9
8
4
R40
C71
100N-K
TO HD TUNER
13 12 11 10 9 8 7 6 5 4 3 2 1
TUNERR.
7
R52
OPEN
JP9
JP10
JP11
Q19
OPEN
TU_MUTE
JP8
JP7
JP6
8
22
23
20
21
18
19
16
17
14
15
12
13
10
11
8
9
REG_SIRIUS_COMMON_CNT
PAGE 5(B4)
6
7
4
5
2
3
16
1
17
14
15
12
13
10
11
8
9
6
7
4
5
2
3
1
PRESW2
PREGND
PRESBR
PREGND
PRESBL
PREGND
PRESR
PREGND
PRESL
PREGND
PRESW
PREGND
PREC
PREGND
PREFR
PREGND
PREFL
24
25
NC
RLGND
RL+B
NC
TU_RTGND
TU_3.3V/5V/9V
M/DGND
TUNERR
GND_TU
TUNERL
HD_TU_GND
AGND
AGND
A-8V
A-8V
A+8V
A+8V
AC MUTE DET
X2 VOLT DET
DA_+5V
TRG 15V
TRG GND
A+8V
A-8V
AGND
AGND
TU 3.3/5V/9V
TU_RTGND
RL +B
RL GND
13 12 11 10 9 8 7 6 5 4 3 2 1
CP13A
20010WR-13
TU_MUTE
TU_RST/ST
TU_SEN
TU_GPIO2/TUNED
TU_TXMI/SCL
TU_RXMO/SDA
SIR_MI/RDS_CLK
SIR_MO/RDS_DATA
CN11
C125Z2-25P
9
CN9
C125Z2-17P
8
14
15
12
13
10
11
8
9
6
7
4
5
CN10
C125Z2-15P
2
3
1
9
.Z3R
PREGND
.Z3L
PREGND
.Z2R
PREGND
.Z2L
PREGND
DVR_REC_R
PREGND
DVR_REC_L
PREGND
VCR_REC_R
PREGND
VCR_REC_L
4
33P
IC1A:
AZ4580MTR
1
2
3
7
6
100K
15K
R37
R39
5
4
2
39K
C61
C66
1/16
C62
10/50
C65
100N-K
U ONLY
3
2
IC109
PQ033DNA1ZPH
C
OUT
IN
3
PAGE 8 (A2)
RC_IN
FRONT IRIN
BT_LINK
RC_OUT
KILLIR
WAKEUP
A-8V
A-8V
A+8V
A+8V
SIRIUSMUTE
SIRIUSRST
SIRIUSMI
SIRIUSMO
D/MGND
M3.3V
SWM3.3V
SWM5V(MX)
D5V(MX)
OPTION A&B
N
MODEL U
SR5005 X
X
SR6005 O
X
SR7005 O
X
TO RC-5
PAGE 8 (A4)
NC
TRIGGND
TRIG+15V
MXR
GND_MX
MXL
AGND
SIRIR
AGND
SIRIL
TRG2
TRG1
232IMO
232OMI
REMOTE3.3V
2
TO RC-5
TO PREOUT
TO PREOUT
TO PREOUT
PAGE 11(A8)
PAGE 11(A5)
PAGE 11(A7)
10
10
SCHEMATIC DIAGRAMS (6/28)
ANALOG AUDIO SIGNAL LINE
A
B
C
D
E
F
G
H
I
81
J
K
L
M
N
O
A
4 3 2 1
6
7
8
11
9
B
12
10
11
13
2
13
CN102
OPEN
3
12
1
17
4
2
5
3
16
15
6
4
7
5
14
13
C
8
6
9
7
12
11
10
8
11
9
10
9
12
10
13
11
8
7
14
12
15
13
6
5
16
14
17
15
4
18
16
3
10
D
19
17
1
2
19
3
18
1
2
19
4
2
18
17
5
3
6
4
16
15
7
8
5
6
14
13
E
9
7
10
8
12
11
11
9
12
10
10
9
13
11
14
12
8
7
15
13
16
14
6
5
17
15
4
3
F
CP106
C125Z1-17P
16
17
VIDEO B’D
VIDEO B’D
VIDEO B’D
PAGE 13(A11)
PAGE 13(A8)
PAGE 13(A10)
2
1
CN103
OPEN
ZVOLSTB
ZVOLDATA
ZVOLCLK
Z3R
DAGND
Z3L
DAGND
Z2R
DAGND
Z2L
DAGND
PREZ3MUTE
PRESMUTE
PRESW2MUTE
PRECMUTE
PREFMUTE
NC
AGND
MIC
AGND
VAUXR
AGND
VAUXL
AGND
USBR
AGND
USBL
RLY_W
DACRTGND
DACRTGND
DASBR
DAGND
DASBL
DAGND
DASR
DAGND
DASL
DAGND
DASW
DAGND
DAC
DAGND
DAFR
DAGND
DAFL
DAGND
ADINR
DAGND
ADINL
DAGND
CP108
C125Z1-19P
H
2
1
1
1
27
3
4
26
5
25
6
24
23
7
8
9
22
21
10
20
G
82
11
19
H
12
18
17
13
14
16
15
15
16
14
17
13
18
12
11
19
2
1
CP114
C125Z1-13P
2
6
10
8
9
COMPONENT (Y) SIGNAL LINE
I
6
7
5
3
3
4
4
4
3
5
5
6
6
7
8
7
2
1
DACRTGND
DACRTGND
DASBR
DASBL
DAGND
DASR
DASL
DAGND
DASW
DAC
DAGND
DAFR
DAFL
DAGND
ADINR
DAGND
ADINL
5
10
G
I
J
FRONT CONNECTOR B’D
7
8
9
9
10
10
J103A
J102A
J101A
R144
J
11
11
12
13
CP105
C125Z1-15
14
15
TO A_AUDIO
TO A_AUDIO
AGND
MIC
AGND
VAUXR
AGND
VAUXL
AGND
USBR
AGND
USBL
NC
DACRTGND
DACRTGND
DASBR
DAGND
DASBL
DAGND
DASR
DAGND
DASL
DAGND
DASW
DAGND
DAC
DAGND
DAFR
DAGND
DAFL
DAGND
ADINR
DAGND
ADINL
DAGND
4
9
OPEN
OPEN
OPEN
OPEN
OPEN
3
8
H/PL
H/PGND
H/PR
RLY_H/P
RLY_F
RLY_C
RLY_S
RLY_SB
RLY_FB
RLY_H
PREZ2MUTE
PRESBMUTE
PRESWMUTE
LIMIT
A+BLIMIT
LIMITDET
MUTE5V
MVOLCLK
MVOLDATA
1
R134
R135
R136
R137
R138
1
7
F
MVOLCLK
MVOLDATA
ZVOLSTB
ZVOLDATA
ZVOLCLK
Z3R
DAGND
Z3L
DAGND
Z2R
DAGND
Z2L
DAGND
2
6
E
NC
NC
RLY_W
PREZ3MUTE
PRESMUTE
PRESW2MUTE
PRECMUTE
PREFMUTE
D/MGND
AVSCL // COMPONENT SW1
D/MGND
AVSDA // COMPONENT SW2
D/MGND
COMPSGDET
CVBS_DET // Z1VSIDET
SVIDEO_DET
INA
INB
Z2A // INC
Z2B // S/V_SEL
ASPECT_H // MONIA
ASPECT_L // MONIB
LINEA // PS
LINEB // OSD_SW
NC
1
5
D
H/PL
H/PGND
H/PR
PLY_H/P
RLY_F
RLY_C
RLY_S
RLY_SB
RLY_FB(50/7005)
RLY_H
PREZ2MUTE
PRESBMUTE
PRESWMUTE
LIMIT
A+BLIMIT
LIMITDET
MUTE5V
NC
NC
1
4
COMPSGDET
Z1VSIDET
INA
INB
INC
S/V_SEL
MONIA
MONIB
PS
OSDSW
V+5V
V+5V
VAGND
VAGND
V-5V
V-5V
VDI_V
D/MGND
VDICR
D/MGND
VDICB
D/MGND
VDIPY
D/MGND
VDI_SY
D/MGND
VDI_SC
D/MGND
VAUX
D/MGND
OSD_CLK
OSD_CE
OSD_DATA
OSD_N/P SEL
NC
1
3
C
D/MGND
AVSCL
D/MGND
AVSDA
D/MGND
COMPSGDET
CVBS_DET
SVIDEO_DET
INA
INB
Z2A
Z2B
ASPECT_H
ASPECT_L
LINEA
LINEB
NC
CP113
C125Z1-13P
2
VDI_V
D/MGND
VDICR
D/MGND
VDICB
D/MGND
VDIPY
D/MGND
VDI_SY
D/MGND
VDI_SC
D/MGND
VAUX
D/MGND
OSD_CLK
OSD_CE
OSD_DATA
OSD_N/P SEL
NC
CP107
C125Z1-13P
Z2_SVIDEO_DET
ETHERY
D/MGND
ETHERC
D/MGND
NC
D/MGND
VDOCR
D/MGND
VDOCB
D/MGND
VDOY
NC
3
B
D/MGND
COMPONENT SW1
COMPONENT SW2
D/MGND
VDICR
D/MGND
VDICB
D/MGND
VDIPY
D/MGND
VDI_Y
D/MGND
VDI_C
D/MGND
VAUX
D/MGND
OSD_CLK
OSD_CE
OSD_DATA
OSD_N/P SEL
5
Z2_SVIDEO_DET
ETHERY
D/MGND
ETHERC
D/MGND
NC
D/MGND
VDOCR
D/MGND
VDOCB
D/MGND
VDOY
NC
A
K
17
13
R139
R140
R141
R142
R143
K
L
16
2
12
3
4
1
2
3
5
6
4
5
7
8
6
7
9
8
9
CN104
C125Z2-27P
VIDEO SIGINAL LINE
L
M
HDMI
HDMI
HDMI
HDMI
HDMI
PAGE 24 (2B)
PAGE 24 (2C)
PAGE 24 (4D)
PAGE 24 (4D)
PAGE 19(4B)
10
11
12
10
13
11
17
14
12
15
13
16
15
16
14
17
15
14
13
18
16
19
17
18
19
12
10
11
9
M
N
20
21
22
20
23
21
8
7
24
22
25
23
6
5
26
24
27
25
4
3
28
26
29
27
28
29
2
1
N
O
REG_SIRIUS_COMMON CNT (3/4)
2
30
31
30
33
4
31
32
PAGE 9(H1)
8
33
0
0
0
0
0
CP13B
OPEN
9
PREOUT B'D
PAGE 10(L9)
SCHEMATIC DIAGRAMS (7/28)
O
1
2
3
32
CP110
C125Z1-33P
CP109
C125Z1-17P
4
CP111
C125Z1-19
CP112
C125Z1-17P
5
CP115
C125Z1-33P
PAGE 9(H1)
6
OPEN
OPEN
OPEN
7
VIDEO-5V
VIDEO_GND
VIDEO_GND
VIDEO+5V
8
CN105
OPEN
9
10
A
D
E
F
G
H
I
J
K
L
M
N
O
REG_SIRIUS_COMMON CNT 4/4
SIRIUS B’D
R418
100
D5V(MX)
SWM5V(MX)
SWM3.3V
M3.3V
D/MGND
SIRIUSMO
SIRIUSMI
SIRIUSRST
NC
A+8V
A+8V
A-8V
A-8V
WAKEUP
KILLIR
RC_OUT
BT_LINK
FRONT IRIN
RC_IN
Q406
KRA102S
R401
R402
R403
R404
R419
100
C419
OPEN
C470
4700N-K
1
Q401
Q404
KRA102S KRC102S
KDS160
OPEN(2W)
OPEN(2W)
OPEN(2W)
OPEN(2W)
D401
KDS160
2
R452
47
RC-5
3
C445
100N-K
Q405
KTC3875SYRTK
R420
33K
R443
47K
JACK403
D402
Q402
KRA102S
R405
OPEN
R444
18K
13
12
11
10
9
8
7
C
CN403
C125Z1-19P
1
2
3
4
5
6
2
CNT B'D
PAGE 6(7A)
14
15
16
17
18
19
1
B
3
C446
BKT401
R460
OPEN
V-
T1 IN
T2 OUT
T2 IN
R2 IN
R2 OUT
C465
C466
C467
OPEN
OPEN
100N-K
1
OPEN
6
D409
2
OPEN
7
D408
RS232C
3
R1 OUT
R456
1K
8
C2-
R455
1K
R454
1K
4
R1 IN
5
9
C2+
C453
100N-K
OPEN
OPEN
OPEN
33P
33P
GND
T1 OUT
C462
C463
C464
IC404
ICL3232CVZ-T
5
R453
1K
VCC
V+
C1-
C456
C459
REMOTE3.3V
D/MGND
232OMI
232IMO
TRG1
TRG2
TRG +15V
TRG GND
NC
NC
NC
C448
100N-K
C1+
9 10 11 12 13 14 15 16
C450
100N-K
8 7 6 5 4 3 2 1
R450
0
IC405
PQ120DNA
R439
470
IN
R428 C431
4.7K 10/50
TRIGGER
OUT1
OUT
NC
ON/OFF GND
100N-K
C460
R434
100K
OPEN
C437
470P
R421 C415
OPEN
10K
C449
100N-K
C447
OPEN
JACK404
100N-K
ZD401
MTZ16B
3
C452
10/50
C451
C454
10/50
4
IC401(1/2)
AZ4580MTR
C430
R427 10/50
4.7K
CN401
C457
10/50
1
100N-K
470P
2
R451
OPEN
C426
100K
OPEN
10K
C429
10/50
R435
C410
R415
R426
4.7K
R438
470
C438
OPEN
C420
C409
OPEN
10K
C421
R414
C428
10/50
R425
4.7K
10K
6
R422
C406 R411
10/50 100
5
C416
OPEN
100K
R406
C402 C401
100N-K 100N-K
100K
R407
6
IC401(2/2)
AZ4580MTR
7
C405 R410
8
10/50 100
+5VL
DETECT
RC5
AGND
+3.3V
MXP_R
RC5_GND
AGND
MXP_L
FARAME_GND
FARAME_GND
11 10 9 8 7 6 5 4 3 2 1
R449
C414
1000N-K
5
4
1 2 3 4 5 6 7 8 9 10 11
JACK401
C436
100N-K
C424
1000N-K
M-X PORT
Q403
KRC102S
NC
ON/OFF GND
C413
OPEN
RS232C B’D
SIRIUS B'D
PAGE 8(1F)
100N-K
C425
3.3kQJO
OUT
R447
0
C442
IN
100N-K
IC403
PQ033DNA1ZPH
R446
47
R445
220
R442
220
R433
10K
C441
9
8
7
6
5
4
REMOTE3.3V
232OMI
232IMO
TRG1
TRG2
SIRIUS_L
AGND
SIRIUS_R
AGND
M_XL
AGND
M_XR
TRG +15V
TRG GND
NC
CN402
C125Z1-15P
1
2
3
4
CNT B'D
PAGE 6(6A)
10
11
12
13
14
15
100N-K
6
JACK405
C468
J438
100N-K
IC406
OPEN
3
6
4
C434
R431 10/50
10K
C444
D403
D404
R441
470
R432 C435
10K 10/50
2
5
10
100N-K
OPEN
OPEN
JACK402
C443
470P
C427
100N-K
R459
OPEN
11
7
470P
8
100K
D406
OPEN
R440
470
C461
OPEN
C458
OPEN
C455
OPEN
3
C439
OPEN
C423
2
1
R436
OPEN
10K
470P
C422
C412
R417
100K
OPEN
R437
C411
R430 C433
10K 10/50
C440
10K
10K
100K
REMOTE3.3V
D/MGND
232OMI
232IMO
TRG1
TRG2
TRG +15V
TRG GND
NC
NC
NC
10/50
C432
R416
4
IC402(1/2)
AZ4580MTR
10
6
R424
C408 R413
10/50 100
8
C418
OPEN
C404 C403
100N-K 100N-K
R408
R409
100K
9
C407 R412
10/50 100
R429
10K
OPEN
5
7
C417
R423 OPEN
10K
IC402(2/2)
AZ4580MTR
D405
OPEN
9
SIRIUS
SIRIUS FARAME_GND
U ONLY
10
1 2 3 4 5 6 7 8 9 10 11
CP401
RS232C B'D
PAGE 8(I4)
SCHEMATIC DIAGRAMS (8/28)
A
B
7
8
9
D407
OPEN
JACK406
OPEN
1
8
C469
OPEN
J437
1: NC
2: SIRIUS_RST
3: SIRIUS_RX
4: GND
5: SIRIUS_R
6: SIRIUS_TX
7: A.GND
8: SIRIUS_L
R448
100
TRIGGER
OUT2
OUT
ZD402
OPEN
IN
NC
ON/OFF GND
7
C
D
E
F
G
H
I
83
J
K
L
M
N
O
R3034
22
1
DA_Z3L
AGND
DA_Z3R
VOLCLK
VOLDATA
VOLSTB
MVOLDATA
MVOLCLK
B
100K
100K
100
100
VOLSTB
VOLCLK
C3036
10/50
5
CN3001
20010HS-13
R3058
OPEN
7
TO 7CH_AMP
PAGE 1(A4)
PRESW2
AMPFL
8
+7V
100
R3075
100
C3060
OPEN
R3069
R3065
R3067
R3071
0
0
0
R3066
R3068
R3072
0
0
0
AMPSL
AMPC
AMPSR
-7V
PREC
R3078 C3063
OPEN OPEN
AMPC
AMPSR
PRESR
PRESL
3
AMPFR
VCR_REC_L
R3080
OPEN
2
R3091
OPEN
7
5
AMPSBL
AMPSBR
IC3002:B
OPEN
6
R3093 C3070
OPEN OPEN
AMPSL
4
IC3002:A
OPEN
1
13 12 11 10 9 8 7 6 5 4 3 2 1
IC3001:B
OPEN
3
R3043 OPEN
R3044 OPEN
R3045 OPEN
R3048
OPEN
IC3001:A
OPEN
6
C3073 47/50
100
R3100
100
5
+7V
IC3004:B
OPEN
5
8
14
6
PREFR
DVR_REC_L
R3107
OPEN
13
2
15
IC3004:A
OPEN
1
4
R3108
OPEN
PREC
12
100
R3104 C3076
OPEN OPEN
16
-7V
3
PRESBR
10
11
PRESW
R3116
OPEN
9
PRESL
DVR_REC_R
8
7
6
7
PRESR
+7V
100
4
5
PRESBL
OPEN
2
3
PRESBR
C3163
OPEN
1
15
14
13
12
11
10
9
8
6
7
ZONE2_L
R3224
R3223
0
0
ZONE2_R
5
C3111
3300P-K
EXTSBL.
Z3R
C3155
10N-K
R3231
0
5
8
R3209
100
C3158
68P-J
R3215
4K7
3
CX3003
C125Z2-15P
TREB
TREA
TO SIDE CNT
PAGE 6(M6)
ZONE3_L
EXTSBR
C
ZONE3_R
C3160
10/50
ANALOG AUDIO SIGNAL LINE
EXTSBR.
D
SCHEMATIC DIAGRAMS (9/28)
E
F
G
H
84
I
J
8
9
10
3300P-K
R3216
100
R3219
OPEN
100K
GND
BASSA1 BASSB1
VDD
GND
VCC
LATCH
CLOCK
ADR1
VDDOUT
ADR0
OUTB
OUTA
DATA
GND
GND
GND
GND
INB4
INA4
DCCAP_B
DCCAP_A
INB3
BASSA2 BASSB2
C3152
R3207
4K7
100K
C3136
33/16
C3159
100P-J
C3143
4.7/50
C3149
100N-K
100K R3189
R3212 C3153
47 10/50
ZONE3_R
VCR_REC_L
AGND
VCR_REC_R
AGND
DVR_REC_L
AGND
DVR_REC_R
AGND
ZONE2_L
AGND
ZONE2_R
AGND
ZONE3_L
AGND
ZONE3_R
10/50
100K R3188
R3211
4K7
R3184
4K7
C3137
4.7/50
C3131
R3202
6
R3210
33K
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
100
3300P-K
100N-K
R3201
2
IC3012:B
AZ4580
7
INB2
8
VOLSTB
R3214
68K
5
1
VOLCLK
7
IC3012:A
AZ4580
32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17
INB1
4
IC3010:A
AZ4580
INA3
3
4
VOLDATA
6
R3206
68K
3
C3148
10/50
C3156
10N-K
C3151
10/50
1
IC3010:B
AZ4580
INA2
8
INA1
5
R3178
C3133
C3134
C3147
33/16
C3154
10/50
R3200
100K
R3198
270K
R3197
470K
-7V
R3196
OPEN
EXTSR
IC3009:B
AZ4580
R3181
4K7
2
7
ZONE2_R
C3121
10/50
10/50
R3180
33K
6
IC3011 NJW1194A
R3193
100K
R3186
68K
R3177
47
C3138
R3179
100
R3176
4K7
10/50
C3132
10/50
1
100
1
R3168
7
100K
100K
DVR_REC_R
R3156
4K7
4
TREA
R3140
GND
GND
R3141
VDD
TREB
LATCH
100K
VCC
CLOCK
DVR_REC_L
100K
C3106
4.7/50
C3107
100N-K
VOLSTB
VCR_REC_R
R3153
ADR0
DATA
BASSA2 BASSB2
ADR1
VDDOUT
BASSA1 BASSB1
OUTB
OUTA
GND
GND
GND
INB4
GND
INB3
INA4
VCR_REC_L
R3152
C3100
33/16
INB2
INA3
DCCAP_B
DCCAP_A
INB1
INA2
R3139
4K7
R3171
OPEN
C3130
10/50
C3142
4
C3129
100P-J
C3128
68P-J
R3175
68K
100K
3
IC3009:A
AZ4580
R3192
270K
R3191
470K
R3228
OPEN
R3183
150K
R3205
150K
R3218
OPEN
C3157
OPEN
C3102
4.7/50
ZONE3_L
R3190
C3150
OPEN
6
ZONE2_L
C3117
100P-J
R3165
4K7
100K
C3126
10N-K
2
R3199
OPEN
C3140
OPEN
C3096 10/50
3300P-K
2
C3116
68P-J
R3161 C3110
47 10/50
VOLCLK
R3159
33K
R3164
68K
R3160
4K7
VOLDATA
6
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
C3105
10/50
7
100
R3133
C3098
C3099
100N-K
32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17
INA1
IC3008:B
AZ4580
8
1
2
IC3006:A
AZ4580
IC3007 NJW1194A
5
4
10/50
1
3
C3101
IC3006:B
AZ4580
R3132
47
C3104
33/16
R3157
100
2
IC3008:A
AZ4580
4
R3136
4K7
10/50
7
8
3
8
R3131
4K7
C3103
R3145
100K
7
R3155
68K
C3108
10/50
6
5
R3149
100K
IC3005:B
AZ4580
R3135
33K
100K
R3138
68K
R3143
270K
6
R3129
OPEN
C3095
10/50
R3144
C3097
10/50
R3134
100
C3094
100P-J
C3093
68P-J
R3203
Z3L
C3125
10/50
C3127
10N-K
EXTSW
FL
AGND
FR
AGND
C
AGND
SW
AGND
SL
AGND
SR
AGND
SBL
AGND
SBR
AGND
SW2
TO SIDE CNT
PAGE 6(K6)
100K
R3130
68K
EXTC.
R3227
0
4
8
R3099
AMPSBR
100
100
FL
AGND
SL
AGND
C
AGND
SR
AGND
FR
AGND
SBL
AGND
SBR
VCR_REC_R
PREFL
C3074 47/50
R3103
R3102
PRESW
17
R3096
R3094
2
AMPFL
C3066 47/50
C3067 47/50
100
100
1
PREFL
100
100
R3088
R3087
4
R3061 C3055
OPEN OPEN
PREFR
R3074
100
R3070
100
C3059 100/16
C3062 47/50
R3079
R3082
R3057 OPEN R3050 OPEN
AMPFR
100
100
3
R3167
OPEN
R3229
OPEN
R3230
OPEN
C3139
OPEN
R3174
OPEN
C3123
OPEN
1
MVOLCLK
C3016
10/50
R3025
R3027
R3232
0
A
AUDIO B’D
CX3001
C125Z2-13P
1
2
MVOLDATA
C3015
10/50
DAZONE3L
DAZONE3R
VOLDATA
DA_Z2L
AGND
DA_Z2R
AGND
C3014
10/50
DAZONE2R
3
4
R3220
OPEN
R3217
820K
R3221
820K
R3222
470
5
6
C3057
OPEN
R3064
R3060
C3041
OPEN
R3090 OPEN R3085 OPEN
C3053
R3151
IC3005:A
AZ4580
R3226
0
EXTSBL
R3213
470
7
8
100
R3115
OPEN
99:SBL PREOUT
100:SBLOUT
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30
IC3003
R2A15220FP
4
1
5
C3113
10N-K
R3182
OPEN
R3185
820K
R3195
820K
R3204
820K
R3208
470
9
10
100K
100K
R3117 C3084
OPEN OPEN
EXTSL
R3194
470
AGND
MIC_IN
R3024
R3026
11
12
C3037
10/50
Z3L
Z3R
ZONE3_L
ZONE3_R
DAC
DASBL
DASBR
DASL
DASW
DAFL
DASR
ZONE2_L
DAFR
Z2L
Z2R
ZONE2_R
3
R3148
270K
R3142
470K
R3147
470K
R3163
OPEN
C3114
10N-K
C3112
10/50
R3166
OPEN
R3162
820K
R3173
820K
R3169
820K
C3120 C3115
330PJ 2,
C3124
330P-J
C3135
330P-J
R3187
470
C3146 C3141
330P-J 330P-J
D3019
OPEN
D3021
OPEN
D3020
OPEN
SR
C3122
OPEN
Z2R
EXTC
R3172
470
13
100K
100K
100K
100K
10K
10K
10K
10K
C3013
10/50
C3012
10/50
R3001
R3002
R3003
R3005
R3010
R3013
R3017
R3019
DAZONE2L
C3011
10/50
V.AUXL
USBL
1
2
V.AUXR
C3010
10/50
C3008
10/50
C3009
10/50
C3007
10/50
3
4
USBR
C3006
10/50
DASBR
C3005
10/50
DASBL
C3004
10/50
DASL
DASR
C3003
10/50
DASW
C3002
10/50
DAFL
D3023
1N4007
5
6
R3042
OPEN
EXTFR
C3161
330P-J
D3017
OPEN
D3018
OPEN
SW
R3170
470
C3109
OPEN
R3137
150K
R3154
150K
EXTFL
R3158
470
C3162
330P-J
D3014
OPEN
D3015
OPEN
D3016
OPEN
C3118
OPEN
C3119
OPEN
DAC
SIRIUS_L
100K
D3025
1N4007
50:DATA
C3092
10N-K
1 2 3 4
SR7005 ONLY
C3144
OPEN
C3145
OPEN
OPEN
OPEN
OPEN R3049
OPEN OPEN
OPEN
OPEN R3054
OPEN
100/16
+7V
C3091
10N-K
CP3001
SBR
C3043
C3044
C3045
C3046
C3050
C3052
R3124
OPEN
EXT.IN
SBL
7
8
10
R3146
OPEN
2
10
9
11
12
CX3002
C125Z2-17P
Z2L
SL
14
CD_R
R3225
0
C
13
16
R3122
R3125
C3090
10/50
9
15
18
O
PRESW2
C3089
OPEN
R3126
OPEN
C3088 C3087
330P-J 330P-J
R3127
R3123
820K
820K
D3012
OPEN
D3013
OPEN
R3128
470
C3086
OPEN
7
FL
17
20
N
C3038
100/50
CD_L
R3121
470
CD
8
19
22
R3120
L
R
21
23
24
C3079
47/50
R3113
100
R3111
OPEN
IPOD_R
VCRREC_L
C3085
OPEN
R3114
OPEN
C3078
OPEN
AGND
SBRC
SBR PREOUT
SBROUT
AGND
R3150
OPEN
C3082
0
R
R3119
470
C3083 C3080
330P-J 330P-J
R3118
R3110
820K
820K
C3081
0
IPOD
D3011
OPEN
D3010
OPEN
IPOD_L
R3109
470
SLC
R3112
100
L
SROUT
AGND
SLOUT
SL PREOUT
EXTSBR.
SAT_L
EXTSBL.
SAT_R
DVR_R
EXTSL
DVD_L
EXTSR
DVD_R
EXTSW
C3077
OPEN
BD_R
BD_L
R3098
OPEN
C3071
OPEN
R3101
OPEN
D3009
OPEN
R3106
470
C3075 C3072
330P-J 330P-J
R3105
R3097
820K
820K
R3095
470
DVR
R
PHONO_R
PHONO_L
D3008
OPEN
5
DVR_L
EXTFR
SAT_R
L
EXTC.
MIC_IN
COUT
AGND
SWOUT
SWC
SRC
SR PREOUT
DVRREC_L
TUNERL
EXTFL
R3084
OPEN
TUNERR
AGND
FLOUT
FL PREOUT
FLC
CC
82:INR12
81:INL11/RECL5
80:INR11/RECR5
C3069
OPEN
SIRIUS_R
SIRIUS_L
R3086
OPEN
R3092
470
C3068 C3065
330P-J 330P-J
R3089
R3083
820K
820K
D3006
OPEN
D3007
OPEN
R
USBR
FRC
FR PREOUT
FROUT
DVRREC_R
DVD_R
BASSR1
TREL
BASSL2
BASSL1
CD_L
MXPL
USBL
C3064
OPEN
25
26
-7V
TRER
BASSR2
MUTE
AVEE
ADCL
ADCR
AGND
INR1
INL1
INR2
INL2
INR3
INL3
INR4
INL4
INR5
INL5
INR6
INL6
INR7
INL7
INR8
INL8
INRA/RECR1
INLA/RECL1
INR9
INL9
INRB/RECR2
INLB/RECL2
INR10/RECR4
INL10/RECL4
CD_R
DAZONE3L
SAT_L
R3081
470
27
28
R3040
50
CLK 49
DGND 48
ZONE2_R 47
ZONE2_L 46
DCL IN 45
DCR IN 44
D/A OUT_FR 43
D/A OUT_FL 42
D/A OUT_SR 41
D/A OUT_SL 40
D/A OUT_SW 39
D/A OUT_C 38
D/A OUT_SBR 37
36
33 :ZONE3_R D/A OUT_SBL
32 :ZONE3_L
SLCIN 35
31 :AVCC
SRCIN 34
33
32
31
MXPR
DAZONE3R
C3039
100/50
81
82
83 INL12
84 INR13
85 INL13
86 INR14
87 INL14
88 RECR3
89 RECL3
90 FLIN1
91 FRIN1
92 CIN1
93 SWIN1
94 SLIN1
95 SRIN1
96 SBLIN1
97 SBRIN1
98 SBLC
99
DAZONE2L
L
SAT/CBL
DAZONE2R
R3063
OPEN
C3061
OPEN
R3236
100K
R3235
MXPL
1K
R3234
MVOLDATA
V.AUXR
R3073
OPEN
C3058 C3056
330P-J 330P-J
R3076
R3062
820K
820K
D3004
OPEN
D3005
OPEN
R3077
470
SIRIUS_R
MXPR
1K
R3233
80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51
R3047
OPEN
BD_R
R3053
100
VCRREC_R
C3047
0
C3048
0
R
6
R3051
100
ADIN_R
DVD_L
DVD
4
29
30
M
PHONO_R
L
C3054
OPEN
10K
10K
10K
10K
31
32
R3038 C3035
47 10/50
IPOD_L
4
IPOD_R
3
V.AUXL
R3059
470
33
CX3000
C125Z2-19P
L
SR7005
ONLY
2
ADIN_L
C3051
OPEN
19
18
TO FRONT CNT
PAGE 7(H5)
CN3000
C125Z2-33P
SR7005
ONLY
-7V
R3052
OPEN
R3056
470
C3049 C3042
330P-J 330P-J
R3046
R3055
820K
820K
D3002
OPEN
R
D3003
OPEN
BD
17
16
K
+7V
BD_L
C3040
OPEN
15
14
R3009
R3012
R3016
R3018
MVOLCLK
2
TUNERL
R3033
12K
IC3000:A
AZ4580
AGND
R3032
150K
C3022
10/50
C3028
6N8(M)
R3022
470K
C3027
22N(M)
R3029
470K
R3015
12K
C3024
6N8(M)
C3031
10/50
C3018
100P
R3023
240
R3028
240
C3033
100P
C3019
220/10
150K
R3021
150K
R3014
150K
C3023
22N(M)
C3030
220/10
220P-J
68K
R3011
22
L
R3041
470
13
12
PHONO_L
R3039
OPEN
3
11
10
J
SR6005
ONLY
TO FRONT CNT
PAGE 7(J5)
6
R3037
47
C3034
10/50
9
8
DVR_L
R3036
390
7
6
DVR_R
R3035
0
220P-J C3021
68K R3020
OPEN
R
R3008
47
R3031
L3001
OPEN
C3017
10/50
C3029
R3030
OPEN
OPEN D3000
OPEN C3020
D3001
C3026
0
C3165
OPEN
C3164
OPEN
2
C3025
0
PHONO
L3000
OPEN
C3032
L
R3007
390
5
4
I
PRESBL
5
R3006
0
3
2
IC3000:B
8 AZ4580 R3004 C3000
47 10/50
7
H
C3001
10/50
1
AUDIO IN
TUNERR
1
G
DAFR
TO SIDE CNT
PAGE 6(G7)
R3000
OPEN
F
DAGND
ADINL
DAGND
ADINR
DAGND
DAFL
DAGND
DAFR
DAGND
DAC
DAGND
DASW
DAGND
DASL
DAGND
DASR
DAGND
DASBL
DAGND
DASBR
DACRTGND
DACRTGND
NC
USBL
AGND
USBR
AGND
VAUXL
AGND
VAUXR
AGND
MIC
AGND
E
ADIN_L
D
ADIN_R
C
AMPSBL
B
TUNERR
AGND
TUNERL
AGND
MXPR
AGND
MXPL
AGND
SIRIUSR
AGND
SIRIUSL
AGND
A-8V
A-8V
A+8V
A+8V
DACRTGND
DACRTGND
DACRTGND
A
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
1
1
OPTION_B
OPTION_E
R4313
0
4
R4315
1K
7
R4314
100K
C4132
OPEN
L4000
75J-207CX
Q4098 R4317
KRC231S 100K
R4318
82K
Q4099R4319
KRC231S 100K
R4320
82K
P_TUNERL.
OPEN
6
R4325
1K
L4002
75J-207CX
100P-J
100P-J
100P-J
100P-J
100P-J
OPEN
100N-K
R4338
C4137
C4138
C4139
C4140
C4141
C4142
C4133
OPEN
R4328
C4136
4.7N(R)
4
1K
P_TU_GPL02/TUNED
C4135
8.2N(R)
C4134
10/50
R4323
100K
R4330
0
CHASSIS
3
5
P_SEN
P_HD/TU_RST/ST
R4329
1K2
33
33
33
33
33
3
R4326
R4321
R4322
R4324
R4327
2
BD3580
1
L4001
P_TU_TXMI/SCL
P_TU_RXMO/SDA
7
P_TUNERR.
P_TU_3.3V/5V/9V
R4337
3
TUNERL
TUNERR
TU_5V
SCLK
SDIO
SEN
TU_RST
TU_GPL02
8 7 6 5 4 3 2 1
CP4002
TO ANALOG TUNER
SILICON
2
C4129
10/50
1
OPTION_A
C4131
4.7N(R)
5
R4316
1K2
C4130
8.2N(R)
6
CHASSIS
2
3
OPEN
OPEN
2
C4151
C4152
OPTION_D
OPTION_B
100P-J
100P-J
100P-J
33P-J
100P-J
100P-J
C4147
C4148
SR5005
SR6005
N
K
F
K
N
U
X
X
X
X
X
X
٤
X ٤ X
X
X
X
٤ ‫ޓޓޓ‬٤‫ޓ‬
٤
٤
٤
٤
٤ X
X
X
X
٤ ٤
٤ ٤ ٤ X
٤ ٤
٤ ٤ ٤ X
U
X
٤
X
X
X
X
SR7005
N
F
X
X
X ٤
٤ ٤
٤
X
٤ ٤
٤ ٤
R4332
2K
K
X
X
٤
X
٤
٤
Q4101
KRA111S
Q4100
KRC102S
Q4102
KRC102S
P_TUNERL
C4150
4N7-K
TO ANALOG TUNER
PANASONIC
MODEL
REGION U
OPTION A ٤
OPTION B ٤
OPTION C X
OPTION D X
OPTION E ٤
OPTION F X
C4149
10/50
5
12 11 10 9 8 7 6 5 4 3 2 1
CP4003
TUNER_L
GND
TUNER_R
TU_9V
TUNED
ST IND
SCL
SDA
NC
GND
RDS CLK
RDS DATA
C4143
C4144
C4145
C4146
OPEN
4
R4331
4
P_TUGND
P_TUNERR
5
P_TU_5V/9V.
R4333
OPEN
OPTION_F
R4334
R4335
R4336
33
33
P_TU_MUTE
OPEN
P_TU_TXMI/SCL
P_TU_RXMO/SDA
P_SEN
P_TU_RST/ST.
P_TU_GPL02/TUNED
6
P_AGND..
OPTION_C
FROM INPUT
A3
P_SIR_MI5/RDS_CLK
6
P_SIR_MO5/RDS_DATA
P_MUTE3.3V
P_MUTE+7V
P_RLGND
P_RLGND
P_RL+B
P_RL+B
P_PRESWMUTE
P_PRESBMUTE
7
7
P_PREZ2MUTE
P_PREZ3MUTE
P_PRESMUTE
P_PRESW2MUTE
P_PRECMUTE
P_PREFMUTE
27
26
25
24
23
22
21
10
11
12
13
14
15
16
17
18
9
P_RLY_W
20
TO SPK B'D
PAGE 3(A9)
8
19
8
LIMITLIMITLIMIT+
LIMIT+
MUTE+7V
RLGND
RL+B
NC
RLY_W
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_FB
RELAY_H/P
RELAY_H
H/PL
H/PGND
H/PR
19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1
CX4003
P_RLY_W
6
7
8
9
10
4
P_PRESMUTE
5
P_PREZ3MUTE
P_PREFMUTE
2
1
P_PRECMUTE
3
P_PRESW2MUTE
H/PL
H/PGND
H/PR
PLY_H/P
RLY_F
RLY_C
RLY_S
RLY_SB
RLY_FB
RLY_H
PREZ2MUTE
PRESBMUTE
PRESWMUTE
LIMIT
A+BLIMIT
LIMITDET
MUTE3.3V
NC
NC
NC
NC
RLY_W
PREZ3MUTE
PRESMUTE
PRESW2MUTE
PRECMUTE
PREFMUTE
B
C
D
E
F
G
H
I
85
J
K
10
SCHEMATIC DIAGRAMS (10/28)
CX4000
C125Z1-27P
A
9
TO FRONT CNT
PAGE 7(G8)
L
M
N
O
D
E
F
G
H
I
P_A-7V
R4000
N
O
10K
1
5
8
R4036
10K
R4357
0
R4031
C4014
0.47/50
Q4010
KRA102S
P_PREZ3MUTE
P_PREZ3R
P_PREZ3R.
C4159
OPEN
Q4009
KRA104S
R4345
OPEN
Q4013
KRC104S
P_AGND
P_PRESW.
P_PREFR
R4055
10K
Q4020
KRC104S
R4062
10K
Q4021
KRC104S
Q4011
OPEN
470
R4038
470
R4041
R4043
OPEN
R4050
470K
C4022
0.47/50
Q4017
KRA102S
P_PREFMUTE
P_A+7V
P_A-7V
P_PRESL.
P_PRESR.
P_PRESBL.
R4048
1K
R4049
470K
Q4016
KRA104S
R4040
R4051
OPEN
R4056
C4023
22/50
Q4012
KTC2875B
470
Q4015
KTC2875B
R4052
10K
R4057
470
R4060
C4016
22/50
C4018
22/50
Q4019
KTC2875B
470
C4024
22/50
C4026
22/50
D4003
OPEN
100K
100K R4008
D4004
OPEN
R4023
220
Z3L
SR5005 OPEN
D4005
OPEN
R4016
100K
C4005
330P-J
100K R4007
470
R4044
10K
Q4018
OPEN
470
C4003
330P-J
100K
R4033
10K
Q4014
OPEN
P_PREFL.
P_PREC.
R4032
OPEN
R4037
C4017
22/50
R4045
470K
P_A+7V
P_PREFR.
470K
C4015
22/50
P_PREFL
P_A-7V
Q4008
KTC2875B
Z2R
3
C4012
10N-K
D4006
OPEN
7
22/50
2
R4039
220
Z3R
R4042
220
FL
D4007
OPEN
IC4009:B
OPEN
6
C4010
R4020
220
C4020
10N-K
D4008
OPEN
P_PREFL
R4025
10K
Q4007
OPEN
R4029
1K
470
22/50
Z2L
C4004
10N-K
100K R4028
IC4009:A
OPEN
P_PREC
P_PREFR
R4024
OPEN
C4009
22/50
R4022
C4008
R4035
2
P_PRESW
R4344
OPEN
470
Q4005
KTC2875B
R4019
470
100K
R4356
4 0
1
Q4004
OPEN
470
R4014
10K
R4003
220
100K R4047
P_PRESL
R4021
P_PREZ3L
3
P_PRESR
R4018
C4007
22/50
R4026
470K
P_PRESBL
Q4006
KRC104S
R4013
OPEN
R4054
P_PREZ3L.
470K
C4011
330P-J
R4017
10K
P_PREZ2R
R4012
C4006
0.47/50
C4013
330P-J
P_PREZ2MUTE
R4355
0
P_PRESBR
R4010
1K
Q4003
KRA102S
Q4001
KTC2875B
C4019
330P-J
8
Q4000
OPEN
22/50
C4021
330P-J
7
5
Q4002
KRA104S
R4343
OPEN
C4002
470
R4005
10K
R4015
IC4008:B
OPEN
6
R4002
100K
IC4008:A
OPEN
470
R4004
OPEN
C4001
22/50
100K R4027
R4001
R4342
OPEN
1
2
P_PREZ2L
4
R4006
470K
3
P_PREZ2R.
TO CURRENT
FEEDBACK
M
R4034
R4354
0
C4156
OPEN
R4009
820
C4157
OPEN
ZD4000
UDZS3.6B
C4158
OPEN
2
4
L
C4000
100/50
P_PREZ2L.
FROM CURRENT
FEEDBACK
K
1N4007
1N4007
1N4007
R4011
470K
D4000
D4001
D4002
R4030
470K
1
3
J
100K
C
100K R4046
B
R4053
A
R4058
220
FR
R4061
220
C
4
C4154
OPEN
C4153
OPEN
R4125
10K
Q4044
KRC104S
R4133
10K
Q4045
KRC104S
R4137
470K
R4129
Q4048
KRA104S
25
C4050
22/50
Q4049
KRA102S
470
R4134 R4135
470K OPEN
C4053
0.47/50
R4140
1K
R4122
10K
R4130
Q4043
KTC2875B
470
Q4047
KTC2875B
22/50
C4051
22/50
18
P_TUNERR
D4009
OPEN
100K R4068
D4010
OPEN
D4011
OPEN
R4079
100K
100K R4095
P_TUGND
D4012
OPEN
R4111
220
SBL
7
C4045
10N-K
R4128
220
SBR
R4131
220
SR5005 OPEN
SW2
8
220
R4341
C4054
10N-K
SW3
P_TUNERL
15
16
SR
D4036
OPEN
C4155
330P-J
P_MDGND
1 2 3
P_TU_5V/9V.
CP4004
19
20
21
22
P_RL+B
17
R4104
C4030
330P-J
C4049
R4108
220
P_RLGND
C4059
10/50
R4146
470
L
D4016
OPEN
R4141
470
D4017
OPEN
C4056
100P-J
C4058
100P-J
5
P_VCR_REC_R
R4143
100K
P_SEN
P_TU_GPL02/TUNED
470K
6
7
P_TU_RST/ST.
4
C4055
10/50
P_TU_MUTE
R4144
8
9
P_A+7V
R4145
100K
R4142
P_VCR_REC_L
10
11
P_A-7V
470K
12
13
14
9
VCR REC OUT
C4057
10N-K
R
P_TU_TXMI/SCL
GND4000
CHASSIS
P_SIR_MI5/RDS_CLK
P_SIR_MO5/RDS_DATA
R4152
470
D4018
OPEN
R4147
470
D4019
OPEN
C4061
100P-J
C4063
100P-J
C4064
10/50
R4149
100K
P_DVR_REC_R
C4060
10/50
R4151
100K
R4148
470K
R4150
470K
P_TUNERL
P_TUGND
P_TUNERR
P_TU_5V/9V.
P_TU_MUTE
P_TU_TXMI/SCL
P_SEN
P_TU_RXMO/SDA
P_AGND..
P_TU_RST/ST.
P_TU_GPL02/TUNED
P_MUTE3.3V
P_SIR_MO5/RDS_DATA
P_SIR_MI5/RDS_CLK
P_RL+B
P_RLGND
P_MUTE+7V
P_PRESWMUTE
P_PREZ3MUTE
P_PREZ2MUTE
P_PRESBMUTE
P_PRESMUTE
P_PRECMUTE
P_PREFMUTE
B
10
P_DVR_REC_L
P_PRESW2MUTE
3
P_TU_RXMO/SDA
C4062
10N-K
DVR REC OUT
ANALOG AUDIO SIGNAL LINE
R
SCHEMATIC DIAGRAMS (11/28)
TO TUNER
A
100K R4067
470
R4136
10K
Q4046
OPEN
22/50
6
C4037
10N-K
D4013
OPEN
Q4039
KTC2875B
R4127
C4043
SL
D4014
OPEN
Q4042
OPEN
470
C4027
330P-J
100K
R4113
10K
R4088
220
R4340
24
23
R4121
OPEN
R4126
C4048
22/50
P_PREZ3R.
R4132
OPEN
470K
22/50
100K
11
12
14
P_PRESBR
R4120
C4047
0.47/50
Q4041
KRA102S
P_PRESBMUTE
P_PREZ3L.
13
R4119
470K
9
10
Q4040
KRA104S
P_PREZ2R.
R4118
1K
R4078
470
C4041
SW
D4015
OPEN
R4115
470K
R4339
OPEN
R4110
Q4038
OPEN
P_PREZ2L.
2
TU_MUTE
TU_RST/ST
TU_SEN
TU_GPIO2/TUNED
TU_TXMI/SCL
TU_RXMO/SDA
SIR_MI5/RDS_CLK
SIR_MO5/RDS_DATA
1
10
TO REG_SIRIUS_CNT
PAGE 6(M9)
9
470
R4112
OPEN
Q4036
KTC2875B
470
8
7
P_DVR_REC_R
R4107
100K
R4109
C4042
22/50
R4102
10K
Q4035
OPEN
470
100K
1
2
6
5
P_DVR_REC_L
R4101
OPEN
R4106
C4040
22/50
P_PRESBL
4
3
P_VCR_REC_R
P_PRESW2MUTE
M/DGND
TUNERR
GND_TU
TUNERL
HD_TU_GND
AGND
AGND
A-8V
A-8V
A+8V
A+8V
Q4037
KRC104S
470K
R4084
220
100K R4117
R4105
10K
P_PRESR
R4100
C4039
0.47/50
Q4034
KRA102S
P_PRESMUTE
CP4000
C125Z1-25P
NC
RLGND
RL+B
NC
TU_RTGND
TU_5V/9V
R4097
1K
22/50
R4124
Q4033
KRA104S
Q4032
KTC2875B
Q4031
OPEN
C4035
100K
P_PRESW2
R4091
10K
5
C4029
10N-K
R4139
P_PRESBR
0
470
22/50
C4036
330P-J
0
R4098
R4087
C4033
C4038
330P-J
R4096
470
Q4029
KTC2875B
470
C4044
330P-J
P_PRESBL
R4083
C4046
330P-J
0
Q4028
OPEN
470
R4090
OPEN
C4034
22/50
R4077
10K
C4052
330P-J
P_PRE
R4092
R4093
470K
11
12
13
14
R4086
P_PRESL
C125Z2-17P
R4089
0
P_PRESW2
8
R4082
C4032
22/50
P_PRESL
P_VCR_REC_L
15
TO SIDE CNT
PAGE 6(M9)
7
Q4030
KRC104S
R4076
OPEN
100K
7
8
9
10
0
CX4002
C125Z1-15P
VCR_REC_L
AGND
VCR_REC_R
AGND
DVR_REC_L
AGND
DVR_REC_R
AGND
ZONE2_L
AGND
ZONE2_R
AGND
ZONE3_L
AGND
ZONE3_R
R4080
10K
470K
100K R4094
P_PRESWMUTE
P_PRESW
R4074
C4031
0.47/50
R4103
P_PRESW
R4085
R4073
470K
0
R4072
1K
Q4027
KRA102S
R4099
470K
3
P_PREC
R4081
6
5
4
Q4026
KRA104S
0
Q4023
KTC2875B
Q4022
OPEN
100K
P_PREFR
1K
R4070
R4065
10K
100K R4116
0
R4075
C4028
0.47/50
R4123
1
P_PREFL
C4025
22/50 R4063 R4064
470K OPEN
Q4025
KRA102S
2
0
R4114
0
SBR
AGND
SW2
R4071
15
C
AGND
SW
AGND
SL
AGND
SR
AGND
SBL
AGND
R4069
16
6
FL
AGND
FR
AGND
17
TO SIDE CNT
PAGE 6(K9)
5
Q4024
KRA104S
470
R4138
P_PRECMUTE
R4066
470K
P_PREC
CX4001
C125Z2-17P
R4059
100K
P_PRESBR.
C
D
E
F
G
H
86
I
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
1
1
5
R4217
OPEN
R4170
OPEN
R4180
OPEN
C4166
OPEN
C4074
OPEN
C4070
OPEN
3
6
IC4003:B
OPEN
IC4000:B
OPEN
R4210
OPEN
Q4070
OPEN
R4228
OPEN
R4164
OPEN
D4021
OPEN
R4196
OPEN
R4212
OPEN
R4216
OPEN
Q4073
OPEN
Q4069
OPEN
R4218
OPEN
C4086
OPENP
C4084
OPEN
R4204
OPEN
P_PREFL
R4214
OPEN
C4092
OPEN
8
P_PREC
7
P_PRESW
6
P_PRESL
P_PRESBL
Q4071
OPEN
P_PRESBR
TO 7CH_AMP
R4232
OPEN
R4231
0
R4297
OPEN
R4305
OPEN
R4307 C4127
OPEN OPEN
R4244
OPEN
C4174
OPEN
C4110
OPEN
C4106
OPEN
1
4 IC4005:A C4102
OPEN
OPEN
7
R4250
OPEN
R4260
OPEN
C4122
OPEN
Q4097
OPEN
Q4093 R4298
OPEN OPEN
R4306
OPEN
R4310 C4128
OPEN OPEN
OPEN
5
R4292
OPEN
R4296
OPEN
R4290
OPEN
OPEN
Q4094
R4276
OPEN
D4033
OPEN
R4274
OPEN
R4278
OPEN
7
6
IC4007:B
OPEN
R4309
OPEN
R4308
0
R4288
OPEN
IC4004:B
C4116
OPEN
C4118
OPEN
C4117
OPENP
R4286
OPEN
R4293
OPEN
8
R4283
OPEN
C4123
OPEN
5
Q4087
OPEN
R4280
OPEN
8
C4175
OPEN
Q4089
OPEN
R4302
OPEN
Q4092
OPEN
8
7
6
Q4091
OPEN
D4035
OPEN
C4125
OPEN
9
5
R4291
OPEN
R4295
OPEN
Q4096
OPEN
C4114
OPEN
R4300
OPEN
R4304
OPEN
R4289
OPEN
IC4006:B
OPEN
OPEN
R4282
OPEN
C4126
OPEN
7
6
C4115
OPEN
R4350
OPEN
C4120
OPEN
8
R4281
OPEN
5
R4279
OPEN
C4171
OPEN
Q4088
OPEN
OPEN
Q4086
P_A+7V
C4173
OPEN
R4275
OPEN
D4032
OPEN
R4277
OPEN
Q4090
OPEN
R4301
OPEN
R4287
OPEN
C4113
OPEN
D4034
OPEN
R4352
OPEN
C4119
OPEN
R4285
OPEN
C4121
OPEN
R4273
OPEN
P_A+7V
C4169
OPEN
Q4085
OPEN
Q4083
OPEN
D4031
OPEN
3
P_A-7V
R4299
OPEN
R4303
OPEN
8
R4266
OPEN
R4268
OPEN
C4112
OPEN
C4172
OPEN
P_A-7V
2
R4254
OPEN
R4258
OPEN
R4262
OPEN
4
Q4081
OPEN
C4108
OPEN
R4351
OPEN
R4259
OPEN
3
R4257
OPEN
6
Q4077
OPEN
Q4075
OPEN
Q4079
OPEN
R4252
OPEN
1
PAGE 1(O4)
R4270
OPEN
2
C4104
OPEN
R4249
OPEN
R4264
OPEN
C4109
OPEN
C4170
OPEN
C4101
IC4004:A OPEN
OPEN
D4029
OPEN
C4100
OPEN
R4243
OPEN
Q4084
OPEN
Q4082
OPEN
D4030
OPEN
4
C4105
OPEN
Q4080
OPEN
R4261
OPEN
R4265
OPEN
1
3
R4269
OPEN
4
C4111 OPEN
C4107
OPEN
C4168
OPEN
R4353
OPEN
R4263
OPEN
3
R4255
OPEN
R4253
OPEN
R4256
OPEN
5
R4248
OPEN
IC4007:A
OPEN
2
Q4074
OPEN
Q4078
OPEN
R4251
OPEN
1
R4271
OPEN
IC4006:A
OPEN
2
C4103
OPEN
Q4076
OPEN
R4247
OPEN
R4267
OPEN
7
D4028
OPEN
C4099
OPEN
R4245
OPEN
R4241
OPEN
R4239
OPEN
FL
AGND
SL
AGND
C
AGND
SR
AGND
FR
AGND
SBL
AGND
SBR
CN401
OPEN
R4238
OPEN
R4237
OPEN
R4240
OPEN
R4236 C4098
OPEN OPEN
R4246
OPEN
R4242
OPEN
R4235
OPEN
R4272
OPEN
R4234
0
C4097
OPEN
TO PREOUT
P_PRESR
6
R4233
OPEN
4
P_PREFR
5
IC4001:B
OPEN
R4226
OPEN
R4230 C4096
OPEN OPEN
R4229
OPEN
D4025
OPEN
R4194
OPEN
R4198
OPEN
7
C4167
OPEN
R4203 OPEN
R4348
OPEN
C4088
OPEN
R4206
OPEN
C4085
OPEN
R4202
OPEN
D4024
OPEN
R4195
OPEN
R4201
OPEN
6
R4225
OPEN
R4227 C4095
OPEN OPEN
4
13 12 11 10 9 8 7 6 5 4 3 2 1
C4093
OPEN
P_PRESBR.
Q4072
OPEN
Q4068
OPEN
7
R4208
OPEN
R4222
OPEN
IC4002:B
OPEN
P_PRESL.
P_PRESR.
P_PRESBL.
C4090
OPEN
8
D4027
OPEN
R4209
OPEN
8
5
4224
6
5
R4211
OPEN
R4215
OPEN
R4213
OPEN
Q4065
OPEN
OPEN
Q4063
R4200
OPEN
R
OPEN
7
C4091
OPEN
Q4067
OPEN
R4220
OPEN
P_PRESW.
R4207
OPEN
C4083
OPEN
C4082
OPEN
C4094
OPEN
C4089
OPEN
8
R4221
OPEN
P_PREC.
5
OPEN
Q4062
R4199
OPEN
D4026
OPEN
P_PREFR.
Q4066
OPEN
P_A+7V
C4165
OPEN
C4163
OPEN
Q4064
OPEN
C4081
OPEN
R4219
OPEN
R4223
OPEN
P_PREFL.
R4347
OPEN
C4087
OPEN
R4205
OPEN
4 FROM PREOUT
R4193
OPEN
R4197
OPEN
P_A+7V
P_AGND
Q4061
OPEN
Q4059
OPEN
D4023
OPEN
1
3
C4078
OPEN
R4188
OPEN
C4076
OPEN
Q4057
OPEN
2
P_A-7V
P_A+7V
C4162
OPEN
R4186
OPEN
IC4001:A
OPEN
R4182
OPEN
R4177
OPEN
R4174
OPEN
R4178
OPEN
2
Q4053
OPEN
R4190
OPEN
4
Q4051
OPEN
Q4055
OPEN
R4172
OPEN
1
3
R4349
OPEN
R4179
OPEN
R4184
OPEN
C4161
OPEN
C4072
OPEN
R4169
OPEN
P_A-7V
P_A-7V
R4166
OPEN
R4162
OPEN
C4068
OPEN
R4163
OPEN
Q4060
OPEN
Q4058
OPEN
D4022
OPEN
C4069
IC4000:A OPEN
OPEN
C4077
OPEN
C4073
OPEN
4
IC4003:A
OPEN
2
1
3
R4168
OPEN
C4164
OPEN
C4080
OPEN
R4191
OPEN
R4187
OPEN
2
R4181
OPEN
R4173
OPEN
R4176
OPEN
R4185
OPEN
Q4056
OPEN
C4079 OPEN
C4075
OPEN
C4160
OPEN
3
Q4052
OPEN
R4189
OPEN
4
R4175
OPEN
R4346
OPEN
R4183
OPEN
3
Q4050
OPEN
Q4054
OPEN
R4171
OPEN
1
R4158
OPEN
R4157
OPEN
R4160
OPEN
R4156 C4066
OPEN OPEN
R4167
OPEN
IC4002:A
OPEN
2
C4071
OPEN
D4020
OPEN
C4067
OPEN
2
R4165
OPEN
R4161
OPEN
R4155
OPEN
R4192
OPEN
R4154
OPEN
R4159
OPEN
R4153 C4065
OPEN OPEN
8
7
6
OPEN
Q4095
R4284
OPEN
C4124 R4294
OPEN OPEN
IC4005:B
9
R4312
OPEN
R4311
OPEN
10
10
SCHEMATIC DIAGRAMS (12/28)
A
B
C
D
E
F
G
H
I
87
J
K
L
M
N
O
‫ޓ‬
BD
IN1
N2004
4P-PHs
R3
0
R4
0
1
CHIP_J
AV+5V
VGND
VGND
AV-5V
R7 0
R9 0
R2123
R2124
R2125
0
0
0
R2151
OPEN
R2152
OPEN
2
VDO_Y
VDO_CB
R8 0
OPEN
VGND
C2060
VGND
R6 0
2P-PIN-JACK(RCA-207B1-05)-NI-Y
K2003
R2119
OPEN
R2001
75
4
O
UP-YEL
1
MONI
TOR
4
2
VCR
OUT
3
A 10
B 9
VEE
VSS
C2003
OPEN
X3 11
INH
C2004
OPEN
X0 12
Y1
X 13
Y3
X2 15
X1 14
Y
Y2
U2002
OPEN
1
2
3
4
5
6
7
8
Y0
VCC 16
10
7
R2025
R2026
0.1
OPEN
R2092
75
VDIN_SC
R2040
OPEN
R2032
OPEN
R2068
OPEN
R2020
OPEN
C2016
OPEN OPEN
OPEN
R2051
R2052
OPEN
OPEN
RB521S
R2118 OPEN
D2009
C2057
C2058
B
C
C2038 C2042
OPEN OPEN
SR7005
ONLY
R2070
OPEN
R2081
R2082
OPEN
OPEN
2
[1/2]
4
1
C2044
OPEN
R2088
OPEN
*
U2007
OPEN
U2006
OPEN
D
1
E
C2076
OPEN
U2008
OPEN
C2077
OPEN
C2078
OPEN
5
V+5V
V-5V
VGND
1K
4
0.1
4
OPEN
U2008
OPEN
OPEN
C2075
OPEN
2
OPEN
OSD_SEL
OSD_CE
OSD_DATA
OSD_CLK
LINEA
LINEB
ASPECT_L
ASPECT_H
C2074
C2065
47/16
47/16
C2066
C2067
0. 1
0. 1
IN1CR
IN2CR
IN3CR
IN4CR
VDO_CR
OSD_SEL
OSD_CE
OSD_DATA
OSD_CLK
LINEA
LINEB
ASPECT_L
ASPECT_H
Z1_YOUT
Z1_CBOUT
Z1_CROUT
Z1_YOUT
Z1_CBOUT
Z1_CROUT
Z2_YOUT
Z2_CBOUT
Z2_CROUT
Z2_YOUT
Z2_CBOUT
Z2_CROUT
NONOSD_V
C2070
C2071
*
0. 1
0. 1
R2122
OPEN
VDO_CB
IN4CB
IN3CB
IN2CB
SR7005
SR6005
ONLY
OSD_V
IN1CB
OSD_V
IN1Y
IN1CB
IN1CR
IN1Y
IN1CB
IN1CR
IN2Y
IN2CB
IN2CR
IN2Y
IN2CB
IN2CR
IN3Y
IN3CB
IN3CR
IN3Y
IN3CB
IN3CR
IN4Y
IN4CB
IN4CR
IN4Y
IN4CB
IN4CR
6
7
8
9
*
R2114
R2122
R2089
R2090
R2130,2131
R2091
R2093
R2092
SR7005 SR6005 SR5005
100K
0
OPEN
OPEN
0
OPEN
0
OPEN
1K
1K
0
OPEN
0
0
0
0
OPEN OPEN
OPEN
0
0
OPEN
OPEN 75_0.5%
F
G
H
88
10
VIDEO SIGINAL LINE
COMPONENT (Y) SIGNAL LINE
SCHEMATIC DIAGRAMS (13/28)
VGND
A
R2116
R2117
R2115
OPEN
R2143
0.1
C2062
C2064
0.1
1K
R2142
0.1
0.1
C2059
C2056
1K
R2141
0.1
C2050
C2052
0.1
10
R2104
R2103
R2130
OPEN
C2063
R2086
OPEN
*
Q2012
OPEN
B2001
B2002
B2003
B2004
B2005
B2006
SC_DVR
3
1
7
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30
2
4
U2003
AVDM-2000
C2061
D2008
OPEN
C2034
OPEN
3
8
[2/2]
6
Z2SSIGDET
R2078
Q2008 OPEN
OPEN
R2066
OPEN
NC 50
PROUT4 49
NC 48
BIASPR2 47
VEE 46
VCC 45
PRIN1 44
PRIN2 43
PRIN3 42
PRIN4 41
PRIN5 40
PRIN6 39
BIASPR1 38
VEE 37
VCC 36
PBIN6 35
PBIN5 34
PBIN4 33
PBIN3 32
PBIN2 31
0.1
Q2004
OPEN
C2026
OPEN
OPEN
OPEN
R2016
OPEN
OPEN
1/10
47/16
1/1 0
OPEN
C2051
C2053
C2054
R2140
*
*
K2005
NC
VOUT4
NC
VOUT5
BIASV2
NC
VEE
NC
VCC
YOUT1
YOUT2
YOUT3
YOUT4
BIASY2
NC
VEE
NC
VCC
PBOUT1
PBOUT2
PBOUT3
PBOUT4
BIASPB2
NC
VEE
NC
VCC
PROUT1
PROUT2
PROUT3
C2048
R2131
OPEN
C2015
OPEN
[1/2]
10
75
1.2K
75
1.2K
75
R2087
OPEN OPEN
OPEN
C2043
OPEN
OPEN
U2006
R2019
OPEN
R2027
R2028
VAUX
OPEN
R2074
OPEN
10
10
10
Z1_YOUT
Z1_CBOUT
*
Z1_CROUT R2114
1K
Z2_YOUT
Z2_CBOUT
Z2_CROUT
5
3
VDO_Y
OSD_V
6
C2022 OPEN
8
[2/2]
R2015
OPEN
R2008 C2012
OPEN OPEN
SC_IPOD
SC_ETHER
5
R2069
OPEN
VDO_V for 4311
OPEN
U2007 *
IN1Y
IN2Y
R2007 C2011
OPEN OPEN
7
R2079
R2080
0
SR5005
ONLY
ChipJ
R2
OPEN
Z2INA
Z2INB
SY_IPOD
SY_ETHER
SY_DVR
9
270
OPEN
OPEN
0.047
R2061
D2004
OPEN
OPEN
OPEN
6
R2135
U2005
OPEN
SR7005_only
R2128
R2129
[2/2]
0.1
0.1
81 VOUT3
82 VOUT2
83 VOUT1
84 VCC
85 VEE
86 BIASV1
87 VIN1
88 VIN2
89 VIN3
90 NC
91 VIN4
92 VIN5
93 VIN6
94 VIN7
95 VIN8
96 VIN9
97 VCC
98 NC
99 VDD
100 GND
OPEN
OPEN
1
4
R2063
IN4 OPEN
8
C2045
C2046
R2134
OPEN
IN1
IN2
IN3
VDIN_SY
5
R2110
R2111
R2112
R2113
100
Z2
1
AVSDA
AVSCL
[1/2]
3
R2093
OPEN
OPEN
R2067
OPEN
2
*
SR5005
=OPEN
C2080
C2081
OPEN
OPEN
80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51
IN3Y
IN4Y
C2018
OPEN
SR5005
ONLY
0.1
C2014
OPEN
Z1SSIGDET
C2055
R2018
OPEN
R2023
R2024
*
R2073
OPEN
OPEN
OPEN
4.7K
75
75
75
[1/2]
100
100
R2014
OPEN
R2049
R2050
R2155
R2107
R2108
R2109
3
R2105
R2106
C2017
OPEN
2
R2121
OPEN
DECIN_V
C2036 C2040
OPEN 0.047
3
OUT
SR7005_only
VEE
NC
PS
NC
SDA
SCL
NC
EX1
EX2
NC
VEE
NC
BIASY1
NC
YIN1
YIN2
NC
YIN3
YIN4
NC
YIN5
YIN6
NC
VCC
NC
VEE
NC
BIASPB1
NC
PBIN1
R2038
OPEN
ChipJ
C2013
OPEN
R2039
OPEN
OPEN
R2060
OPEN
OPEN
R2021
R2022
75
1P-WIRE_1.2_B2001-B2002
OPEN.
OPEN.
1P-WIRE_1.2_B2003-B2004
1P-WIRE_1.2_B2005-B2006
OPEN.
B 9
VSS
R2139
OPEN
U2005
R2017
OPEN
SC_DVR
SC_IPOD
SC_ETHER
6
7
R2098
D2007
Q2011
OPEN R2085
OPEN
OPEN
Q2003
R2077
OPEN
Q2007 OPEN
OPEN
C2025
OPEN
C2033
C2037 C2041
OPEN
OPEN OPEN
R2065
OPEN
R2031
OPEN
R2013
OPEN
R2006 C2010
OPEN OPEN
8
8
[2/2]
DECIN_Y
4.7K
4.7K
A 10
VEE
C2001
OPEN
X3 11
INH
C2002
OPEN
X0 12
Y1
X1 14
X2 15
VCC 16
X 13
Y3
Y
Y2
Y0
1
2
3
4
5
6
7
8
U2001
OPEN
7
5
75
R2132
R2133
R2005 C2009
OPEN OPEN
C2021 OPEN
OPEN
OPEN
R1
OPEN
Z1INA
Z1INB
SY_IPOD
SY_ETHER
SY_DVR
R2138
OPEN
R2126
R2127
R2096
R2091
0
D2003
OPEN
5
0.1
6
2
C2049
R2012
OPEN
DECIN_CB
OSD_V
R2010
OPEN
Q2002
R2076
KTA1504S Q2006 4.7K
KRC102S
C2024
0.1
C2032
1/50
R2064
OPEN
R2030
8.2K
R2037
OPEN
10
D2006
Q2010
KDS4148U R2084 KRC102S
1K
75
R2100
SY_DVR
SC_DVR
Y
7
8
C
Z1VSIGDET
R2094
R2101
R2153
R2102
R2154
R2035
1.2K
0.047
R2011
OPEN
C2020
R2009
OPEN
K2002
OPEN
9
D2002
KDS4148U
SY_IPOD
SC_IPOD
Y
1
2
C
3
4
R2036
47K
K2002
OPEN
5
R2072
4.7K
DECIN_CR
0
IN3
R2004
75
R2089
5
6
0
SAT
R2090
IN
C2008
22/16
VOUT3
VIN
NC 4
ON/OFF GND
R2042
8.2K
4P-PIN-JACK(RCA-405BE-31)-NI-Y
R2029
2.2K
270
OPEN
OPEN
0.047
K2001
R2043
R2054
R2058
C2028
3
OPEN
R2044
8.2K
R2003
75
2
OPEN
OPEN
OPEN
OPEN
K2021
4
D2001
KDS4148U
R2045
R2055
R2059
C2029
1
IN2
OPEN
OPEN
OPEN
OPEN
1
R2047
R2056
R2062
C2030
DVD
C2019 0.047
2
C2007
22/16
1
D2005
Q2009
KDS4148U R2083 KRC102S
1K
Q2001
R2075
KTA1504S Q2005 4.7K
C2023 KRC107S
0.01
C2031
C2035 C2039
1/50
OPEN 0.047
C2079
220P
R2046
OPEN
K2001
4P-PIN-JACK(RCA-405BE-31)-NI-Y
U2004
PQ033DNA1ZPH
COMPSIGDET
R2071
4.7K
R2041
R2053
R2057
C2027
R2002
75
8
OPEN
7
LO-YEL
R2120
OPEN
IN4
R2033
1.2 K
VCR
C2006
22/16
R2048
K2001
4P-PIN-JACK(RCA-405BE-31)-NI-Y
R2034
47K
3
TO COMPONENT
3
N
to B2007
C2005
22/16
M
N2005
OPEN
B2008
OPEN.
K2001
C2068
OPEN
C2073
OPEN
VGND
C2069
3
4P-PIN-JACK(RCA-405BE-31)-NI-Y
4
3
2
1
1
2
3
4
5
6
7
8
9
10
11
12
13
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
4
2
VDO_CR
1
D1003
CG0603MLC-12LE
D1004
CG0603MLC-12LE
L
PAGE 5 (B3)
TO REGULATOR B’D
R5 0
V_EIHER/VDO_V
VAUX
K2011
OPEN
2
K
PAGE 7 (A5)
TO FRONT CNT B’D
VGND
22/16
22/16
OPEN
KRC107S
AVSCL
22/16
10/50
22/16
10/50
OPEN
DTC114YK KRC107S
VGND
10/50
N2002
17P-SOCKET(C125Z2)
VGND
12
13
14
15
16
17
18
19
C2005
C2069
Q2005
KDS4148U KDS4148U
VGND
C2006-C2008
C2047,C2060
C2068,C2073
SR5005
C2047
KDS160
SR6005
22/16
OSD_CLK
OSD_CE
OSD_DATA
OSD_SEL
D2001,D2002
D2005,D2006
N2001
19P-SOCKET(C125Z2)
DECIN_V
1
VGND
2
DECIN_CR
3
VGND
4
DECIN_CB
5
VGND
6
DECIN_Y
7
VGND
8
VDIN_SY
9
VGND
10
VDIN_SC
11
VGND
SR7005
1
J
1
2
3
4
5
6
I
PAGE 7(E5)
TO FRONT CNT B’D
OPEN.
H
SC_ETHER
G
PAGE 7 (C5)
TO FRONT CNT B’D
N2003
13P-SOCKET(C125Z2)
F
Z2SSIGDET
SY_ETHER
E
VGND
D
COMPSIGDE
T
Z1VSIGDET
Z1SSIGDET
Z1INA
Z1INB
Z2INA
Z2INB
ASPECT_H
ASPECT_L
LINEA
LINEB
Z2SYNCDET
C
AVSDA
B
VGND
A
I
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
OSD_SEL
OSD_CE
OSD_DATA
OSD_CLK
LINEA
LINEB
ASPECT_L
ASPECT_H
I N4Y
I N4CB
IN4CR
IN4Y
IN4CB
IN4CR
IN3Y
IN3CB
IN3CR
IN3Y
IN3CB
IN3CR
IN2Y
IN2CB
IN2CR
1
2
Z2_YOUT
Z2_CBOUT
Z2_CROUT
6
4 7 5
3
2
Cb
Cr
1
Y
Z1_YOUT
Z1_CBOUT
Z1_CROUT
K1011
OPEN
2
IN2Y
IN2CB
IN2CR
OSD_V
IN1Y
IN1CB
IN1CR
IN1Y
IN1CB
IN1CR
NONOSD_V
Z2_YOUT
Z2_CBOUT
Z2_CROUT
Z1_YOUT
Z1_CBOUT
Z1_CROUT
V-5V
V+5V
VGND
1
OSD_SEL
OSD_CE
OSD_DATA
OSD_CLK
LINEA
LINEB
ASPECT_L
ASPECT_H
TO S/CVBS
K1013
RCA-303B1-1 0
COMPONENT
VIDEO IN
R1050
150
C1003
8
R1051
150
C1004
R1056
150
10/6.3
Q1006
KTC3875S
C1021
1200P
R1025 C1026
100 100/10
BD7628F-E2
U1003
5
8
R1030
C1012
7
0
IN4CR
R1012
0
K1012
RCA-303B1-10
to B2008
SR5005_only
R1028
R1029
68
OPEN
75
R1027
1K
1
47/16
3
U1003
BD7628F-E2
4
Z2_YOUT
Z2_CBOUT
Z2_CROUT
U1002
OPEN
1V
C1033
C1034
OPEN
OPEN
C1031
OPEN
C1032
OPEN
5
6
X1002
Q1001
Q1002
Q1004
R1020
R1016
1
VIN1
2
VEE1 VOUT113
3
VIN2
4
VEE2 VOUT211
5
VIN3
6
VEE3 VOUT3 9
7
PS
V+1 14
V+2 12
V+3 10
GND 8
R1034
R1035
C1037
OPEN
C1038
OPEN
C1035
OPEN
C1036
OPEN
OPEN
OPEN
2V
K1003
OPEN
1
Y
CB
CR
R1036
R1037
OPEN
OPEN
R1038
R1039
OPEN
OPEN
Y
R1043
OPEN
OUT2/
ZONE2
K1003
OPEN
4
7
CB
R1044
OPEN
K1003
OPEN
7
CR
R1045
OPEN
SR7005:OPEN
8
SR7005_only
C1025
C1024
0.01
47/16
2
0
0
0
Y
Cb
R1046
R1047
R1048
0.1
C1023 24P
OSD_SEL
R1060
OPEN
Z2SYNCDET
K1002
OPEN
OSD_CE
OSD_CLK
OSD_DATA
Q1002
KRC111S
L1001
33uH
C1020 24P
IN4CB
1M
C1018
0
R1011
0
R1021
27P
C1011
R1059
OPEN
R1026
10K
1 2 3 4 5 6 7 8 9 10 11 12
Q1001
KRA102S
27P
IN4Y
U1001
LC74781
VDD1
VSS1
XATLIN RST
XTALOUT CTR3
CTR1 CTR2
BLANK SEPIN
OSCIN SEPOUT
OSCOUT SEPC
CHARA SYNCIN
VDD1
CS
CVIN
SCLK
NC
SIN
VDD2 CVOUT
C1016
C1017
0
6
24 23 22 21 20 19 18 17 16 15 14 13
IN3CR
C1019
10/6.3
ASPECT_H
ASPECT_L
LINEA
LINEB
TO D_TERMINAL
ASPECT_H
ASPECT_L
LINEA
LINEB
R1023
2.2M
IN3CB
R1010
0
4
SR7005_only
9
Q1003
KTA1504S
Q1004
KTC2874B
C1010
K1002
OPEN
Cr
R1014
10K
R1009
150
1V
1
Cb
C1013
100/10
IN3Y
V+5V
D1001
KDS4148U
R1022
D1002
KDS4148U 220
1/50
0.01
C1009
R1057
150
R1058
OPEN
VCR
10/6.3
C1015 R1019
10/50 4.7K
R1015
OPEN
R1008
150
8
K1002
OPEN
8
C1014
100/10
IN1CR
Z1_YOUT
Z1_CBOUT
Z1_CROUT
7
9
Y
10/6.3
R1017
470
BEADS
1K
1K
1K
C1008
5
Cr
R1013
10K
R1007
150
K1002
OPEN
7
R1018
18K
R1031
R1032
R1033
R1055
150
6
L1002
IN1CB
0.01
47/16
C1007
K1002
OPEN
SAT Cb
10/6.3
R1006
150
1V
3
9
IN1Y
C1027
C1029
R1054
150
2
10/6.3
C1028
C1030
C1006
7
K1002
OPEN
CR
8
R1042
OPEN
R1005
150
K1001
6P-PIN-JACK
Y
4
K1003
OPEN
Z1_CROUT
R1024
220
C1005
R1053
150
6
6
R1004
150
4
Cr
IN2CR
10K
Cb
10/6.3
CB
5
R1041
OPEN
1V
1
K1001
6P-PIN-JACK
BD
K1003
OPEN
Z1_CBOUT
R1003
150
R1052
150
5
IN2CB
C1022
1/50
K1001
6P-PIN-JACK
10/6.3
R1002
150
K1001
6P-PIN-JACK
Y
3
OUT1
C1002
5
9
Y
2
R1040
OPEN
17.734M
Cr
3
K1003
OPEN
Z1_YOUT
R1020
4
IN2Y
R1001
150
K1001
6P-PIN-JACK
6
10/6.3
X1002
Cb
C1001
R1049
150
3
DVD
1V
2
Q1005
KTC3875S
K1001
6P-PIN-JACK
R1016
OPEN
Y
X1001
14.31818M
3
SR5005 SR5005 SR5005
K(E1C)
U(E3)
N(E2)
OPEN 17.734M 17.734M
OPEN KRA102SKRA102S
OPEN KRC111SKRC111S
OPEN KTC2874B KTC2874B
OPEN
10K
10K
0
OPEN OPEN
SR5005
F(JP)
OPEN
OPEN
OPEN
OPEN
OPEN
0
SR7005,SR6005
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
9
B2007
OPEN.
TO D_TERMINAL
Cr
10
A
B
10
VIDEO SIGINAL LINE
VGND
DIN1Y
DIN1CB
DIN1CR
COMPONENT (Y) SIGNAL LINE
DIN2Y
DIN2CB
DIN2CR
SCHEMATIC DIAGRAMS (14/28)
C
D
E
F
G
H
I
89
J
K
L
M
N
O
C
D
E
F
G
H
TO COMPONENT
J
K
L
M
N
O
TO COMPONENT
DIN2Y
DIN2CB
DIN2CR
Z1_YOUT
Z1_CBOUT
Z1_CROUT
1
VGND
DIN1Y
DIN1CB
DIN1CR
I
1
ASPECT_H
ASPECT_L
LINEA
LINEB
B
V+5V
A
2
ASPECT_H
ASPECT_L
LINEA
LINEB
2
3
3
Y
Y-G
CB
CB-G
CR
CR-G
LINE1
LINE2
LINE3
4
MONIL1
MONIL2
MONIL3
5
N3001
OPEN
MONIL2
VIN2L2
R3004
OPEN
LINEA
LINEB
VIN1L2
VIN2L1
R3003 OPEN
LINEA
LINEB
VIN1L1
C
D
E
F
VSS B
8
R3002
VIDEO-2
L
L
0X
0Y
MUTE
H
L
1X
1Y
VIDEO-1
L
H
2X
2Y
UP CONVERT
H
H
3X
3Y
OPEN
VEE A 10
7
LINEB
ON CHANNEL
9
G
H
90
10
BCF=SOP=1.27mm pitch
VIN2L3
VIN1L3
BCF=SOP=1.27mm pitch
B
LINEA
ASPECT_L
Q3002
OPEN
10
A
R3001
INH X3 11
6
LINE3
JAPAN
ASPECT_H
OPEN
X 13
Y1 X0 12
5
9
X1 14
Y3
C3003
Y
VSS B
8
4
VEE A 10
7
Y2 X2 15
INH X3 11
6
3
Y1 X0 12
5
2
X 13
Y3
4
Y0 VCC16
X1 14
Y
OPEN
Y2 X2 15
3
9
Y0 VCC16
2
U3001
OPEN
1
C3001
C3002
9
8
Q3001
OPEN
VIN2L1
VIN2L2
VIN2L3
OPEN
OPEN
SAT
Y
Y-G
CB
CB-G
CR
CR-G
LINE1
LINE2
LINE3
11
10
9
8
7
6
5
4
3
2
1
MONIL3
7
N3002
OPEN
8
5
VIN1L1
VIN1L2
VIN1L3
MONIL1
7
4
11
10
9
8 MONITOR
7
6
5
4
3
2
1
6
1
DVD
U3002
OPEN
6
Y
Y-G
CB
CB-G
CR
CR-G
LINE1
LINE2
LINE3
11
10
9
8
7
6
5
4
3
2
1
N3003
OPEN
SCHEMATIC DIAGRAMS (15/28)
I
J
K
L
M
N
O
D
B
0.1/10
0.1/10
0.1/10
CML
DGND
TTX_SDA
U20
U21
Y18
AA16
AA17
AB15
AB16
AA19
AA20
NC
AA18
SDRAM_DQ12SDRAM_DQ8 CEC3.3V
AB14
Y20
CEC3.3V
AB17
AB18
AB19
AC12
AC13
AC14
AC15
AC16
AC17
AC18
DGND
DGND
G
P35/47
P34/46
P33/45
P32/44
P31/43
P30/42
P29/41
P28/40
P27/39
P26/38
P25/37
P24/36
P23
P22
P21
P20
P19
P18
P17
P16
P15
P14
P13
P12
P11
P10
P9
P8
P7
P6
P5
P4
P3
P2
P1
P0
T1
T2
R1
R2
R3
R4
P1
P2
N1
N2
N3
N4
M1
M2
L1
L2
L3
L4
K1
K2
J1
J2
H1
H2
G1
G2
G3
F1
F2
E1
E2
D1
D2
D3
C1
C2
I
91
J
K
C1099 OPEN
C1100 OPEN
C1101 OPEN
C1078 4.7/6.3
C1080 OPEN
C1082 0.1/10
C1103 0.1/10
C1105 0.1/10
C1107 0.1/10
C1109 0.1/10
C1111 OPEN
C1113 OPEN
C1115 OPEN
C1117 OPEN
C1119 OPEN
C1121 OPEN
7
8
7
5
3
1
4
2
1
6
3
8
5
2
C1122 4.7/6. 3
C1123 OPEN
C1125 0.1/10
C1127 0.1/10
C1129 0.1/10
C1131 OPEN
C1133 OPEN
C1135 OPEN
C1137 OPEN
5
4.7Kx4
4.7Kx 4
4.7Kx 4
4.7Kx 4
1
2
3
4
5
6
7
8
R1081
R1081
R1081
R1081
1
2
3
4
5
6
7
8
4.7Kx4
4.7Kx4
4.7Kx4
4.7Kx4
6
CAPC1 W23
CAPC2 Y23
CAPY1 W22
CML U17
C1088
0.1/10
C1089
0.1/10
R16
R15
N17
R17
J8
R1086
R1087
R1088
R1089
R1090
R1091
7
R1091
R1091
R1091
R1092
R1093
4.7K
4.7K
OPEN
1K
1K
4.7Kx4
C1093
C1095
C1060 10/6. 3
C1061
0.1/10
0.1/10
4.7Kx4
4.7Kx4
4.7Kx4
4.7K
4.7K
5
6
3
4
1
2
8
9
0.1/10
8U-310052(1/12)
DIGITAL_UNIT
DGND
S(Y) VIDEO SIGINAL LINE
HDMI/TMDS SIGNAL LINE
VIDEO SIGINAL LINE
COMPONENT (Y) SIGNAL LINE
DIGITAL VIDEO SIGINAL LINE
SCHEMATIC DIAGRAMS (16/28)
L
M
7
8
C1090 10/6.3
C1091 0.1/10
R1082 2.7K
R1083 2.7K
C1092 0.1/10
R1072
68x4
7
1
4
3
6
5
2
8
R1071
68x4
7
4
1
6
3
8
5
2
R1070
68x4
7
1
4
3
6
5
2
8
R1069
68x4
7
1
4
3
6
5
8
R1068
68x4
7
2
1
4
3
6
5
2
8
R1067
68x4
7
1
2
4
3
4
6
5
6
8
R1066
68x4
7
8
R1065
68x4
1
7
2
TO I/P CONVERTER
H
C1063 4.7/6.3
C1064 OPEN
C1065 OPEN
C1066 0.1/10
C1067 0.1/10
C1068 0.1/10
C1069 0.1/10
C1070 0.1/10
C1071 OPEN
C1072 OPEN
C1096 OPEN
C1097 OPEN
C1098 OPEN
C1124 4.7/6.3
C1126 OPEN
C1128 0.1/10
C1130 0.1/10
C1132 OPEN
C1134 OPEN
C1136 OPEN
REFP F23
DGND
P35/47
P34/46
P33/45
P32/44
P31/43
P30/42
P29/41
P28/40
P27/39
P26/38
P25/37
P24/36
P23
P22
P21
P20
P19
P18
P17
P16
P15
P14
P13
P12
P11
P10
P9
P8
P7
P6
P5
P4
P3
P2
P1
P0
5
3
3
8
5
1
6
7
4
5
2
R1058
OPEN
3
8
7
1
5
6
3
8
R1057
OPEN
1
6
7
4
5
2
3
8
-
F
C1073 4.7/6.3
C1074 OPEN
C1075 0.1/10
C1076 0.1/10
C1077 0.1/10
C1079 0.1/10
C1081 0.1/10
C1102 0.1/10
C1104 OPEN
C1106 OPEN
C1108 OPEN
C1110 OPEN
C1112 OPEN
C1114 OPEN
C1116 OPEN
C1118 OPEN
C1120 OPEN
C1041 4.7/6.3
C1043 OPEN
C1045 0.1/10
C1047 0.1/10
C1049 OPEN
C1051 OPEN
C1053 OPEN
C1037 4.7/6.3
C1038 OPEN
C1039 0.1/10
C1040 0.1/10
C1042 0.1/10
C1044 0.1/10
C1046 OPEN
C1048 OPEN
C1050 OPEN
C1052 OPEN
C1054 OPEN
REFN F22
AC23
REFOUT AA22
BIAS Y22
TO HDMI TX
TO HDMI TX
C1138 OPEN
C1139 OPEN
R1076
OPEN
C1019 4.7/6.3
C1020 OPEN
C1021 OPEN
C1022 0.1/10
C1023 0.1/10
C1024 0.1/10
C1025 0.1/10
C1026 0.1/10
C1027 0.1/10
C1028 0.1/10
C1029 0.1/10
C1030 OPEN
C1031 OPEN
C1032 OPEN
C1033 OPEN
C1034 OPEN
C1035 OPEN
C1036 OPEN
C1062 OPEN
ELPF2
AC22
XTAL-B
6
TP5
TP6
TP7
TP4
TP17
ADV7840KBCZ-5
Y1 P35/47
AA1 P34/46
AA2 P33/45
AA3 P32/44
AA4 P31/43
AB1 P30/42
AB2 P29/41
AB3 P28/40
AB4 P27/39
AC2 P26/38
AC3 P25/37
AC4 P24/36
1
7
6
5
4
3
8
2
1
7
8
6
5
6
4
3
4
XTAL1-B
DGND
4
5
AB23
DGND
AC21
3
ELPF1
AB22
DGND
AC20
2
RTERM D14
TP1 U21
SR5005 ONLY
2
1
2
DGND
AC19
4
8
1
SDRAM_UDQS Y16
SDRAM_LDQS AB11
SDRAM_CK- AB17
C1087
0.01
AC11
6
AA23
REFOUT
AB21
4
5
CAPC2
AA22
DGND
R1085
OPEN
R1080
4.7Kx4
CAPC1
BIAS
DGND
2
3
R1079
R1079
R1079
R1079
Y23
C1094
0.01
AC10
W23
C1057
0.1/10
AC9
CEC3.3V
W22
Y22
AA21
AB20
V23
CEC3.3V
CAPY1
DGND
Y21
SDRAM_DQ12 AA15
SDRAM_DQ8 AA16
SDRAM_DQ11 Y15
SDRAM_DQ4 Y13
AIN12
V22
W21
PVDD
U23
AIN11
DGND
PVDD
NC
CEC3.3V
U22
TP1
V21
Y19
AIN10
1
7
SDRAM_DQ7 AC11
SDRAM_DQ6 AB12
SDRAM_DQ5 AC12
SDRAM_DQ3 AA13
T23
AVLINK
AC13
AB13
AC14
AB14
C1059
0.1/10
AB13
AA15
DGND
Y17
T22
TTX_SCL
RESET
SDRAM_UDQSCEC3.3V
SDRAM_DQ11
AA14
SDRAM_DQ3
Y16
7
5
6
AA13
AB12
AIN9
AIN8
T21
10/6.3
U17
4
3
AB11
R23
C1056
10/6.3
AB10
R22
SDA
T20
DGND
DGND
Y15
1
7
4
NC
SDRAM_RAS CEC3.3V
DGND
Y14
SDRAM_DQ4
AA12
SDRAM_DQ2
SDRAM_DQ1
SDRAM_DQ0
SDRAM_DQ15
AIN7
C1055
DGND
U16
P35/47
P34/46
P33/45
P32/44
P31/43
P30/42
P29/41
P28/40
P27/39
P26/38
P25/37
P24/36
SFL.
DE/B
VS/B
HS/B
LLC/B
DE/B
VS/B
HS/B
LLC/B
W3
U4
V1
U2
U1
W4
V2
W2
W1
1
8
DE/B
VS/B
HS/B
LLC/B
DE/B
VS/B
HS/B
LLC/B
R1052
33x4
SPDIF
MCLK
SCLK
LRCLK
I2S0
I2S1
I2S2
I2S3
CEC_COM
2
AA11
P23
AOUT
10/6.3
AA10
Y13
DE/B
VS/B
HS/B
LLC/B
P35/47
P34/46
P33/45
P32/44
P31/43
P30/42
P29/41
P28/40
P27/39
P26/38
P25/37
P24/36
P23
P22
P21
P20
P19
P18
P17
P16
P15
P14
P13
P12
P11
P10
P9
P8
P7
P6
P5
P4
P3
P2
P1
P0
E
T17
SDRAM_DQ14
SDRAM_DQ10 DGND SDRAM_CK
+
CEC3.3V SDRAM_A7 SDRAM_A3 SDRAM_A10SDRAM_BA0 SDRAM_WESDRAM_DQ7SDRAM_DQ5SDRAM_DQ2SDRAM_DQ0
SDRAM_VREF
B2
F3
B1
A2
K3
D5
J3
J4
5
R1051
33x4
R1043
4.7K
TO SUB CPU
D
NC
FB
P22
R21
SCL
TP4
T16
CEC1.8V
NR1601 ONLY
HPD3
HPD2
HPD1
J23 AIN1
H23 AIN2
H22 AIN3
Y12
N23
C1058
Y11
SDRAM_CS CEC3.3V
DGND
AB9
AC8
R1056
OPEN
1
Y10
AA9
AB8
AC7
R1055
OPEN
2
R1038
4.7Kx4
Y9
AA8
AB7
AC6
R1054
OPEN
3
FUNCT1
FB
AOUT
AVLINK
HS_IN1
VS_IN1
HS_IN2
VS_IN2
TP5
R20
3
R1096
OPEN
R1078
4.7Kx4
SDRAM_DQ14 AC15
SDRAM_DQ13 AB15
SDRAM_DQ10 AC16
SDRAM_DQ9 AB16
TP13
R17
R1095
OPEN
DGNDAC17
AIN4
FUNCT1
NC
CEC3.3V
0.082
0.01
0.82
0.039
AIN5
N22
P21
NC
SDRAM_DQ13SDRAM_DQ9SDRAM_CK-SDRAM_CK
SDRAM_LDQS
SDRAM_DQ6SDRAM_DQ1
SDRAM_DQ15
E
CEC3.3V SDRAM_A8 SDRAM_A4 SDRAM_A0 SDRAM_BA1SDRAM_CAS
R1053
OPEN
7
4
N22
N23
P22
T22
L20
L21
J20
J21
TP14
P20
R1075 C1083
C1084
390
C1085
160
C1086
M23
SYNC2
AVDD1.8
AVDD1.8
ELPF2 AB23
R1073
1.3K
R1074
TRI1
AIN6
N21
2
R1094
OPEN
L23
M22
AVDD1.8
N20
P17
R16
U15
DGND
-
5
8
AA7
AB6
AC5
P24/36
-
6
Y8
SDRAM_A9 SDRAM_A5 SDRAM_A1
AB5
P27/39
-
1
-
2
R1037
4.7Kx4
P16
6
OPEN
OPEN
3
C1011
C1012
C1017
C
5
U14
CEC1.8V
TXINDE
TXINVSYNC
TXINHSYNC
TXINPCK
TXINR11
TXINR10
TXINR9
TXINR8
TXINR7
TXINR6
TXINR5
TXINR4
TXINR3
TXINR2
TXINR1
TXINR0
TXING11
TXING10
TXING9
TXING8
TXING7
TXING6
TXING5
TXING4
TXING3
TXING2
TXING1
TXING0
TXINB11
TXINB10
TXINB9
TXINB8
TXINB7
TXINB6
TXINB5
TXINB4
TXINB3
TXINB2
TXINB1
TXINB0
51
51
51
R1008
R1009
R1022
75
75
-
7
4
AA6
DGND
AC4
P25/37
-
R1002
OPEN
-
6
-
-
8
-
-
24
24
24
R22 AIN8
R23 AIN9
P23 AIN7
T23 AIN10
L23 AIN5
L22 AIN6
M23 AIN4
M22 SYNC2
U22 AIN11
U23 AIN12
P28/40
Y7
SDRAM_A11 SDRAM_A6 SDRAM_A2
AA5
AB4
3
-
R1005
R1006
R1007
-
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.01
0.1/10
0.1/10
1
-
SD_BC1001
SD_RC1002
SD_GC1003
CVBSC1004
CR C1005
CB C1006
Y C1007
C1008
S-Y C1009
S-C C1010
3
DGND
P31/43
AC3
Y6
U1001
Q1009
KRC104S
AVDD1.8
TP7
DGND
TRI2
VS_IN1
ELPF1 AA23
K23
L22
M21
DGND
Y5
D1044
OPEN
1
1V
-
D1043
OPEN
D1042
R1002
0
OPEN
DGND
HS_IN1
M20
AIN1
K22
DGND
W20
AA4
AB3
P26/38
AC
N17
AIN2
J23
SYNC1
L21
DGND
DGND
P32/44
AC2
N16
CEC1.8V
DGND
U13
DGND
DGND
DE/B
AA3
P29/41
AC1
-
R1001
OPEN
75
P30/42
AB
C1013 12P FCX04(28.6363MHZ)
D22 XTAL
X1001 R1032
C1014
OPEN
D23 XTAL1
12P R1033 0
C1015 15P FCX04(28.6363MHZ)
AC21 XTAL-B
X1002 R1034
C1016
OPEN
AC20 XTAL1-B
15P R1035 0
R1036 2.2K
R1039 2.2K
R1040
OPEN
R1041
OPEN
R1042
OPEN
33
R1044
Y2 INT3
33
R1045
U3 SYNC_O/INT2
33
R1046
G4 INT1
10
R1047
R20 SCL
10
R1048
R21 SDA
10
R1049
T20 TTX_SCL
10
R1050
T21 TTX_SDA
CEC_COM
C1018 1000P
U20 RESET
HPD4
OPEN
OPEN
OPEN
OPEN
D1041
D1028
D1027
D1022
1
D1026
2
D1025
OPEN
3
OPEN
5
N15
T15
7
6
CEC1.8V
H23
J22
K21
V20
Y4
DGND
AB2
CEC1.8V
U12
CEC1.8V
T14
5
7
AB1
CEC1.8V
R15
H22
VS_IN2
J22 SYNC1
CEC3.3V
TRI4
J21
3 OUT
IN 1
4 NC GNDVC
5 2
CEC3.3V
L1001
11A121
DGND
TP8
T13
DGND
U11
DGND
TP9
T12
CEC1.8V
U10
CEC1.8V
CEC1.8V
TP6
TP10
T11
DGND
U9
DGND
M17
DGND
R14
H21
HS_IN2
W4
SFL.
P33/45
U8
DGND
R13
3
8
P34/46
AA
U7
R12
TP11
T10
DGND
DGND
CEC3.3V
M16
G23
DGND
AIN3
DGND
Y3
AA2
DGND
R11
DGND
TP12
T9
CEC3.3V
REFP
G22
AVDD1.8
R1077
OPEN
PVDD
F23
REFN
G21
L20
M15
AVDD1.8
F22
NC
K20
CEC1.8V
E23
AVDD1.8
F21
1
D1045 OPEN
U1002
OPEN
CEC3.3V
CEC1.8V
XTAL1
E22
PVDD
10K
R1084
D23
XTAL
DGND
L1002
OPEN
DGND
D22
E21
AVDD1.8
K17
L17
P15
8
9
AA1
DDCA_SDA DDCA_SCL
V4
W3
INT3
CEC1.8V
DGND
CEC1.8V
DGND
1
10
O
0
C23
DGND
D21
J20
L16
DGND
P14
DGND
6
11
DDCD_SDA
DDCD_SCL
RXD_CRXD_C+
RXD_0RXD_0+
RXD_1RXD_1+
RXD_2RXD_2+
CEC3.3V
T8
TP15
R10
P13
DGND
7
12
4
P35/47
Y
D10
D9
A7
B7
A6
B6
A5
B5
A4
B4
13
CEC3.3V
T7
DE/B
DGND
Y2
CEC_COM
HPD4
HPD3
HPD2
HPD1
HDMIR_RST
14
Y1
Q1008
KRC104S
U4
HS/B
LLC/B
W
HPD4
-
15
R1031
47K
U3
V3
W2
-
16
R1030
OPEN
R1021
47K
-
R1020
10K
Q1007
KRC102S
17
-
RXD_5V
18
CEC3.3V
VS/B
W1
ADVINT3
ADVINT2
ADVINT1
HSCL
HSDA
19
V2
VS/B
C6 RXD_5V
CEC3.3V
TP16
R9
P12
4
RXD_5V
T4
HS/B SYNC_O/INT2
V1
V
R1019
1K
U2
LLC/B
U
D20
J17
8
2
1
P34/46
U1
3
P30/42
P31/43
T3
R8
P11
5
4
P35/47
T
R7
P10
DGND
3
5
P32/44
T2
D19
H20
CEC1.8V
DGND
2
6
P33/45
T1
R4
P9
DGND
N14
DGND
DGND
P8
DGND
N10
8
7
R3
DGND
P7
NC
N9
DGND
R1062
OPEN
8
R2
P4
DGND
DGND
1
9
R1
R
P24/36
NC
DGND
D18
DGND
C22
AVDD1.8
K16
DGND
M14
6
10
DGND
G20
L15
DGND
M10
DGND
N8
L14
7
11
P3
P28/40
CEC3.3V
H17
DGND
K15
DGND
DGND
4
12
P2
P29/41
P
DDCC_SDA
DDCC_SCL
RXC_CRXC_C+
RXC_0RXC_0+
RXC_1RXC_1+
RXC_2RXC_2+
P25/37
DGND
N7
K14
DGND
M9
DGND
2
P1
D12
D11
A12
B12
A11
B11
A10
B10
A9
B9
13
DGND
N4
6
14
Q1006
KRC104S
P26/38
-
15
HPD3
N3
7
Q1005
KRC102S
16
CEC1.8V
C21
TRI3
R1064
68x4
P27/39
N
17
L1003
-
18
N2
C20
B23
RXA_C-
DE/B
VS/B
HS/B
LLC/B
P35/47
P34/46
P33/45
P32/44
P31/43
P30/42
P29/41
P28/40
P27/39
P26/38
P25/37
P24/36
P23
P22
P21
P20
P19
P18
P17
P16
P15
P14
P13
P12
P11
P10
P9
P8
P7
P6
P5
P4
P3
P2
P1
P0
N1
DGND
DGND
CEC1.8V
J16
3
R1029
47K
DGND
DGND
M8
DGND
K13
G17
AVDD1.8
J15
DGND
L10
DGND
M7
DGND
L9
4
R1028
OPEN
R1018
47K
M4
1
R1017
10K
P22
C19
DGND
CEC1.8V
H16
5
D1008
OPEN
D1009
OPEN
D1010
OPEN
D1011
OPEN
D1012
OPEN
D1013
OPEN
D1014
OPEN
D1033
OPEN
D1034
OPEN
D1035
OPEN
D1036
OPEN
R1016
1K
19
P23
M
C9 RXC_5V
D17
G16
DGND
J14
DGND
K12
H15
DGND
J13
CEC1.8V
K11
H14
DGND
J12
DGND
K10
DGND
L8
CEC3.3V
P18
M3
DGND
K9
DGND
L7
P19
M2
DGND
K8
DGND
L4
P20
M1
TP17
K7
DGND
L3
P21
L
K4
I2S0
L2
2
1
K3
P16
L1
CEC3.3V
I2S3
J11
DGND
7
P17
K
3
C18
DGND
D16
G15
DGND
H13
CEC1.8V
5
4
D15
G14
DGND
H12
DGND
J10
G13
2
5
DGND
RTERM DDCB_SDA DDCB_SCL
DGND
CEC1.8V
H11
DGND
J9
G12
DGND
H10
DGND
J8
G11
DGND
H9
DGND
J7
G10
DGND
H8
DGND
J4
I2S2
K2
H7
NC
G9
DGND
R1061
OPEN
6
K1
H4
NC
G8
DGND
3
7
P14
G7
INT1
J3
P15
J
CEC3.3V
C17
NC
8
8
J2
CEC3.3V
D14
C16
DGND
B22
RXA_0-
PVDD
1
9
J1
D13
6
10
N
AVDD1.8
A23
RXA_C+
B21
RXA_1-
E20
7
11
D12
5
12
H3
P12
D11
C15
DGND
CEC_RX DDCD_SCL DDCD_SDA DDCC_SCL DDCC_SDA
RXB_5V
G4
P9
H2
P13
H
DDCB_SDA
DDCB_SCL
RXB_CRXB_C+
RXB_0RXB_0+
RXB_1RXB_1+
RXB_2RXB_2+
D10
DGND
C14
4
H1
D15
D16
B17
A17
B16
A16
B15
A15
B14
A14
G3
P10
D9
DGND
C13
6
Q1004
KRC104S
13
M
23
A22
RXA_0+
B20
RXA_2-
DGND
3
14
G2
P11
DGND
C12
B19
CEC3.3V
22
A21
RXA_1+
F20
MCLK
8
15
F4
P7
G1
G
HPD2
F3
D8
C11
-
R1027
47K
CEC3.3V
2
RXB_5V
16
Q1003
KRC102S
CEC3.3V
NC
RXC_5V
CEC3.3V
D7
C10
-
D7 RXB_5V
I2S1
DGND
E4
D6
RXA_5V
C9
2
R1026
OPEN
R1015
47K
P8
F
D5
E3
4
R1014
10K
F2
18
17
L
21
A20
RXA_2+
B18
RXB_C-
20
A19
DGND
B17
RXB_0-
19
A18
RXB_C+
B16
RXB_1-
18
A17
RXB_0+
B15
RXB_2-
8
D1001
OPEN
D1002
OPEN
D1003
OPEN
D1004
OPEN
D1005
OPEN
D1006
OPEN
D1007
OPEN
D1029
OPEN
D1030
OPEN
D1031
OPEN
D1032
OPEN
R1013
1K
19
P5
RXD_5V
C8
B14
CEC3.3V
A16
RXB_1+
R1063
68x4
P6
F1
RXB_5V
P2
E2
E
D4
P3
E1
2
NR1601
SR5005
A
P4
3
1
D3
NC
C7
4
4
D2
D
DGND
DGND
C6
2
5
D1
C5
R1060
OPEN
6
P0
P1
C
C4
3
7
C3
8
8
C2
1
9
C1
6
10
4
11
K
17
16
A15
RXB_2+
B13
RXC_C+
15
A14
DGND
B12
RXC_0+
14
A13
RXC_C-
B11
RXC_1+
13
A12
RXC_0-
B10
RXC_2+
12
A11
RXC_1-
B9
CEC3.3V
11
A10
RXC_2-
B8
RXD_C+
10
A9
CEC3.3V
B7
RXD_0+
9
A8
RXD_C-
B6
RXD_1+
8
A7
RXD_0-
B5
RXD_2+
7
A6
RXD_1-
B4
DGND
6
A5
RXD_2-
B3
SPDIF
5
A4
DGND
B2
SCLK
B
DDCA_SDA
DDCA_SCL
RXA_CRXA_C+
RXA_0RXA_0+
RXA_1RXA_1+
RXA_2RXA_2+
4
A3
LRCLK
B1
D19
D20
B22
A22
B21
A21
B20
A20
B19
A19
12
J
IPINDE
IPINVSYNC
IPINHSYNC
IPINPCK
IPINR11
IPINR10
IPINR9
IPINR8
IPINR7
IPINR6
IPINR5
IPINR4
IPINR3
IPINR2
IPINR1
IPINR0
IPING11
IPING10
IPING9
IPING8
IPING7
IPING6
IPING5
IPING4
IPING3
IPING2
IPING1
IPING0
IPINB11
IPINB10
IPINB9
IPINB8
IPINB7
IPINB6
IPINB5
IPINB4
IPINB3
IPINB2
IPINB1
IPINB0
Q1002
KRC104S
13
DGND
VDISY
VDISC
A2
DGND
-
14
I
-
15
75
10
A1
HPD1
CEC3.3V
CEC1.8V
VDI_V
VDICR
VDICB
VDI_Y
R1025
47K
3
ADVINT2
RXSPDIF
RXMCK
RX64fs
RXfs
RXI2S0
RXI2S1
RXI2S2
RXI2S3
CEC_COM
16
R1001
R1003
R1004
9
TO DIGITAL CONNECT TO DIGITAL SUPPLY
8
HDMI IN4
7
2
1
A
Q1001
KRC102S
17
K1004
HDMI-CONNECTOR
SHELL2
HPD/HEAC+5V
GND
DDCDATA
DDCCLK
UTILITY/HEAC+
CE_Remote
CKCKshield
CK+
D0DOshield
D0+
D1D1shield
D1+
D2D2shield
D2+
SHELL1
R1024
OPEN
R1012
47K
H
CEC1.8V
C7 RXA_5V
18
D1024
6
HDMI IN3
5
R1011
10K
G
D8 CEC_RX
CEC_COM
D1015
OPEN
D1016
OPEN
D1017
OPEN
D1018
OPEN
D1019
OPEN
D1020
OPEN
D1021
OPEN
D1037
OPEN
D1038
OPEN
D1039
OPEN
D1040
OPEN
HPD/HEAC+5V
GND
DDCDATA
DDCCLK
UTILITY/HEAC+
CE_Remote
CKCKshield
CK+
D0DOshield
D0+
D1D1shield
D1+
D2D2shield
D2+
SHELL1
19
OPEN
4
HPD/HEAC+5V
GND
DDCDATA
DDCCLK
UTILITY/HEAC+
CE_Remote
CKCKshield
CK+
D0DOshield
D0+
D1D1shield
D1+
D2D2shield
D2+
SHELL1
K1003
HDMI-CONNECTOR
SHELL2
RXA_5V
R1010
1K
D1023
3
HPD/HEAC+5V
GND
DDCDATA
DDCCLK
UTILITY/HEAC+
CE_Remote
CKCKshield
CK+
D0DOshield
D0+
D1D1shield
D1+
D2D2shield
D2+
SHELL1
K1002
HDMI-CONNECTOR
SHELL2
HDMI IN1
2
K1001
HDMI-CONNECTOR
SHELL2
HDMI IN2
1
47K
F
2
R1023
E
R1059
OPEN
C
-
B
-
A
N
O
10
E
F
G
H
I
J
K
L
M
N
O
1TXDE
1TXHSYNC
1TXVSYNC
R1343 OPEN
R1345 OPEN
R1347 OPEN
4
2
3
1
OPEN
OPEN
OPEN
OPEN
OPEN
100/6.3
6
5
2
1
22x4
4
3
0.1
C1342
R1312 22x4
R1312 22x4
R1312 22x4
R1344 4.7 K
R1346 4.7 K
R1348 4.7K
6
5
8
8
7
7
2
1
R1312
4
R1311
22x 4
6
2
1
8
4
3
3
6
5
5
8
7
7
R1310
22x4
2
1
C1334 0. 1
C1335 0.1
4
R1309
22x4
6
1TXB8
1TXB7
1TXB6
1TXB5
1TXB4
1TXB3
1TXB2
1TXB1
1TXB0
1TXG3
1TXG2
1TXG1
1TXG0
1TXB11
1TXB10
1TXB9
1TXPCK
8
2
3
4
1
5
6
3
7
8
5
C1330 0.1
R1308
22x4
2
7
R1307
22x4
1
1TXG11
1TXG10
1TXG9
1TXG8
1TXG7
1TXG6
1TXG5
1TXG4
4
8
6
5
7
3
0. 1
0.1
C1325
R1306
22x4
2
4
3
1
6
5
C1324
8
7
R1305
22x4
4
2
3
1
JTAG_RESET
IPJTAGTCK
3
4
D
S
D
S
6
1
7
8
5
6
3
4
1
2
7
5
G2
Q1302
HN1K02FU
3
1
10
10
33x4
33x4
8
6
33x4
33x4
4
2
-
IPJTAGTDO
R1338 33
R1334 4.7K
-
-
-
-
-
-
IPJTAGTMS
IPJTAGTDI
TRSTN
IPINVSYNC
IPINHSYNC
IPINDE
IPINB0
incb[1]
U1302
ABT1030
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
IPDQ0
IPDQ1
IPDQ2
IPDQ3
IPDQ4
IPDQ5
IPDQ6
IPDQ7
C1344
0. 1
C1345
0. 1
R1315
C1346
R1315
R1315
R1315
R1349
R1350
C1347
C1348
7
8
5
6
3
4
1
2
7
8
5
6
3
4
1
2
R1313
33x4
R1314
33x4
33x 4
0.1
7
8
5
6
3
4
1
2
7
8
5
6
3
4
1
2
33x4
33x4
33x4
R1316
33x4
33
33
0.1
0.1
I PWE
IPCAS
IPRAS
IPBA0
IPBA1
I PA0
I PA1
I PA2
I PA3
IPA10
IPCLK
IPA11
I PA9
I PA8
I PA7
I PA6
I PA5
I PA4
IPDQ8
IPDQ9
IPDQ10
IPDQ11
IPDQ12
IPDQ13
IPDQ14
IPDQ15
-
-
-
-
1TXVSYNC
1TXHSYNC
1TXDE
1TXPCK
1TXR11
1TXR10
1TXR9
1TXR8
1TXR7
1TXR6
1TXR5
1TXR4
1TXR3
1TXR2
1TXR1
1TXR0
1TXG11
1TXG10
1TXG9
1TXG8
1TXG7
1TXG6
1TXG5
1TXG4
1TXG3
1TXG2
1TXG1
1TXG0
1TXB11
1TXB10
1TXB9
1TXB8
1TXB7
1TXB6
1TXB5
1TXB4
1TXB3
1TXB2
1TXB1
1TXB0
2
3
4
5
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
R1321
R1322
R1323
R1324
R1326
m_data[0]
m_data[1]
m_data[2]
m_data[3]
m_data[4]
m_data[5]
m_data[6]
m_data[7]
IO VDD
IO VSS
Core VSS
Core VDD
m_we_n
m_cas_n
m_ras_n
m_baddr[0]
m_baddr[1]
m_addr[0]
m_addr[1]
m_addr[2]
m_addr[3]
IO VDD
IO VSS
m_addr[10]
m_clk
m_addr[11]
m_addr[9]
m_addr[8]
m_addr[7]
m_addr[6]
m_addr[5]
m_addr[4]
Core VDD
Core VSS
IO VS
IO VDD
m_data[8]
m_data[9]
m_data[10]
m_data[11]
m_data[12]
m_data[13]
m_data[14]
m_data[15]
r ed[3]
r ed[2]
red[4]
red[5]
red[6]
red[7]
IO VDD
IO VSS
Core VSS
Core VDD
red[8]
red[9]
red[10]
red[11]
PLL VDD
PLL VSS
PLL VSS
PLL VDD
PLL VDD
PLL VSS
PLL VSS
PLL VDD
Oscillator VDD 1.8V
Oscillator VSS
sysclk_xi
sysclk_xo
Oscillator VDD 3.3V
Oscillator VSS
Core VDD
Core VSS
IO VSS
IO VDD
scl
sda
tck
tms
trstn
tdi
tdo
resetn
irq_n
invoutclk
inputvsn
inhsn
inde
incb[0]
IPSCL
IPSDA
1TXVSYNC
1TXHSYNC
1TXDE
1TXPCK
1TXR11
1TXR10
1TXR9
1TXR8
1TXR7
1TXR6
1TXR5
1TXR4
1TXR3
1TXR2
1TXR1
1TXR0
1TXG11
1TXG10
1TXG9
1TXG8
1TXG7
1TXG6
1TXG5
1TXG4
1TXG3
1TXG2
1TXG1
1TXG0
1TXB11
1TXB10
1TXB9
1TXB8
1TXB7
1TXB6
1TXB5
1TXB4
1TXB3
1TXB2
1TXB1
1TXB0
C1349
C1350
C1352
C1354
C1356
C1358
132
131
130
129
128
127
126
125
124
123
122
121
120
119
118
117
116
115
114
113
112
111
110
109
108
107
106
105
104
103
102
101
100
99
98
97
96
95
94
93
92
91
90
89
L1301
L1302
L1303
0.1
1000P
4.7/6.3
4.7/6.3
0.1
1000P
R1332
9P FCX04(27MHZ)
X1301
9P
red[1]
red[0]
Core VDD
Core VSS
IO VSS
IO VDD
green[11]
green[10]
green[9]
green[8]
green[7]
green[6]
green[5]
green[4]
IO VDD
IO VSS
green[3]
green[2]
green[1]
green[0]
blue[11]
blue[10]
blue[9]
voutclk
IO VSS
IO VDD
CoreDVD
CoreSVS
blue[8]
blue[7]
blue[6]
blue[5]
blue[4]
blue[3]
blue[2]
blue[1]
blue[0]
IO VSS
IO VDD
de
hs
vs
2
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
1
DV5V
DV3.3V
DV1.8V
DGND
11A121
11A121
11A121
6
5
100
8
7
HSCL
HSDA
R1325
-
OPEN
OPEN
OPEN
OPEN
4.7K
N1301
OPEN
TCK 1
GND 2
TDO 3
VCC 4
TMS 5
TDI 6
GND 7
5
R1301
4.7Kx4
S1301
OPEN
-
R1328 10K
ALL
T.P
1
C1316 4.7/6.3 R1330
C1317 4.7/6.3
0
C1318 0.1
C1306 1000P
C1319 0.1
C1320 0.1
IPSCL
R1336
R1337
IPSDA
IPJTAGTCK
R1304
IPJTAGTMS
R1304
TRSTN
IPJTAGTDI
R1304
IPJTAGTDO
R1304
-
10K
4
C1311 0.1
C1301 1000P
IPINPCK
IPINHSYNC
IPINVSYNC
IPINDE
G5
3
0.1
4.7/6.3
4.7/6.3
0.1
R1303
22x4
C1312
C1302
C1313
C1314
C1315
C1303
C1304
C1305
R1327
6
inputcr[9]
inputcr[10]
inputcr[11]
-
1TXR8
1TXR9
1TXR10
1TXR11
8
5
incb[2]
inputcb[3]
inputcb[4]
inputcb[5]
inputcb[6]
inputcb[7]
IO VDD
IO VSS
Core VSS
Core VDD
inputvclk
inputcb[8]
inputcb[9]
inputcb[10]
inputcb[11]
inputy[0]
inputy[1]
inputy[2]
inputy[3]
inputy[4]
inputy[5]
inputy[6]
inputy[7]
inputy[8]
inputy[9]
inputy[10]
inputy[11]
Core VDD
Core VSS
IO VSS
IOVDD
inputcr[0]
inputcr[1]
inputcr[2]
inputcr[3]
inputcr[4]
inputcr[5]
inputcr[6]
inputcr[7]
inputcr[8]
-
C1307
C1308
C1309
C1310
7
1M
-
R1302
22x4
1TXR4
1TXR5
1TXR6
1TXR7
1
IPINPCK
IPINHSYNC
IPINVSYNC
IPINDE
1TXR3
1TXR2
1TXR1
1TXR0
IPINB0
IPINB1
IPINB2
IPINB3
IPINB4
IPINB5
IPINB6
IPINB7
IPINB8
IPINB9
IPINB10
IPINB11
IPING0
IPING1
IPING2
IPING3
IPING4
IPING5
IPING6
IPING7
IPING8
IPING9
IPING10
IPING11
IPINR0
IPINR1
IPINR2
IPINR3
IPINR4
IPINR5
IPINR6
IPINR7
IPINR8
IPINR9
IPINR10
IPINR11
IPINB0
IPINB1
IPINB2
IPINB3
IPINB4
IPINB5
IPINB6
IPINB7
IPINB8
IPINB9
IPINB10
IPINB11
IPING0
IPING1
IPING2
IPING3
IPING4
IPING5
IPING6
IPING7
IPING8
IPING9
IPING10
IPING11
IPINR0
IPINR1
IPINR2
IPINR3
IPINR4
IPINR5
IPINR6
IPINR7
IPINR8
IPINR9
IPINR10
IPINR11
3
FROM HDMI Rx
2
4
D
DV5V
DV3.3V
DV1.8V
DGND
1
3
C
TO DIGITAL
SUPPLY
B
TO HDMI TX
A
6
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN.
C1351
C1353
C1355
C1357
C1359
C1360
C1337 0.1
IPINR0
IPINR1
IPINR2
IPINR3
IPINR4
IPINR5
IPINR6
IPINR7
IPINR8
IPINR9
IPINR10
IPINR11
IPA11
IPA9
IPA8
IPA7
IPA6
IPA5
IPA4
VDD
DQ0
VDDQ
DQ1
DQ2
VSSQ
DQ3
DQ4
VDDQ
DQ5
DQ6
VSSQ
DQ7
VDD
LDQM
WE
CAS
RAS
CS
BA0
BA1
A10/AP
A0
A1
A2
A3
VDD
1000P
1000P
1000P
4.7/6.3
8U-310052(2/12)
DIGITAL_UNIT
8
0.1
4.7K
33x4
0.1
4.7K
4
3
2
6
5
1
IPDQ7 R1320
C1333
R1339
IPWE
IPCAS
IPRAS
R1342
IPBA0
IPBA1
IPA10
IPA0
IPA1
IPA2
IPA3
C1338
8
33x4
33x4
0.1
33x4
33x4
2
7
4
3
1
IPDQ3 R1319
IPDQ4 R1320
C1331
IPDQ5 R1320
IPDQ6 R1320
6
5
IPDQ0 R1319
C1328
IPDQ1 R1319
IPDQ2 R1319
8
33x4
0.1
33x4
33x4
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27
7
1000P
0.1
U1303 IS42S16400F-6TL
C1322
C1323
R1335
OPEN
1000P
Q1301
KRC104S
C1321
R1331
220
U1301
SN74LV14APW
1 1A VCC 14
2 1Y 6A 13
3 2A 6Y 12
4 2Y 5A 11
5 3A 5Y 10
6 3Y 4A 9
7 GND 4Y 8
C1339
C1340
C1341
C1343
4.7K
4.7K
R1329
8
VSS
DQ15
VSSQ
DQ14
DQ13
VDDQ
DQ12
DQ11
VSSQ
DQ10
DQ9
VDDQ
DQ8
VSS
NC/RFU
UDQM
CLK
CKE
NC
A11
A9
A8
A7
A6
A5
A4
VSS
54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28
R1333
7
IP
SR5005 ONLY
R1341 4.7K
R1340 4.7K
2
1
4
3
IPCLK
IPDQ8
IPDQ10
IPDQ9
6
5
7
1
R1318 33x4
R1318 33x4
C1332 0.1
R1318 33x4
IPDQ12
IPDQ11
8
2
IPDQ14
IPDQ13
4
3
5
R1317
R1317
C1329
R1317
R1318
6
33x4
33x4
0.1
33x4
33x4
C1327 0.1
IPINPCK
IPINB8
IPINB9
IPINB10
IPINB11
IPING0
IPING1
IPING2
IPING3
IPING4
IPING5
IPING6
IPING7
IPING8
IPING9
IPING10
IPING11
C1336 0.1
IPDQ15
7
8
7
R1317 33x4
IPINB1
IPINB2
IPINB3
IPINB4
IPINB5
IPINB6
IPINB7
C1326
0.1
6
9
HSDA
HSCL
9
-
10
ABT_RST
HSDA
HSCL
-
10
FROM SUB CPU
A
B
C
D
SCHEMATIC DIAGRAMS (17/28)
E
F
G
H
92
I
J
K
L
M
N
O
7
FROM RX
6
10
8
5
6
3
4
1
2
7
8
5
6
3
4
1
2
7
8
5
6
3
4
ADVINT2
RXSPDIF
RXMCK
RX64fs
RXfs
RXI2S0
RXI2S1
RXI2S2
RXI2S3
CEC_COM
ADVINT2
RXMCK
RX64fs
RXfs
RXI2S0
RXI2S1
RXI2S2
RXI2S3
RXSPDIF
ARCSPDIF
R1514
R1515
R1516
R1519
88
89
90
91
92
93
94
95
96
97
98
8
99
5
6
100
3
4
1
2
6
3
4
1
2
7
8
5
6
3
4
1
2
7
8
5
6
3
4
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
6
8
1
3
5
2
4
6
1
2
3
4
5
6
7
8
1
2
4
5
6
7
8
1
R1527
2.2K
GND
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
SDA
SCL
DDCSDA
DDCSCL
HEAC+(NC)
HEAC-(GND)
2
3
4
5
6
7
8
4
40
5
39
6
38
7
37
8
36
9
35
C1513
34
10
0.1/10
11
33
R1535
R1536
32
31
30
29
28
27
26
C1514
R1529
0.1/1 0
1.6K
C1515
R1530
0.1/10
2K
R1532
9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
L1502
22uH
100
Q1501
KRA102S
R1533
1K
12
1.8K
1.8K
13
14
15
16
17
18
19
1TXRST
Q1502
KRC102S
L1503
22uH
C1524
C1525
1/10 R1538
51
R1537
5.1 R1539
51
C1526
2
D2+
D2shield
D2D1+
D1shield
D1D0+
DOshield
D0TXC+
CKshield
CKCE_REMOTE
UTILITY/HEAC+
DDC_SCL
DDC_SDA
GND
+5V
HPD/HEAC-
4
1/10
OPEN TX_VSYNC
OPEN TX_HSYNC
OPEN TX_DE
OPEN TX_PCK
OPEN TX_R11
TX_R10
TX_R9
TX_R8
OPEN TX_R7
TX_R6
TX_R5
TX_R4
OPEN TX_R3
TX_R2
TX_R1
TX_R0
OPEN TX_G11
TX_G10
TX_G9
TX_G8
OPEN TX_G7
TX_G6
TX_G5
TX_G4
OPEN TX_G3
TX_G2
TX_G1
TX_G0
OPEN TX_B11
OPEN TX_B10
OPEN TX_B9
OPEN TX_B8
TX_B7
TX_B6
TX_B5
OPEN TX_B4
TX_B3
TX_B2
TX_B1
OPEN TX_B0
R1540
OPEN
R1541
R1542
6
5
4
5
OPEN
OPEN
1Y 1A 1
Vcc 2
2Y 2A 3
U1502
OPEN
R1543
GND
OPEN
6
HDMI TX
7
8
ADVINT2
RXSPDIF
RXMCK
RX64fs
RXfs
RXI2S0
RXI2S1
RXI2S2
RXI2S3
9
ADVINT2
RXMCK
RX64fs
RXfs
RXI2S0
RXI2S1
RXI2S2
RXI2S3
RXSPDIF
8U-310052(3/12)
DIGITAL_UNIT
HDMI/TMDS SIGNAL LINE
SCHEMATIC DIAGRAMS (18/28)
A
3
1/10
8
7
7
DEVICE ADDRESS:********(***)
41
3
0.1/10
L1501
22uH
4
5
1
TX_VSYNC
6
8
DEVICE ADDRESS:********(***)
2
C1512
OPEN
3
7
[HDMI TRANSMITTER]
1
43
C1528
5
8
U1501
ADV7511KSTZ
44
42
2
HDMI-CONNECTOR
K1501
1TXINT
OPEN
7
3
R1520
87
7
5
R1517
R1517
R1517
R1518
86
2
R1520
R1520
R1520
R1517
R1511
R1513
85
1
R1502
R1512
84
0.1/10
OPEN
45
HDMI OUT
2
7
83
0.1/10
C1520
R1528
10K
1
82
46
C1519
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
4
47
R1534
3
81
48
D1502
D1503
D1504
D1505
D1506
D1507
D1508
D1509
D1510
D1511
D1512
6
O
OPEN
8
5
49
D1501
2
7
80
50
2.2K
1
79
DVDD
CEC_IN
DVDD_3V
SPDIF_OUT(GND)
INT
GND
TX2+
TX2AVDD
TX1+
TX1PD
GND
TX0+
TX0AVDD
TXC+
TXCGND
HPD
AVDD
R_EXT
GND
BGVDD
R1531
4
N
4.7/6.3
OPEN
OPEN
10/6.3
OPEN
OPEN
6
3
M
C1521
C1522
C1523
5
78
DVDD
DVDD
D17
CLK
D16
D15
D14
D13
D12
D11
D10
D9
D8
D7
D6
D5
D4
D3
D2
D1
D0
DE
HSYNC
GND
GND
10/6.3
OPEN
OPEN
8
77
C1509
C1510
C1511
R1501
7
CEC_CLK
76
C1508 0.1/10
R1504
R1504
R1504
R1503
2
L
1
R1544 47
R1524 47
10
10
R1505
1
C1501 0.1/10
TX_G5
TX_PCK
TX_G4
TX_G3
TX_G2
TX_G1
TX_G0
TX_B11
TX_B10
TX_B9
TX_B8
TX_B7
TX_B6
TX_B5
TX_B4
TX_B3
TX_B2
TX_B1
TX_B0
TX_DE
TX_HSYNC
0.1/10
R1506
6
C1507
R1507
4
5
C1506 0.1/10
R1508
3
OPEN
R1521
0.1/10
R1509
2
K
75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51
0x4 TX_VSYNC
0x4 TX_HSYNC
TX_DE
0x4
TX_PCK
0x4
0x4 TX_R11
TX_R10
TX_R9
TX_R8
TX_R7
0x4
TX_R6
TX_R5
TX_R4
TX_R3
0x4
TX_R2
TX_R1
TX_R0
0x4 TX_G11
TX_G10
TX_G9
TX_G8
TX_G7
0x4
TX_G6
TX_G5
TX_G4
TX_G3
0x4
TX_G2
TX_G1
TX_G0
0x4 TX_B11
0x4 TX_B10
TX_B9
0x4
TX_B8
0x4
TX_B7
TX_B6
TX_B5
TX_B4
0x4
TX_B3
TX_B2
TX_B1
0x4 TX_B0
1
J
OPEN
OPEN
C1516
C1517
C1518
DVDD
VSYNC
DSD0
DSD1
DSD2
DSD3
DSD4
DSD5
DSD_CLK
SPDIF
MCLK
I2S0
I2S1
I2S2
I2S3
SCLK
LRCLK
GND
DVDD
GND
PLVDD
GND
GND
PVDD
PVDD
R1502
R1502
R1502
R1504
R1510
R1525
R1526
I
RXSPDIF
RXI2S0
RXI2S1
RXI2S2
RXI2S3
RXfs
RX64fs
RXSPDIF
RXMCK
RXI2S0
RXI2S1
RXI2S2
RXI2S3
RX64fs
RXfs
TXINVSYNC
TXINHSYNC
TXINDE
TXINPCK
TXINR11
TXINR10
TXINR9
TXINR8
TXINR7
TXINR6
TXINR5
TXINR4
TXINR3
TXINR2
TXINR1
TXINR0
TXING11
TXING10
TXING9
TXING8
TXING7
TXING6
TXING5
TXING4
TXING3
TXING2
TXING1
TXING0
TXINB11
TXINB10
TXINB9
TXINB8
TXINB7
TXINB6
TXINB5
TXINB4
TXINB3
TXINB2
TXINB1
TXINB0
TO HDMI RX
9
1TXVSYNC
1TXHSYNC
1TXDE
1TXPCK
1TXR11
1TXR10
1TXR9
1TXR8
1TXR7
1TXR6
1TXR5
1TXR4
1TXR3
1TXR2
1TXR1
1TXR0
1TXG11
1TXG10
1TXG9
1TXG8
1TXG7
1TXG6
1TXG5
1TXG4
1TXG3
1TXG2
1TXG1
1TXG0
1TXB11
1TXB10
1TXB9
1TXB8
1TXB7
1TXB6
1TXB5
1TXB4
1TXB3
1TXB2
1TXB1
1TXB0
TO AUDIO PLD
8
H
C1527
NR1601 ONLY
G
R1522
R1523
IPSCL
IPSDA
DV5V
DV3.3V
DV1.8V
DGND
5
F
C1505
4
E
10/6.3
OPEN
OPEN
3
FROM IP
2
D
1TXINT
1TXRST
1TXINT
1TXRST
IPSCL
IPSDA
SR5005 ONLY
C
TX_G6
TX_G7
TX_G8
TX_G9
TX_G10
TX_G11
TX_R0
TX_R1
TX_R2
TX_R3
TX_R4
TX_R5
TX_R6
TX_R7
TX_R8
TX_R9
TX_R10
TX_R11
IPSDA
IPSCL
B
C1502
C1503
C1504
1
TO SUB CPU
A
B
C
D
E
F
G
H
I
93
J
K
L
M
N
O
10
C
D
E
F
G
H
I
J
K
L
M
TO HDMI TX
PLDAERR.
DIRRST1
DIRDOUT
DIRDIN
DIRCE
DIRCLK
1
DIRRST1
DIRDOUT
DIRDI N
DIRCE
DIRCLK
MPLDCLK
MPLDDATA
MPLDCSMAIN
-
-
-
-
-
-
-
ARCSPDIF
RXSPDIF
RXI2S3
RXI2S2
RXI2S1
RXI2S0
RXfs
RX64fs
RXMCK
ADVINT2
-
-
O
TO SUB CPU
ARCSPDIF
RXSPDIF
RXI2S3
RXI2S2
RXI2S1
RXI2S0
RXfs
RX64fs
RXMCK
ADVINT2
-
1
N
SUBTCK
SUBTMS
SUBTDI
SUBTDIO
B
MPLDCLK
MPLDDATA
MPLDCSMAIN
A
2
T.P
2
3
4
R1748
OPT2
K1703
JSR1165
VCC 3
GND 2
OUT 1
R1732
R1733
R1734
R1735
R1736
R1737
SR5005 ONLY
OPTOUT
K1704
JST1165
IN
3
VCC 2
GND 1
330K
O1
C1701
0.1/10
R1727
33
O2
R1728
33
O3
1
2
3
4
U1703
TC74VHCU04FT
MUTEFLAG
R1723
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
1K
10K
10K
DSP1OUT64fs
DSP1OUTfs
DSP1INfs
DSP1IN64fs
33x4
33x4
33x4
33x4
7
R17022
R17024
R17026
R17028
5
33x4
33x4
7
DA3.3V
DA1.2V
DGND
R1726
33
R1717
RXI2S3
R1722
OPEN
C1703
0.1/10
1K
RXfs
fsDIR1
64fsDIR1
C2
7
TO
DIGITAL
SUPPLY
8
DAC256fs
DAC64fs
DACfs
VCC 3
OUT 1
12
6
MUTEFLAG
R1720
100K
13
U1703
TC74VHCU04FT
R1725
33
R1721
OPEN
330K
MPLDCLK
RXI2S0
RXI2S1
RXI2S2
DREC.
C1702
0.1/10
DATAF
DATAC
DATAS
DATASB
U1703
TC74VHCU04FT
U1703
TC74VHCU04FT
GND 2
R1719
C1728
0.1/10
5
MPLDCSMAIN
MPLDDATA
256fsDIR1
64fsDIR1
fsDIR1
DATAAD.
8
C1
ADVINT2
9
DIR/PLD
256fsDIR1
64fsDIR1
fsDIR1
DATAAD.
DAC256fs
DAC64fs
DACfs
DATAF
DATAC
DATAS
DATASB
MUTEFLAG
47K
9
R1724
33
R1749
OPEN
DGND
R1716
10
ARC
R1714
K1702
JSR1165
11
U1703
TC74VHCU04FT
U1703
TC74VHCU04FT
R1712
OPEN
R1713
OPEN
330K
R1729
33
8
OPT1
R1718
6
RXMCK
RX64fs
47K
DSP1OUT64fs DSP1OUT64fs
DSP1OUTfs
DSP1OUTfs
DATAF
DATAF
DATAC
DATAC
DATAS
DATAS
DATASB
DATASB
5
3
DACfs
33x4
5
DSPINfs
DSPIN64fs
HAMUTE
CPUCONT
APLDSEL1
VCCINT
GNDINT
CPU_MUTE
HSD0
HSD1
HSD2
C1727
0.1/10
SUBTDI
SUBTMS
DSP1IN64fs
DSP1INfs
DSP1INF
DSP1INC
DSP1INS
DSP1INSB
R1709
470
R1715
1
DAC64fs
3
R17014
R17016
R17018
U1707
EPM3032ATC4410
TDI_A
fsDIR
64fsDIR
C1725 0.1/10
DSPINF
DACMUTE
GNDINT
256fsDIR
DIREEROR
AUDIO
MCLK
VCCINT
SLRCK
SBCK
DATADIR
12 13 14 15 16 17 18 19 20 21 22
SLRCK1
SBCK1
DATADIR1
PLDAERR.
DSP1IN64fs
DSP1INfs
DSP1INF
DSP1INC
DSP1INS
DSP1INSB
C1707
0.01
R1710
OPEN
R1711
OPEN
4
OPEN
R1703 33x4
1
2
33
R1753
256fsDIR1
DIR1PERR
AUDIO1
MCLK1
DA3.3V
DA1.2V
DGND
D1701
OPEN
R1706 150
R1708
470
D1702
OPEN
C1705 OPEN
3 4
1 2
R1704 150
K1701
RCA-206A-02(2P_OR-OR)
R1707 150
C1704 OPEN
SR5005 ONLY
COAX1
COAX2
C1706
0.01
2
1
N1701
7P-FFC
R1747
DSP1INF
C1709
0.1/10
C1708
4.7/6.3
COAX1
R1705 150
7
K1706
OPEN
TDO
7
33 32 31 30 29 28 27 26 25 24 23
U1703
TC74VHCU04FT
14
VCC
GND
7
AUDIOPLD
33
1 2 3 4 5 6 7 8 9 10 11
NR1601 ONLY
↓
R1750
R1751
R1752
SUBTCK
SUBTMS
SUBTDI
SUBTDO
SUBTCK
SUBTDO
6
9
DSP1INSB
0.1/10
HMCK
H64fs
TMS
Hfs
VCCI/O
HSD3
GNDI/O
0.1/10
C1721
9 10 11 12
DAC256fs
64fsDIR1
256fsDIR1
R1745
220
0.022
LPF
33x4
33x4
RXSPDIF
O3
33
DREC. R1741
C1
C2
O1
O2
5
DGND
8
DVDD
RX4
7
0.1/10
DVDD
6
C1717
DGND
5
RX6/UI
RX3
4
RX5/VI
RX2
3
2
13
0.1/10
RX1
2
1
C1724
14
C1713
RXO
RXOUT
AGND 15
AVDD
48 TXO/PIOEN
4
1
DSP1INS
DIR1
47 TDATA/PI03
3
C1726 0.1/10
R17038 7 33x4
R17012 1 33x4
RMCK 16
6
DATAAD.
SLRCK1
SBCK1
DATADIR1
fsDIR1
GNDI/O
RBCK
45 TBCK/PI01
46 TLRCK/PI02
R1731
R1731
17
44 TMCK/PI00
8
5
DSP1INC
19
DGND 18
7
33x4
33x4
33x4
33x4
0.1/10
33x 4
DVDD
2
33x4
20
4
1
5
21
RLRCK
3
MCLK1
3
RDATA
R1730
R1730
R1731
R1731
C1723
33
33x4
33x4
R17034
ADIN
22
6
R17036
43 DVDD
23
8
5
44 43 42 41 40 39 38 37 36 35 34
42 DGND
DGND
DVDD
XIN
XMCK
XOUT
DVDD
DGND
EMPIIA/UO
U1706
LC89058W-E
24
SBCK
7
DSPINC
TDO_A
DSPINS
GNDI/O
VCCI/O
DSPINSB
DAC256fs
TCK
DSPOUT64fs
GNDI/O
DSPOUTfs
R1746
R1730
R1730
SLRCK
TDIA
3
C1722
C1712
C1718 4.7/6.3
C1719 OPEN
C1720 1000P
2
1
41 XMODE
0.1/10
1K
0.1/10
4
3
CKST
AUDIO/VO
INT
RERR
37 DO
40 CL
C1711
6
36 35 34 33 32 31 30 29 28 27 26 25
39 CE
1K
1
5
ARC
4
3.3K
33
38 DI
C1710 0.1/10
DIRDOUT
DIRDIN
DIRCE
DIRCLK
DIRRST1 R1738
R1740
R1739
3
R1743
1M
R1744
C1716
6
5
AUDIO1
DIR1PERR
0.1/10
8
7
R1742
10Kx4
X1701
FCX04(24.576MHZ)
C1715
C1714
10P
10P
3
2
1
ARCSPDIF
TO MAINDAC
TO DSP
10
TO
DIGITAL
CONNECT
A
DIGITAL AUDIO SIGINAL LINE
8U-310052(4/12)
DIGITAL_UNIT
FOPT
B
C
D
E
F
10
SCHEMATIC DIAGRAMS (19/28)
G
H
94
I
J
K
L
M
N
O
D
E
F
G
H
I
TO DIR/PLD
DSP1OUT64fs
DSP1OUTfs
DATAF
DATAC
DATAS
DATASB
8
10Kx4
7
8
5
6
3
4
1
2
C1909
TCK 1
GND 2
TDO 3
VCC 4
TMS 5
TRST 6
EMU0 7
EMU1 8
TDI 9
GND 10
DTCK
DTDO1
DSPICS
DSPICLK
DSPMOSI
DSPMISO
DTMS
DTRST
DEMU0
2
R1916
R1917
R1918
R1918
R1918
R1918
R1919
1
6
4
3
5
7
8
5
6
3
4
1
2
7
8
5
6
3
4
C1910
R1905
R1905
R1905
R1905
7
10K
R1903
N1901
OPEN
R1901 OPEN
8
10Kx4
10Kx4
10Kx4
10Kx4
6
TP
0.1/10
10K
R1914
R1915
R1915
R1915
R1915
R1916
R1916
R1916
C1906
Q1902
KRA102S
10Kx4
10Kx4
10Kx4
10Kx4
0.1/10
33
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
0.1/10
0.1/10
1
2
7
8
5
6
3
4
1
2
10Kx4
10K
150x4
150x4
150x4
150x4
10K
0.1/10
C1907
OPEN
0.1/10
C1938
0.1/10
C1936
10K
10K
R1931
R1929
0.1/10
0.1/10
C1932
C1933
2
1
3
4
150x4
150x4
150x4
150x4
8
6
5
7
R1926
R1926
R1926
R1926
0.1/10
C1928
0.1/10
10Kx4
C1927
4
6
8
2
1
R1923
3
5
7
0.1/10
C1924 0.1/10
10Kx4
R1923
10Kx4
R1923
10Kx4
R1923
0.1/10
VDD 156
GND 155
VDD 154
GND 153
VDD 152
GND 151
IOVDD 150
DAI5 149
DAI7 148
DAI6 147
DAI9 146
DAI8 145
DAI10 144
DAI11 143
GND 142
VDD 141
GND 140
IOVDD 139
VDD 138
DAI12 137
DAI13 136
DAI14 135
DAI15 134
DAI16 133
DAI17 132
DAI18 131
DAI19 130
IOVDD 129
GND 128
VDD 127
GND 126
DAI20 125
FLAG0 124
FLAG1 123
FLAG2 122
FLAG3 121
ACK 120
RD 119
VDD 118
GND 117
SDCLK0 116
IOVDD 115
GND 114
SDA10 113
WR 112
SDWE 111
SDCKE 110
SDRAS 109
SDCAS 108
IOVDD 107
GND 106
VDD 105
3
4
1
2
OPEN
33x 4
33x4
0.1/10
R1936
R1937
R1937
C1956
7
8
5
6
R1937
R1938
R1938
R1938
C1948
33x4
33x4
33x4
33x4
0.1/10
1
2
7
8
5
6
3
4
R1938
C1949
R1937 33x4
EA18.
EA17.
EA16.
EA15.
4
EA14.
2
C1957
0.1/10
R1953
47
R1949 47
C1950
R1951
22
0.1/1 0
33x4
33x4
33x4
0.1/10
R1939
R1939
R1939
C1958
7
8
5
6
3
4
EA13.
EA12.
EA11.
R1939
1
0.1/10
C1951
R1940
R1940
R1940
R1940
R1941
C1959
7
8
5
6
3
4
1
2
7
8
C1952
33x4
33x4
33x4
33x4
33x4
0.1/10
R1952
22
33x4
33x4
33x4
33x4
33x4
33x4
0.1/10
5
6
3
4
1
2
7
8
5
6
3
4
C1953
R1942
0.1/10
0.1/10
4.7/6.3
4.7/6.3
OPEN.
OPEN.
4.7/6.3
4.7/6.3
C1941
C1942
C1944
C1946
C1947
C1954
C1961
1
2
ED3. R1974
ED4. R1975
3
4
5
6
ED5. R1975
ED6. R1975
7
8
3
4
68x4
68x4
1
2
68x4
C1969
0.1/1 0
C1970
0.1/1 0
68x4
68x4
68x4
68x4
0.1/1 0
C1971
10K
0.1/1 0
R1955
C1972
EA17.
EA18.
SDA10.
EA1.
EA2.
EA3.
C1966
7P
C1974
ED16. R1977
7
C1962
C1963
C1964
C1965
C1967
7P
4.7/6.3
4.7/6.3
OPEN
0.1/10
EA10.
EA9.
EA8.
EA7.
EA6.
8
0.1/1 0
68x4
ED17. R1977
ED18. R1977
5
6
3
4
ED19. R1977
ED20. R1976
1
2
7
8
ED21. R1976
ED22. R1976
5
6
3
4
68x4
68x4
2
68x4
1
68x4
68x4
0.1/10
C1973
68x4
68x4
C1975
0.1/1 0
C1976
0.1/1 0
EA16.
EA15.
EA14.
EA13.
EA12.
EA11.
EA10.
EA9.
EA20.
EA5.
EA3.
EA4.
EA1.
EA2.
EA0.
WR.
R1954
0
EA19.
EA18.
EA8.
EA7.
EA6.
EA5.
EA4.
EA3.
EA2.
2
C1940
0.1/10
C1939
2
1
0.1/10
4
3
C1937
6
5
0x4
0x4
0x4
0x4
8
7
2
1
R1930
R1930
R1930
R1930
4
3
0.1/10
6
5
C1935
8
7
R1927
R1927
R1927
R1927
R1928
C1934
C1931
0x4
0x4
0x4
0x4
0
0.1/10
0.1/10
0x4
0.1/10
2
1
R1925
C1930
4
0x4
0x4
0.1/10
6
3
8
7
5
R1925
R1925
C1929
2
1
6
5
4
8
7
3
2
1
0.1/10
0x4
0x4
0x4
0.1/10
C1925
R1924
R1924
R1925
C1926
4
3
0.1/10
0x4
0x4
0x4
0x4
C1923
R1922
R1922
R1924
R1924
6
5
0.1/10
0x4
0x4
8
7
C1920
R1922
R1922
OPEN
OPEN
OPEN
OPEN
C1915
C1916
C1917
C1918
2
1
OPEN
OPEN
OPEN
OPEN
4
3
C1911
C1912
C1913
C1914
6
5
0x4
0x4
0x4
0x4
8
7
8
ED23. R1976
8
R1911
R1911
R1911
R1911
7
2
0.1/1 0
33x4
1
ED1. R1974
ED2. R1974
33x4
0.1/1 0
R1941
R1941
R1941
R1942
R1942
R1942
C1960
6
SDWE.
CAS.
RAS.
CEO.
EA20.
EA19.
3
68x4
5
ED7. R1975
CEO.
CE1.
33x4
0.1/10
1
ED0. R1974
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
C1908
0.1/10
S1901
R1904
Q1901
KRC102S
R1906 10K
C1901 0.1/10
OPEN
8
6
DSPRST
7
5
4
3
R1902
R1902
R1902
R1902
1
2
33x4
33x4
33x4
33x4
DTDI1
U1901
ADSP21367KSWZ2A1184
6
SDA10.
WR.
SDWE.
..CKE
RAS.
CAS.
4
6
8
2
4
3
5
7
1
3
A15
A14
3 A13
4 A12
5 A11
6 A10
7 A9
8 A8
9 A19
10 NC
11 WE
12 RST
13 NC
14 NC
2
R1956
10K
C1968
0.1/10
R1957
OPEN
15 RY/BY
16 A18
17 A17
18 A7
19 A6
20 A5
21 A4
22 A3
23 A2
24 A1
VSS
DQ15
VSS
DQ14
DQ13
VCC
DQ12
DQ11
VSS
DQ10
DQ9
VCC
DQ8
NC
VSS
DQM1
NC
NC
CLK
CKE
A9
A8
A7
A6
A5
A4
A3
QM3
VSS
NC
DQ31
VCC
DQ30
DQ29
VSS
DQ28
DQ27
VCC
DQ26
DQ25
VSS
DQ24
VSS
A16 48
BYTE 47
VSS 46
DQ15 45
DQ7 44
DQ14 43
DQ6 42
DQ13 41
DQ5 40
DQ12 39
DQ4 38
VCC37
DQ11 36
DQ3 35
DQ10 34
DQ2 33
DQ9 32
DQ1 31
DQ8 30
DQ0 29
OE28
VSS 27
CE 26
A0 25
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
R1970
C1977
C1980
0.1/10
0.1/10
R1967
C1981
C1978
68x4 ED15.
7
8
R1970
R1970
5
6
3
4
R1970
R1971
1
2
7
8
R1971
R1971
5
6
3
4
68x4 ED10.
68x4 ED9.
1
2
68x4
R1971
68x4 ED14.
68x4 ED13.
0.1/10
0.1/10
ED8.
CLKO.
..CKE
EA10.
EA9.
EA8.
EA7.
EA6.
EA5.
EA4.
R1972
68x4 ED31.
5
6
R1972
R1972
7
8
3
4
R1972
R1973
1
2
7
8
R1973
R1973
5
6
3
4
68x4 ED26.
68x4 ED25.
1
2
68x4 ED24.
4
5
68x4 ED30.
68x4 ED29.
68x4 ED28.
68x4 ED27.
6
R1958
10K
EA17.
R1959
10K
R1960
10K
R1961
10K
R1962
10K
R1963
10K
R1964
10K
R1965
10K
3
68x4 ED12.
68x4 ED11.
10K
R1973
C1979
0.1/10
R1978
7
8
0x4 .ED7.
R1978
5
6
0x4 .ED6.
R1978
3
4
0x4 .ED5.
R1978
1
2
0x4 .ED4.
R1979
7
8
0x4 .ED3.
R1979
5
6
0x4 .ED2.
R1979
3
4
0x4 .ED1.
R1979
1
2
0x4 .ED0.
EA0.
7
RD.
CE1.
EA1.
R1966
R1968
8
10K
10K
9
DSP
33x4
33x4
33x4
33x4
33x4
33x4
2
1
R1980
R1980
R1981
R1981
R1981
R1981
.ED4.
.ED5.
.ED2.
.ED3.
.ED0.
.ED1.
6
5
33x4
33x4
8
R1980
R1980
7
2
4
6
8
.ED7.
.ED6.
1
3
5
7
1
2
0x4
0x4
0x4
0x4
0x4
0x4
4
R1932
R1932
R1933
R1933
R1933
R1933
ED4.
ED5.
ED2.
ED3.
ED0.
ED1.
3
0x4
0x4
8
6
5
7
R1932
R1932
ED11.
ED10.
ED9.
ED8.
ED7.
ED6.
ED16.
ED15.
ED14.
ED13.
ED12.
ED17.
ED19.
ED18.
ED22.
ED21.
ED20.
ED26.
ED25.
ED24.
ED23.
ED28.
ED27.
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
VCC
DQ0
VCC
DQ1
DQ2
VSS
DQ3
DQ4
VCC
DQ5
DQ6
VSS
DQ7
NC
VCC
DQM0
WE
CAS
RAS
CS
NC
BS0
BS1
A10/AP
A0
A1
A2
DQM2
VCC
NC
DQ16
VSS
DQ17
DQ18
VCC
DQ19
DQ20
VSS
DQ21
DQ22
VCC
DQ23
VCC
1
9
ED30.
ED31.
ED29.
1
2
3
4
5
6
7
8
9
U1902
W9864G2IH-6
7
5
33x 4
33x4
33x4
33x4
33x 4
33x4
EN29LV160BB-70TIP
6
8
U1903
4
5
2
7
33
10K
R1948
OPEN
0.1/10
33
33
C1955
R1934
R1935
VDD 104
MS0 103
MS1 102
ADDR22 101
ADDR23 100
ADDR21 99
ADDR20 98
ADDR19 97
IOVDD 96
GND 95
ADDR18 94
ADDR17 93
ADDR16 92
ADDR15 91
IOVDD 90
GND 89
ADDR14 88
VDD 87
GND 86
IOVDD 85
XTAL2 84
CLKIN 83
GND 82
AVDD 81
AVSS 80
VDD 79
GND 78
ADDR13 77
ADDR12 76
ADDR11 75
IOVDD 74
GND 73
VDD 72
GND 71
ADDR10 70
ADDR9 69
ADDR8 68
ADDR7 67
ADDR6 66
IOVDD 65
GND 64
VDD 63
GND 62
ADDR5 61
ADDR3 60
ADDR4 59
ADDR1 58
ADDR2 57
ADDR0 56
IOVDD 55
GND 54
VDD 53
4
1
CLKO.
RD.
X1902
FCX04(20.815MHZ)
3
10Kx4
10Kx4
10Kx4
VDD
VDD
GND
VDD
VDD
VDD
TDI
TRST
TCK
GND
VDD
TMS
CLK_CFG0
BOOTCFG0
CLK_CFG1
EMU
BOOTCFG1
TDO
DAI4
DAI2
DAI3
DAI1
IOVDD
GND
VDD
GND
DPI14
DPI13
DPI12
DPI11
DPI10
DPI9
DPI8
DPI7
IOVDD
GND
VDD
GND
DPI6
DPI5
DPI4
DPI3
DPI1
DPI2
CLKOUT
RESET
IOVDD
GND
DATA30
DATA31
DATA29
VDD
3
100
33x4
33x4
3
5
2
R1943
R1944
6
1
R1913
R1913
R1913
R1913
C1905
1
0.1/10
0.1/10
8
5
1M
0.1/10
C1945
C1943
7
R1950
R1912
DTDO1
R1907
O
DSPMISO
DSPMOSI
DSPFLAG0
DSPROMRST
DSPRST
DSPRST
DSP1INF
DSP1OUT64fs
DATASB
DATAS
DATAC
DATAF
DSP1INSB
DSP1INS
DSP1INC
DSP1INfs
DSP1OUTfs
DSP1IN64fs
R1912
R1912
R1912
C1922
0.1/10
0.1/10
C1904
DEMU0
7
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
DTDI1
DTRST
DTCK
DTMS
D1.2 V
D3.3 V
150
33
0.1/10
R1946
R1946
R1947
R1947
R1947
R1947
VDD
DATA28
DATA27
GND
IOVDD
DATA26
DATA25
DATA24
DATA23
GND
VDD
DATA22
DATA21
DATA20
IOVDD
GND
DATA19
DATA18
VDD
GND
DATA17
VDD
GND
VDD
GND
DATA16
DATA15
DATA14
DATA13
DATA12
IOVDD
GND
VDD
GND
DATA11
DATA10
DATA9
DATA8
DATA7
DATA6
IOVDD
GND
VDD
DATA4
DATA5
DATA2
DATA3
DATA0
DATA1
IOVDD
GND
VDD
C1902
C1921
C1919
10K
R1909
R1908 10K
R1910
OPEN
R1920
R1921
C1903
4
N
2
R1945
R1946
R1946
DSPFLAG0
M
1
2
3
L
DSP1OUT64fs
DSP1OUTfs
DATAF
DATAC
DATAS
DATASB
DSP1IN64fs
DSP1INfs
DSP1INF
DSP1INC
DSP1INS
DSP1INSB
PLDAERR.
K
TO SUBCPU
DSP1IN64fs
DSP1INfs
DSP1INF
DSP1INC
DSP1INS
DSP1INSB
DGND
DA3.3V
DA1.2V
1
J
DSPMISO
DSPMOSI
DSPFLAG0
C
DSPICLK
DSPICS
B
DSPICLK
DSPICS
A
8U-310052(5/12)
DIGITAL_UNIT
10
10
DIGITAL AUDIO SIGINAL LINE
SCHEMATIC DIAGRAMS (20/28)
A
B
C
D
E
F
G
H
I
95
J
K
L
M
N
O
B
C
D
F
G
H
I
J
K
L
M
DAC256fs
DAC64fs
DACfs
DATAF
DATAC
DATAS
DATASB
MUTEFLAG
ADCRST
DACRST
DACMC
DACMDI
DACMS
C9151
1000P
C9152
1000P
C9153
1000P
C9154
1000P
C9155
1000P
R2135
R2136
C2101
C2102
6
ADCRST
R2101
DATAAD. 33
fsDIR1
256fsDIR1
64fsDIR1
C9059 C9074 C9068
OPEN OPEN OPEN
C9073
OPEN
C9078
OPEN
C9083
OPEN
R2128 R2138
OPEN 6.8K
R2114
3.9K
C2129
10/16
R2107
R2108
R2115
3.9K
OPEN
0
9 10 11 12 13 14 15 16
C2132
0.01
R2103
100K
R2105
OPEN
C2133
0.01
R2104
100K
R2106
OPEN
C2130
10/16
R2109
R2110
R2116
3.9K
R
0
OPEN
R2117
3.3K
R2149
180
R2129 R2139
OPEN 4.7 K
R2130 R2140
OPEN 8.2K
R2151
180
8
R2119
3.9K
C2141
3900P
DVSS
DVDD
MCLK
BICK
PDN
ACKSN
CAD0
DZF1
DZF2
DZF3
LOUT1+
LOUT1-
SDTI4
SDTI1
SDTI2
SDTI3
LRCK
I2C
CCLK/SCL
CDTI/SDA
CSN/CAD1
DCLK
DSDL4
DSDR4
R2120
3.9K
ROUT1+ 48
ROUT1- 47
LOUT2+ 46
LOUT2- 45
ROUT2+ 44
ROUT2- 43
LOUT3+ 42
LOUT3- 41
ROUT3+ 40
ROUT3- 39
LOUT4+ 38
LOUT4- 37
U2102
AK4358VQ
R2153
180
U2103
AZ4580MTR-E1
R2178
100
1
DAFL
FR
DAFR
DAC
C2154
6.8 K 470P
OPEN
OPEN
4.7K
C2155
470P
6
DASW
DASL
R2121
3.9K
R2132 R2142
OPEN 6.8K
R2155
180
C2142
3900P
R2122
3.9K
C2148
470P
3
C2136
100/16
OPEN
4.7/6.3
C2134
C2135
R2157
180
C2143
2700P
R2124
3.9K
10
R2125
3.9K
R2133 R2143
OPEN 4.7 K
R2134 R2144
OPEN 4.7K
R2158
180
R2159
180
E
F
G
H
96
DAFL
DAFR
DAC
DASW
DASL
4
DASR
DASBL
DASBR
5
DACRTNGND
7
C
U2104
AZ4580MTR-E1
R2180
100
1
6
SW
MAIN DAC
C2156
8.2 K 470P
OPEN
OPEN
6.8K
C2157
470P
6
8U-310052(6/12)
DIGITAL_UNIT
7
R2181
100
7
U2105
AZ4580MTR-E1
5
SL
C2149
470P
C2150
470P
3
8
U2105
AZ4580MTR-E1
R2182
100
1
8
SR
4
2
C2158
6.8 K 470P
OPEN
OPEN
4.7K
C2159
470P
6
9
R2183
100
7
U2106
AZ4580MTR-E1
5
SBL
C2151
470P
10
C2152
470P
3
8
(2/2)
R2160
180
R2175
R2176
3
4
(1/2)
C2144
2700P
R2126
3.9K
8
2
R2173
R2174
R2123
3.9K
DASBR
R2179
100
DAGND
C2147
470P
(2/2)
R2156
180
R2171
R2172
DASBL
U2104
AZ4580MTR-E1
5
(1/2)
R2154
R2131 R2141 180
OPEN 6.8 K
DGND
FL
4
2
R2169
R2170
OPEN
4.7/6.3
9
D
3
(2/2)
C2131
0.1/10
1K
DSDL1
DSDR1
DSDL2
DSDR2
DSDL3
DSDR3
DIF0
ROUT4ROUT4+
VREFH
AVDD
AVSS
13
14
15
16
17
18
19
20
21
22
23
24
R2150
180
R2152
180
R2167
R2168
25 26 27 28 29 30 31 32 33 34 35 36
C
C2146
470P
(1/2)
C2140
3900P
12 11 10 9 8 7 6 5 4 3 2 1
DACMC
DACMDI
DACMS
ADINL
ADINR
C2145
470P
(2/2)
C2139
2700P
L
8 7 6 5 4 3 2 1
C2104
C2105
DATASB
DATAF
DATAC
DATAS
DACfs
U2103
AZ4580MTR-E1
5
ADINL
ADINR
R2177
100
7
DASR
R2102
DAC64fs
DAC256fs
R2147
180
R2148
180
R2163
R2164
R2118
3.3K
7
8
R2146
R2127 R2137 180
OPEN 6.8 K
C2138
3900P
MUTEFLAG
DACRST
(1/2)
DA+7V
DA-7V
DAGND
C9088
OPEN
C9093
OPEN
C9098
OPEN
C9102
OPEN
TO DIGITAL CONNECT
R2113
3.9K
6
A+7V
A-7V
C2162
100/16
R2112
3.9K
OPEN
6.8K
C2153
470P
R2165
R2166
SDTO DGND
LRCLK VD
MCLK VA
SCLK AGND
PDN VCOM
DIF CKS1
CKS2 AINL
CKS0 AINR
U2101
AK5358BET
5
R2145
180
C2137
3900P
1/10
1/10
4.7/6.3
OPEN
4
R2161
R2162
R2111
3.9K
DA-7V
2
0
0
C2161
100/16
DAGND
0.1/10
0.1/10
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
1000P
C2103
C2106
3
DA+7V
DA+5V
DA+5V
DGND
C2107
C2108
C2109
C2110
C2111
C2112
C2113
C2114
C2115
C2116
C2117
C2118
C2119
C2120
C2121
C2122
C2123
C2124
C2125
C2126
C2127
C2128
B
O
1
2
A
N
TO SUB CPU
DAC256fs
DAC64fs
DACfs
DATAF
DATAC
DATAS
DATASB
MUTEFLAG
256fsDIR1
64fsDIR1
fsDIR1
DATAAD.
1
256fsDIR1
64fsDIR1
fsDIR1
DATAAD.
DGND
TO DIR/PLD
E
ADCRST
DACRST
DACMC
DACMDI
DACMS
A
U2106
AZ4580MTR-E1
R2184
100
1
DIGITAL AUDIO SIGINAL LINE
SBR
ANALOG AUDIO SIGNAL LINE
4
2
C2160
4.7 K 470P
OPEN
I
SCHEMATIC DIAGRAMS (21/28)
J
K
L
M
N
O
A
B
C
D
E
F
G
H
I
O
2200P
100K
USBL
USBR
DAGND
OPEN
0.1/10
X2302
12M
R2327
R2327
R2327
R2327
C2349 0.1/1 0
C2350 0.1/1 0
R2328
R2328
R2328
R2328
R2329
R2329
R2329
R2329
R2330
R2330
R2330
R2330
7
8
5
6
3
4
1
2
7
8
5
6
3
4
1
2
7
8
5
6
3
4
1
2
7
8
5
6
3
4
1
2
33x4
33x4
33x4
33x4
NOR_CS
NOR_OE
WE
SD_CS
33x4
33x4
33x4
33x4
SD_CKE
SD_CLK
XA21/LDQM
XA20/UDQM
R2340
R2341
C2367
C2368
4
R2349
10
R2350
10
100P
100P
PAGE28 (O8)
Front B’d
L2306
0
C2369
C2370
2
3
2 1
Q2304
FDC608PZ
0.1/10
15K
15K
OPEN
OPEN
3
Q2302
KRC102S
5 6
L2305
0
C2366
C2363
4.7/6.3
R2343
OPEN
C2354
18P
C2355
18P
U2306
microSMD175F
4
-
-
N4401
5P-PH
1 VBUS
2 +Data
3 -Data
4 GND
5 GND
TO
Front
USB
UNIT
5
Z1
STYLE-PIN
Q2305
KRA102S
OPEN
1
XIN
XOUT
R2346
OPEN
0.1/1 0
3
C2348
R2345
OPEN
MOOD0/NOR_RB
R2333
47K
Q2306
OPEN
R2326
33
R2342
OPEN
R2324
2.2M
64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
L2831
OPEN
1
4
L2304
0
USBH0_D+
USBH0_DR2323
4.7K
TO
DIGITAL
CONNECT
C2371
OPEN
2
1
DA+5V
C2364
R2337
4
3
R2338
100K
6
3
C2361
C2362
8
5
R2325
R2325
R2325
R2325
R2331
7
4.7Kx4
4.7Kx4
4.7Kx4
4.7Kx4
10K
4.7/6.3
4.7/6.3
1.5K
C2343
0.1/10
C2342
0.1/10
2
1
R2322 100
C2340
1200P
C2336
390P
R2318
47K
C2334
0.1/10
C2338
0.1/10
4
3
C2333
0.1/10
33
6
R2334
R2347
100K
R2344
4.7K
4.7K
20
19
18
17
16
L2303
BLM21PG221
R2335
READY/MODE0
96
95 HVBUS_CTL
Q2301
OPEN.
R2303
R2304
GPIO_D17 94
GPIO_D16 93
GPIO_D15/UART1CTSn 92
VDDI 91
GPIO_B25/DAI 90
GPIO_B24/DAO 89
GPIO_B23/MCLK 88
GPIO_B22/LRCK 87
GPIO_B21/BCLK 86
VDDIO 85
VDDUSB 84
USBH1_DP/GPIO_B26 83
USBH1_DN/GPIO_B27 82
VSS 81
USBH0_DP/GPIO_B28 80
USBH0_DN/GPIO_B29 79
MODE1 78
nRESET 77
VSSPLL 76
XFILT 75
VDDPLL 74
VDDPLL1 73
XFILT1 72
VSSPLL1 71
VSSADC 70
VDDADC 69
ADIN0 68
ADIN2 67
ADIN4 66
VDDIO 65
R2336
47K
Q2303
KRC102S
6
R2339
4.7 K
XA19
33x4
XA18
33x4
XA17
33x4
33x4 XA16/SD_RAS
33x4 XA15/SD_CAS
33x4 XA14/SD_BA1
33x4 XA13/SD_BA0
XA12
33x4
7
OPEN
OPEN
OPEN
OPEN
OPEN
C2346
C2347
C2351
C2352
C2353
C2344
4.7/6.3
C2345 OPEN
USB TCC8600
8
6
4
2
1
2
1
3
4
3
5
6
5
7
8
7
R2321
R2321
R2321
R2321
2
1
XA8
XA9
XA10
XA11
4
33x4
33x4
33x4
33x4
C2341 0.1/10
C2339 0.1/10
6
VDD
8
GND
XD0
3
DQ0
5
VDDQ
DQ15
7
GNDQ
XD2
XD1
C2310
0.1/10
C2311
0.1/10
2
DQ1
4
DQ2
DQ14
6
GNDQ
DQ13
1
VDDQ
XD4
XD3
3
DQ3
5
DQ4
DQ12
8
VDDQ
DQ11
7
GNDQ
XD6
XD5
C2309
0.1/10
2
DQ5
C2357
C2358
2
XD7
4
DQ10
2
6
DQ6
1
33x4
33x4
33x4
33x4
1
DQ7
GNDQ
DQ9
4
3
VDD
6
3
5
VDDQ
LDQM
8
5
C2332 0.1/10
DQ8
C2308
0.1/10
7
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
33x4
NC
GND
WE
R2307
R2307
R2307
R2307
8
UDQM
CAS
XD0
XD1
XD2
XD3
R2315
R2315
R2315
R2315
R2316
R2316
R2316
R2316
R2317
R2317
R2317
R2317
R2320
R2320
R2320
R2320
CLK
RAS
C2323
0.1/10
U2303
MFI341S21614
U2304
TCC8600
XD8
XD9
XD10
XD11
XD12
XD13
XD14
XD15
XA0
XA1
XA2
XA3
XA4
XA5
XA6
XA7
CKE
R2319
8
5
R2314
R2314
R2314
R2314
C2330
0.1/10
CS
C2322
0.1/10
SPDIFOUT
C2331 0.1/10
BA0
7
2
BA1
NC
8
33x4
33x4
33x4
33x4
4
A9
A11
5
1
A10
3
6
3
A0
A8
1
4
GPIO_B9/UART0RXD
GPIO_B8/UART0TXD
ND_nWE/GPIO_B7
GPIO_B6/IDE_nCS1
VSS
VDDI
XTIN
XTOUT
XIN
XOUT
nCS3/ND_nOE3/GPIO_B5
nCS2/ND_nOE2/GPIO_B4
nCS1/ND_nOE1/GPIO_B3
nCS0/ND_nOE0/GPIO_B2
nOE
nWE
SD_nCS/GPIO_B1
SD_CKE/GPIO_B0
VSS
SD_CLK
VDDIO
XA21/DQM0
XA20/DQM1
VDDI
XA19/DQM1
XA18/DQM0
XA17/ND_CLE
XA16/SD_nRAS/ND_ALE
XA15/SD_nCAS
XA14/SD_BA1
XA13/SD_BA0
VSS
XD4
XD5
XD6
XD7
XD8
VDDI
VSS
VDDIO
XD9
XD10
XD11
XD12
XD13
XD14
XD15
XA0
XA1
XA2
XA3
XA4
XA5
XA6
VDDI
VSS
VDDIO
XA7
XA8
XA9
XA10
XA11
XA12
A7
L2302
BLM21PG221
TO
DIGITAL
SUPPLY
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
A1
2
33x4
33x4
33x4
33x4
A6
XA3
XA2
XA1
XA0
XA10
XA14/SD_BA1
XA13/SD_BA0
SD_CS
XA16/SD_RAS
XA15/SD_CAS
WE
XA21/LDQM
R2308
R2308
R2308
R2308
6
XD15
A2
R2310
4.7K
8
C2304
0.1/10
A3
A5
NC
MODE0
12C_SDA
12C_SCL
VSS
5
C2303
0.1/10
VDD
A4
U2302
IS42S16400F-6TL
C2301
OPEN
GND
C2307
0.1/10
NC
MODE1
NC
nRESET
VCC
4.7/6.3
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
24 A1
NOR_CS
XA0
R2305
R2306
23 A2
11 12 13 14 15
22 A3
NC
NC
NC
NC
NC
21 A4
5 4 3 2 1
20 A5
6 NC
7 NC
8 NC
9 NC
10 NC
19 A6
R2309
4.7K
C2321
0.1/10
C2314
OPEN
U2301
18 A7
XD11
XD3
XD10
XD2
XD9
XD1
XD8
XD0
NOR_OE
GPIO_D19
GPIO_D18
97 VSS
98
GPIO_D20
99
GPIO_D21
100 TDI/GPIO_J1
101 TMS/GPIO_J2
102 TCK/GPIO_J3
103 TDO/GPIO_J0
104 nTRST/GPIO_J4
105 GPIO_A0/SPDIFTX
106 GPIO_A1/CBCLK
107 GPIO_A2/CLRCK
108 GPIO_A3/CDAI
109 GPIO_A4
110 VDDI
111 GPIO_A5
112 GPIO_A6
113 GPIO_A7
114 GPIO_A8
115 GPIO_A9
116 GPIO_A10
117 GPIO_A11
118 VSS
119 VDDIO
120 GPIO_A12/EXINT0
121 GPIO_A13/EXINT1
122 GPIO_A14/EXINT2
123 GPIO_A15/EXINT3
124 VDDI
125 XD0
126 XD1
127 XD2
128 XD3
7
XD13
XD14
17 A17
L2301
BLM21PG221
C2360
4.7/6.3
R2332
10
1.5K
C2315
C2316
C2317
C2318
C2319
C2320
C2324
C2325
C2326
C2327
XD11
XD12
16 A18
54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28
XD9
XD10
15 RY/BY
C2306
0.1/10
C2335
1000P
AUTH_CTL
TDIUSB
TMSUSB
TCKUSB
TDOUSB
TRSTUSB
C2313
0.1/10
MOOD0/NOR_RB
XA18
XA17
XA7
XA6
XA5
XA4
XA3
XA2
XA1
XD15
XD7
XD14
XD6
XD13
XD5
XD12
XD4
1000P
R2301
OPEN
A16 48
BYTE 47
VSS 46
DQ15 45
DQ7 44
DQ14 43
DQ6 42
DQ13 41
DQ5 40
DQ12 39
DQ4 38
VCC37
DQ11 36
DQ3 35
DQ10 34
DQ2 33
DQ9 32
DQ1 31
DQ8 30
DQ0 29
OE28
VSS 27
CE 26
A0 25
C2312
C2302
1000P
EN29LV160BT-70TIP
3
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27
2
XA21/LDQM
XD8
A15
A14
A13
4 A12
5 A11
6 A10
7 A9
8 A8
9 A19
10 NC
11 WE
12 RST
13 NC
14 NC
1
XA16/SD_RAS
C2305
0.1/10
OPEN
OPEN
33
R2302
XA15/SD_CAS
XA14/SD_BA1
XA13/SD_BA0
XA12
XA11
XA10
XA9
XA8
XA19
XA20/UDQM
WE
1000P
100P
7
33x4
33x4
33x4
33x4
TRSTUSB
C2337
0.1/10
TMSUSB
TDIUSB
MCLKUSB
BCKoUSB
DATAoUSB
LRCKoUSB
DACMUTEUSB
U2305
C2359
AK4424ET 1/10
1 CN VEE 16
2 CP VSS2 15
3 DEM CVDD 14
4 MCLK DZF 13
5 BICK VSS1 12
6 SDTI VDD 11
7 LRCK AOUTL 10
8 SMUTE AOUTR 9
C2365
2200P
DACMUTEUSB
DATAoUSB
MCLKUSB
LRCKoUSB
BCKoUSB
TDOUSB
4
XA4
XA5
XA6
XA7
XA8
XA9
XA11
XA12
SD_CKE
SD_CLK
XA20/UDQM
C2356
1/10
TCKUSB
1
2
3
4
5
6
7
8
100
R2313
R2313
R2313
R2313
TCK
GND_D1
TDO
VCC
TMS
TDI
GND_D1
TRST
D5V
NET3.3V
NET1.8V
DGND
7
N2301
OPEN
C2328
C2329
8
N
1
XD4
XD5
XD6
XD7
JTAG
7
M
USB_RXDMO
USB_TXDMI
USB_RST
USB_PDN
USB_RDY
R2348
R2311 4.7K
R2312 4.7K
6
L
USB_RST
2
5
K
TO MAIN CPU
1
3
J
8
8U-310052(7/12)
DIGITAL_UNIT
9
9
10
10
SCHEMATIC DIAGRAMS (22/28)
A
B
C
D
E
F
G
H
I
97
J
K
L
M
N
O
F
G
H
I
J
K
L
M
U2504
PQ033DNA1ZPH
2
1
R2520
470K
Q2516
FDC608PZ
C2523
10/6.3
C2526
10/6.3
R2522 470K
Q2550
D3.3V KRC104S
Q2511
KRA104S
1
4
5
6
3
2
1
DV5V
DV3.3V
DV1.8V
DGND
TO
I/P
VIN
2
5
R2524
470K
3
0.1/1 0
OPEN
OPEN
R2541
100
R2545
OPEN
R2548
100
5
6
3
2
1
R2529
0
5
Q2525
DAN202U
R2534
OPEN
SCPU5V
SCPU3.3V
DGND
Q2530
OPEN
R2535
10K
3.3K
0.1/10
Q2527
R2525
470K
0.1/10
Q2513
KRA104S
5
6
3
2
Q2521
FDC608PZ
1
4
5
6
3
2
1
4
6
2SA1954
R2530
SWM3.3V
Q2531
KRC102S
REMOTE3.3V
SWM5V
DGND
REMOTE3.3V
0.9A
720mA
CEC3.3V
CEC1.8V
DGND
REMOTEPOWER
TO
HDMI
RX
R2531
10K
2SA1954
R2536
3.3K
Q2532
KRC102S
CEC3.3V
C9002
OPEN
C9007
OPEN
C9012
OPEN
C9017
OPEN
C9022
OPEN
C9032
OPEN
C9037
OPEN
C9042
OPEN
C9047
OPEN
C2544
0.01
DV5V
C9096
OPEN
C9048
OPEN
C9053
OPEN
C9100
OPEN
C9104
OPEN
C9108
OPEN
C9112
OPEN
C9027
OPEN
C9000
OPEN
C9005
OPEN
C9010
OPEN
C9015
OPEN
C9020
OPEN
C9025
OPEN
C9030
OPEN
C9066
OPEN
C9071
OPEN
C9076
OPEN
C9081
OPEN
C9086
OPEN
C9091
OPEN
C9094
OPEN
C9099
OPEN
C9103
OPEN
C9058
OPEN
C9063
OPEN
C9107
OPEN
C9111
OPEN
C9115
OPEN
C9119
OPEN
C9123
OPEN
C9146
OPEN
C9064
OPEN
C9069
OPEN
Q2522
FDC608PZ
M3.3V
CEC_POWER
CEC_POWER
DV_POWER2
DV_POWER1
DA_POWER
DV_POWER2
DV_POWER1
DA_POWER
REMOTEPOWER
REMOTEPOWER
CPUPOWER
SCPUPOWER
NET_POWER
D5V_POWER
CPUPOWER
SCPUPOWER
NET_POWER
D5V_POWER
C9046
OPEN
C9051
OPEN
C9056
OPEN
C9061
OPEN
C9009
OPEN
C9084
OPEN
C9106
OPEN
C9110
OPEN
C9114
OPEN
Q2543
KRC104S
R2526
470K
MUTE3.3V
REMOTE3.3V
SWM3.3V
M3.3V
SWM5V
SWM3.3V
C9014
OPEN
C9138
OPEN
C9019
OPEN
C9024
OPEN
C9039
OPEN
C9089
OPEN
C9118
OPEN
CEC1.8V
C9127
OPEN
C9131
OPEN
C9067
OPEN
C9072
OPEN
C9077
OPEN
C9082
OPEN
DV3.3V
C9116
OPEN
C9120
OPEN
C9124
OPEN
C9128
OPEN
C9132
OPEN
C9136
OPEN
C9140
OPEN
C9144
OPEN
C9148
OPEN
DA3.3V
C9003
OPEN
C9008
OPEN
C9142
OPEN
C9013
OPEN
C9137
OPEN
C9141
OPEN
C9145
OPEN
C9149
OPEN
C9018
OPEN
C9023
OPEN
C9028
OPEN
C9033
OPEN
C9038
OPEN
C9043
OPEN
C9085
OPEN
C9080
OPEN
C9075
OPEN
C9070
OPEN
C9065
OPEN
C9060
OPEN
C9055
OPEN
C9004
OPEN
C9029
OPEN
C9034
OPEN
C9049
OPEN
C9150
OPEN
DGND
NET3.3V
TO SUB CPU
SWM3.3V
C2549
C2541
0.01
Q2520
FDC608PZ
Q2514
KRA104S
D3.3V
4
1
0.1/10
4
0.1/10
8
D2501
KDS160
R2547
22K
2
CPUPOWER
C2552
D1.8V
C2561
0.1/1 0
C2564
1/10
R2544
OPEN
R2542
OPEN
MUTE3.3V
Q2526
Q2541
KRC104S
C2543
0.01
D3.3V
R2553
4.7K
0.9A
R2538
4.7K
U2506
BU4248F-TR
Q2529
KRC102S
D5.2V
VOUT3
NC 4
ON/OFF GND
R2540
100K
R2537
10K
M3.3V
U2505
PQ033DNA1ZPH
C2550
DV_POWER2
Q2540
KRC102S
C2542
0.01
OPEN
OPEN
300K
Q2519
FDC608PZ
Q2512
KRA104S
R2552
4.7K
720mA
9
SUB3.3 V
M3.3V
R2533
D1.8V
CEC_POWER
Q2542
KRC102S
D5V
D2502
RB521S
2SA1954
3.3K
3
SUBBDOWN
R2523
470K
1.2A
R2515
R2518
1.5M
R2506
OPEN
C2509
R2509
OPENC2512 OPEN
OPEN
C2521
0.1/10
R2503 4.7K
C2503 0.1/10
7
C2518
0.01
26 27 28 29 30 31 32 33 34 35 36 37 38
DA_POWER
L2512
OPEN
C2533
C2536
U2503
EX3AV
150K
15P
VOUT 6
VOUT 5
NC 4
NC 3
NC(SW) 2
NC(SW) 1
L2511
OPEN 820mA
R2514
C2530
L2506
BLM21PG221
TO
AUDIO
PLD
C2554 1/10
2
Q2518
FDC608PZ
3
200mA
1.2A
C2548
6
C2551
C2524
10/6.3
C2527
10/6.3
5
4
0.1/10
160mA
Q2510
KRA104S
C2540
0.01
R2513
R2517
620K
C2520
0.1/10
D5V
R2551
4.7K
200mA
PVIN
PGND
PGND
PGND
PGND
PGND
PGND
NC(SW)
VOUT
VOUT
VOUT
VOUT
VOUT
20 PVIN
21 PVIN
22 NC
23 NC
24 NC
25 PGND
DA3.3V
DA1.2V
DGND
1
DV_POWER1
Q2549
KRC102S
NC
ENABLE
POK
EAOUT
SS
XFB
AGND
AVIN
NC(SW)
NC(SW)
NC(SW)
NC(SW)
NC(SW)
L2505
BLM21PG221
0.1/10
1.04A
820mA
19 18 17 16 15 14 13 12 11 10 9 8 7
6
Q2528
KRC102S
0.015
1
R2528
10K
C2556 1/10
3
2
Q2517
FDC608PZ
6
C2532
C2535
OPEN
OPEN
R2521
470K
5
Q2537
KRC104S
160mA
D1.2V
C2515
10/6.3
DA_POWER
Q2536
KRC102S
4
120K
R2512
C2529
150K
15P
L2510
OPEN
Q2509
KRA104S
R2550
4.7K
2.42A
C2547
L2509
OPEN
Q2524
C2539
0.01
PVIN
PGND
PGND
PGND
PGND
PGND
PGND
NC(SW)
VOUT
VOUT
VOUT
VOUT
VOUT
C2517
0.01
R2502 4.7K
C2502 0.1/10
5
R2532
3.3K
SWM5V
26 27 28 29 30 31 32 33 34 35 36 37 38
R2505
OPEN
R2508
C2508
OPENC2511 OPEN
OPEN
2SA1954
C2559
C2514
10/6. 3
L2504
BLM21PG221
R2527
10K
D3.3V
1.04A
VOUT 6
VOUT 5
NC 4
NC 3
NC(SW) 2
NC(SW) 1
U2502
EX3AV
MAINPOWER
M_RESET
BDOWN
SCPU5V
Q2523
2
TO MAIN CPU
3
1
C2572 1000P
R2549
OPEN
DGND
0.1/10
6
SWM3.3V
M3.3V
0.1/10
Q2508
KRA104S
5
C2570 OPEN
R2543 OPEN
Q2534
OPEN.
5
D1.8V
NET_POWER
2
C2569
4
C2553 1/10
C2537
0.01
1
C2546
500mA
3
2
Q2515
FDC608PZ
SCPU3.3V
VOUT3
NC 4
ON/OFF GND
VIN
OPEN
OPEN
Q2535
OPEN.
TO
TCC8600
SCPUPOWER
NC
ENABLE
POK
EAOUT
SS
XFB
AGND
AVIN
NC(SW)
NC(SW)
NC(SW)
NC(SW)
NC(SW)
20 PVIN
21 PVIN
22 NC
23 NC
24 NC
25 PGND
1
2
3
4
5
C2571
R2546
D5V
NET3.3V
NET1.8V
DGND
VDD 3
CT
VOUT
GND 4
1
6
2
5
C2545
OPEN
OPEN
R2519
470K
D1.8V
C2531
C2534
R2510
C2528
4
1
19 18 17 16 15 14 13 12 11 10 9 8 7
L2503
BLM21PG221
11A121
1/10
L2508
OPEN
500mA
430mA
500mA
D1.8V
4
L2516
C2555
Q2507
KRA104S
47K
C2519
0.1/1 0
D5V
D3.3V
0.1/10
430mA
R2511
R2516
680K
3
R2504
OPEN
C2507
R2507
OPENC2510 OPEN
OPEN
C2516
0.01
R2501 4.7K
C2501 0.1/10
D5V_POWER
2.57A
C2538
0.01
PVIN
PGND
PGND
PGND
PGND
PGND
PGND
NC(SW)
VOUT
VOUT
VOUT
VOUT
VOUT
26 27 28 29 30 31 32 33 34 35 36 37 38
L2507
OPEN
150K
15P
L2502
BLM21PG221
U2501
EX3AV
N2501
5P-BASE(SMW250)
D5.2V
D5.2V
DGND
DGND
MAINPOWER
D3.3V
VOUT 6
VOUT 5
NC 4
NC 3
NC(SW) 2
NC(SW) 1
NC
ENABLE
POK
EAOUT
SS
XFB
AGND
AVIN
NC(SW)
NC(SW)
NC(SW)
NC(SW)
NC(SW)
2
20 PVIN
21 PVIN
22 NC
23 NC
24 NC
25 PGND
0.1/1 0
OPEN
C2560
19 18 17 16 15 14 13 12 11 10 9 8 7
L2501
BLM21PG221
O
BLM21PG221
BLM21PG221
BLM21PG221
C2562
C2563
C2522
10/6.3
C2525
10/6.3
C2513
10/6. 3
D3.3V
C2558
OPEN
C2557
OPEN
1
470/6. 3
L2513
L2514
L2515
N
PAGE 4 (N6)
SMPS B’d
E
to 5V SUPPLY
D
C2566
C2567
C2568
C
0.1/10
47K
Q2533
KTC3875S
B
C2565
R2539
A
TO CPU
LEVEL CHG
7
8
TO
DIGITAL
CONNECT
9
D5V
DGND
10
REMOTE3.3V
C9122
OPEN
C9079
OPEN
SCPU3.3V
C9087
OPEN
C9092
OPEN
C9097
OPEN
C9101
OPEN
C9105
OPEN
C9109
OPEN
C9135
OPEN
SCPU5V
SWM5V
C9044
OPEN
C9126
OPEN
C9130
OPEN
C9054
OPEN
DV1.8V
C9052
OPEN
C9057
OPEN
C9062
OPEN
DA1.2V
C9113
OPEN
C9117
OPEN
C9121
OPEN
C9125
OPEN
C9129
OPEN
C9133
OPEN
NET1.8V
C9001
OPEN
C9006
OPEN
C9011
OPEN
C9016
OPEN
C9021
OPEN
C9026
OPEN
C9031
OPEN
C9036
OPEN
C9041
OPEN
C9139
OPEN
C9143
OPEN
C9147
OPEN
C9134
OPEN
C9035
OPEN
C9040
OPEN
C9045
OPEN
C9050
OPEN
C9090
OPEN
C9095
OPEN
DGND
D1.8V
D.SUPPLY/RESET
DGND
10
8U-310052(8/12)
DIGITAL_UNIT
SCHEMATIC DIAGRAMS (23/28)
A
B
C
D
E
F
G
H
98
I
J
K
L
M
N
O
B
C
D
E
F
G
H
I
J
K
TO DIGITAL SUPPLY
L
M
N
O
MUTE3.3V
REMOTE3.3V
SWM3.3V
M3.3V
SWM5V
D5V
DGND
A
OPEN
L2731
OPEN
L2701
OPEN
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
AVSCL
D/MGND
5
COMP_SW2
L2702
OPEN
AVSDA
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
INA
INB
INC
S/V_SEL
MONIA
MONIB
PS
OSD_SW
L2703
L2704
L2705
L2706
L2707
L2708
L2709
L2710
L2711
L2712
L2713
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
D/MGND
COMPSGDET
Z1VSIGDET
Z1SSIGDET
INA
INB
INC
S/V_SEL
MONIA
MONIB
PS
OSDSW
NC
TO
TCC8600
D/MGND
COMP_SW1
TO CONNECT FRONT
PAGE7 (B3)
N2702
17P-SOCKET(C125Z2)
L2732
OPEN
L2733
OPEN
VAUXLL2734
AGND
VAUXRL2735
OPEN
MIC L2736
MICGND
OPEN
OPEN
6
OPEN
RELAY_H/P
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_FB
RELAY_H
MUTE_Z2
MUTE_SB
MUTE_SW
LIMIT
A+B_LIMIT
LIMITDET
MVOLCLK
MVOLDATA
L2752
L2753
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
H/PL
H/PGND
H/PR
RELAY_H/P
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_FB
RELAY_H
MUTE_Z2
MUTE_SB
MUTE_SW
LIMIT
A+B LIMI
LIMITDET
MUTE3.3V
MVOLCLK
MVOLDATA
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
TU_MUTE
TU_RST/ST
TU_SEN
TU_GPO2/TUNED
TU_TXMI/SCL
TU_RXMO/SDA
SR5005U
SR5005K
SR5005F
SR5005N
R2704
10K
4.7K
4.7K
4.7K
MUTE_C
MUTE_F
OPEN
OPEN
OPEN
OPEN
OPEN
DCDET4
DCDET3
ASODET
TEHRMALB
THERMALA
MIC
L2764
OPEN
AGND
OPEN
MICGND
OPEN
VAUXV
L2765
OPEN
L2766
L2767
L2768
L2769
L2770
L2771
L2772
L2773
L2774
L2775
L2776
L2777
L2778
L2779
L2780
L2781
L2782
L2783
L2784
L2785
L2786
L2787
L2788
L2789
0
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
N2706
5P-PH
1
2
3
4
5
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
L2763
H/PGND
H/PL L2821
H/PR L2822
L2823
TO
DIR/
PLD
B
C
D
E
F
OPEN
5
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
6
7
8
9
FOPT
L2830
DIGITAL CONNECT
0
8U-310052(9/12)
DIGITAL_UNIT
10
ANALOG AUDIO SIGNAL LINE
TO CPU LEVEL CHG
A
OPEN
OPEN
4
N2710
40P-FFC_BASE
M3.3V
D/MGND
SWM3.3V
A+7V
A-7V
VAUXR
AGND
VAUXL
MICGND
MIC
D/MGND
VAUXV
H/PGND
H/PL
H/PR
FRONT_IRIN
SW5V
POWERKEY
REDLED
FLCLK
FLDATA
FLCE1
FLCE2
FLRST
GRNLED
LED1
LED2
LED3
MICDET
H/PDET
DOORDET
KEY1
KEY2
KEY3
ISELA
ISELB
VSELA
VSELB
D/MGND
FOPT
B/PIRIN
WAKE
RCOUT
RCIN
BTLINK
KILLIR
TU_MUTE
TU_GPO2/TUNED
TU_SEN
SIR_MO5/RDSDA
SIR_MI5/RDSCK
S_RST5
232I_MO
232O_MI
TRIG1
TU_TXMI/SCL
TU_RXMO/SDA
TU_RST/ST
TU_MUTE
TU_GPO2/TUNED
TU_SEN
SIR_MO5/RDSDA
SIR_MI5/RDSCK
S_RST5
232I_MO
232O_MI
TRIG1
TU_TXMI/SCL
TU_RXMO/SDA
TU_RST/ST
DCDET3
DCDET4
ASODET
THERMALDETB
THERMALDETA
ZVOLCLK
ZVOLDATA
ZVOLSTB
LIMIT
A+B_LIMIT
LIMITDET
DCDET3
DCDET4
ASODET
THERMALDETB
THERMALDETA
ZVOLCLK
ZVOLDATA
ZVOLSTB
LIMIT
A+B_LIMIT
LIMITDET
OSD_CLK
OSD_DATA
OSD_CE
OSD_SEN
MUTE_S
MUTE_C
MUTE_F
MVOLCLK
MVOLDATA
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
MUTE_S
MUTE_C
MUTE_F
MVOLCLK
MVOLDATA
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
COMP_SW1
COMP_SW2
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
INA
INB
INC
S/V_SEL
MONIA
MONIB
PS
OSD_SW
10
OSD_CLK
OSD_DATA
OSD_CE
OSD_SEN
COMP_SW1
COMP_SW2
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
INA
INB
INC
S/V_SEL
MONIA
MONIB
PS
OSD_SW
9
L2757
L2758
L2759
L2760
L2761
OPEN
OPEN
OPEN
OPEN
L2762
TRIG2
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
FLRST
GRNLED
LED1
LED2
LED3
MICDET
H/PDET
DOORDET
KEY1
KEY2
KEY3
ISELA
ISELB
VSELA
VSELB
DCDET4
DCDET3
ASODET
THERMALDETB
THERMALDETA
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
L2809
L2810
L2811
L2812
1
2
3
4
5
6
7
8
9
10
11
470P
470P
470P
470P
MUTE_S
ZVOLSTB
ZVOLDATA
ZVOLCLK
Z3R
DAGN
D
Z3L
DAGN
D
Z2R
DAGN
D
Z2L
DAGN
D
MUTE_Z3
MUTE_S
MUTE_SW2
MUTE_C
MUTE_F
NC
NC
AVMUTEDET
X2VOLTDET
DA+5V
DA+5V
T_MUTE
TU_RST/ST
TU_SEN
TU_GPO2/TUNED
TU_TXMI/SCL
TU_RXMO/SDA
C2725
C2727
C2729
C2730
OPEN
OPEN
OPEN
TO CONNECT FRONT
PAGE7 (B5)
L2754
L2755
L2756
TO P.AMP
PAGE (O6)
8
ZVOLSTB
ZVOLDATA
ZVOLCLK
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
VAUXL
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
FLRST
GRNLED
LED1
LED2
LED3
MICDET
H/PDET
DOORDET
KEY1
KEY2
KEY3
ISELA
ISELB
VSELA
VSELB
N2705
17P-SOCKET(C125Z2)
TRIG2
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
FLRST
GRNLED
LED1
LED2
LED3
MICDET
H/PDET
DOORDET
KEY1
KEY2
KEY3
ISELA
ISELB
VSELA
VSELB
LED4
1
2
3
4
5
6
7
8
9
10
11
12
13
TO CONNECT FRONT
Z2SIGDET
ETHERY
D/MGND
ETHERC
D/MGND
ETHERV
D/MGND
VDOCR
D/MGND
VDOCB
D/MGND
VDOPY
NC
L2803
L2804
L2805
L2806
L2808
L2807
VAUXR
N2703
OPEN
7
OPEN
OPEN
OPEN
OPEN
N2709
11P-SOCKET(C125Z2)
N2704
19P-SOCKET(C125Z2)
H/PL
L2737
H/PGND
H/PR
L2738
L2739
L2740
L2741
L2742
L2743
L2744
L2745
L2746
L2747
L2748
L2749
L2750
L2751
L2799
L2800
L2801
L2802
PAGE6 (M5)
OPEN
L2730
232O_MI
232I_MO
TRIG1
TRIG2
TO SIDE
CONNECT
L2729
DACRTNGND
DA+5V
USBL
USBR
DAGND
C2703
C2704
C2705
C2707
C2709
C2711
C2713
C2714
OPEN
OPEN
3
PAGE6 (K5)
DASBR
OPEN
L2728
TO SIDE
CONNECT
DASR
DASBL
L2727
TO FRONT
OPEN
OPEN
OPEN
OPEN
OPEN
2
PAGE28 (A3)
OPEN
L2826
L2827
L2828
L2829
DASL
OPEN
L2726
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
OPEN
B2701
VAUXV L2720
DASW
L2725
L2793
L2794
L2795
L2796
L2797
L2798
OPEN
0
OPEN
OPEN
N2708
25P-SOCKET(C125Z2)
D5V(MX)
SWM5V(MX)
SWM3.3V
M3.3V
D/MGND
SIR_MO/RDS_DATA
SIR_MI/RDS_CLK
SIRIUSRST5
SIRIUSMUTE
A+8V
A+8V
A-8V
A-8V
WAKEUP
KILLIR
RCOUT
BTLIN K
FRONTIR_IN
RCIN
REMOTE3.3V
232COMI
232CIMO
TIRG1
TIRG2
NC
L2824
L2825
OPEN
L2719
DAC
OPEN
L2724
OPEN
OPEN
OPEN
0.1/10
0.1/10
0.1/10
0.1/10
L2718
DAFR
L2723
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
L2790
L2791
L2792
C2715
C2716
C2718
C2720
4
OPEN
DAFL
OPEN
OPEN
OPEN
10K
10K
10K
4.7K
OSD_CLK
OSD_CE
OSD_DATA
OSD_SEN
L2717
ADINR
OPEN
R2701
R2702
R2703
R2704
VDISC
OPEN
L2722
L2818
L2819
L2820
1
OPEN
OPEN
OPEN
OPEN
VDISY
OPEN
L2716
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
OPEN
OPEN
OPEN
OPEN
OPEN
C2706
C2708
C2710
C2712
VDI_Y
L2715
VDI_V
D/MGND
VDICR
D/MGND
VDICB
D/MGND
VDIPY
D/MGND
VDI_Y
D/MGND
VDI_C
D/MGND
VAUX
D/MGND
OSD_CLK
OSD_CE
OSD_DATA
OSD_N/P SEL
NC
N2707
33P-SOCKET(C125Z2)
DAGND
ADINL
DAGND
ADINR
DAGND
DAFL
DAGND
DAFR
DAGND
DAC
DAGND
DASW
DAGND
DASL
DAGND
DASR
DAGND
DASBL
DAGND
DASBR
DACRTNGND
DACRTNGND
NC
USBL
DAGND
USBR
AGND
VAUXL
AGND
VAUXR
MICGND
MIC
MICGND
TO CONNECT FRONT
PAGE7 (B4)
VDICB
0
TO MAIN DAC
3
VDICR
L2714
TO CONNECT FRONT
PAGE7 (B)
TO HDMI RX
SR5005 ONLY
VDI_V
DAGND
ADINL
SIR_MO5/RDSDA
SIR_MI5/RDSCK
S_RST5
TO CONNECT FRONT
PAGE7 (B6)
N2701
19P-SOCKET(C125Z2)
C2701
DA+5V
DA+7V
DA-7V
C2702
2
OPEN
OPEN
L2721
L2813
L2814
L2815
L2816
L2817
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
SWM5V
REMOTE3.3V
SWM3.3V
M3.3V
C2717
C2719
C2721
C2722
C2723
C2724
C2726
C2728
1
SCHEMATIC DIAGRAMS (24/28)
G
H
I
99
J
K
L
M
N
O
10
A
B
C
D
E
F
G
100
H
I
J
K
L
R2922 OPEN
100
R2921
22K
OPEN
ASO_PROT
R2933
100
TEHR_PROTA
R2932
ASODET
THERMALDETA
THERMALDETB
DCDET3
R2925
R2926
R2927
R2928
C2914 0.01
R2923 OPEN
Q2910
KTC3875S
100
THER_PROTB
R2918
22K
R2931
R2915
22K
R2919 470K
470K
R2930 100
DC_PROT
DCDET4
B/PIRIN
WAKE
RCOUT
RCIN
BTLINK
KILLIR
TRIG2
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
FLRST
GRNLED
LED1
LED2
LED3
MICDET
H/PDET
DOORDET
KEY1
KEY2
KEY3
ISELA
ISELB
VSELA
VSELB
LED4
TRIG1
TU_TXMI/SCL
TU_RXMO/SDA
TU_RST/ST
232I_MO
232O_MI
K
C2913 0.01
R2920 OPEN
Q2909
KTC3875S
R2916
OPEN
C2912 0.01
R2917 OPEN
Q2908
KTC3875S
C2910
0.1/10
B/PIRIN
WAKE
RCOUT
RCIN
BTLINK
KILLIR
D5V_POWER
15K
0.01
Q2907
KTC3875S
R2914
C2911
R2913
100K
Q2906
KTC3875S
C2908
0.1/1 0
Q2905
KTA1504S
100
R2929
TRIG2
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
FLRST
GRNLED
LED1
LED2
LED3
MICDET
H/PDET
DOORDET
KEY1
KEY2
KEY3
ISELA
ISELB
VSELA
VSELB
LED4
TRIG1
TU_TXMI/SCL
TU_RXMO/SDA
TU_RST/ST
SIR_MO5/RDSDA
SIR_MI5/RDSCK
S_RST5
J
D5V_POWER
KEY1
KEY2
KEY3
ISELB
ISELA
VSELA
VSELB
33K
0.01
Q2904
KTC3875S
R2912
C2909
33
33
KEY1
KEY2
KEY3
ISELB
ISELA
VSELA
VSELB
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
R2911
100K
Q2903
KTC3875S
Q2902
KTA1504S
232I_MO
232O_MI
SIR_MO5/RDSDA
SIR_MI5/RDSCK
S_RST5
TU_MUTE
TU_GPO2/TUNED
TU_SEN
I
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
TU_TXMI/SCL
TU_RXMO/SDA
S_RST3
TU_TXMI/SCL
TU_RXMO/SDA
SIR_MO3/RDSDA
SIR_MI3
RDSCK
S_RST3
R2910
470K
R2908
R2909
R2938
○
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
SIR_MO3/RDSDA
SIR_MI3
RDSCK
S_RST3
IPODMI3
U2904/C2906
IPODMO3
IPODMI3
IPODMO3
IPODMI3
IPODMO5
IPODMI5
IPODMO3
TU_MUTE
TU_GPO2/TUNED
TU_SEN
ZVOLCLK
ZVOLDATA
ZVOLSTB
LIMIT
A+B_LIMIT
LIMITDET
H
IPODMO5
IPODMI5
SIR_MO3/RDSDA
3.3V
SIR_MI3
RDSCK
1A VCC 14
1B 4B 13
1Y 4A 12
2A 4Y 11
2B 3B 10
2Y 3A 9
GND 3Y 8
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
1
2
3
4
5
6
7
R2905/R2910
ZVOLCLK
ZVOLDATA
ZVOLSTB
33
OPEN
C2907 0.1/10
33
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
U2905 TC74VHC08FT
R2907
OPEN
33
OPEN
OPEN
OPEN
33
OPEN
ZVOLCLK
ZVOLDATA
ZVOLSTB
S_RST5
MVOLCLK
MVOLDATA
ZVOLCLK
ZVOLDATA
ZVOLSTB
LIMIT
A+B_LIMIT
LIMITDET
DCDET3
DCDET4
ASODET
THERMALDETB
THERMALDETA
MVOLCLK
MVOLDATA
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
1
MVOLCLK
MVOLDATA
R2905
R2906
OSDOE3
OSDDATA3
OSDCLK3
DCDET3
DCDET4
ASODET
THERMALDETB
THERMALDETA
MVOLCLK
MVOLDATA
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
MUTE_S
MUTE_C
MUTE_F
G
OSDOE3
OSDDATA3
OSDCLK3
R2906
R2907
232IMO3
232OMI3
SR5005U
SR5005N
SR5005K
SR5005F
NR1601U
NR1601N
NR1601F
232IMO3
232OMI3
232CONT
OSDSEL
SIR_MI5/RDSCK
REMOTEPOWER
CPUPOWER
SCPUPOWER
NET_POWER
TU_MUTE
TU_GPO2/TUNED
TU_SEN
C2906 OPEN
SIR_MO5/RDSDA
U2904 OPEN
1 1A
VCC14 5V
2 1B
4B 13
3 1Y
4A 12
4 2A
4Y 11
5 2B
3B 10
6 2Y
3A 9
7 GND
3Y 8
VEXPOE5
VEXPSTB5
VEXPD5
VEXPCLK5
REMOTEPOWER
CPUPOWER
SCPUPOWER
NET_POWER
TU_MUTE
TU_GPO2/TUNED
TU_SEN
232CONT
OSDSEL
IPODMO5
IPODMI5
MICDET
H/PDET
DOORDET
56
56
56
MUTE_S
MUTE_C
MUTE_F
OSD_CLK
OSD_DATA
OSD_CE
OSD_SEN
INA
INB
INC
S/V_SEL
MONIA
MONIB
PS
OSD_SW
F
MICDET
H/PDET
DOORDET
VEXPCLK5
VEXPD5
VEXPOE5
VEXPSTB5
OSDOE3
OSDCLK3
OSDDATA3
OSDSEL
OSD_CLK
OSD_DATA
OSD_CE
OSD_SEN
IN A
IN B
IN C
S/V_SEL
MONIA
MONIB
PS
OSD_SW
2
C2905 OPEN
MONIA
MONIB
PS
OSD_SW
COMP_SW1
COMP_SW2
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
E
TRIG1
TU_RST/ST
LED4
TRIG2
FLRST
GRNLED
LED1
LED2
LED3
INA
INB
INC
S/V_SEL
COMP_SW1
COMP_SW2
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
D
TRIG1
TU_RST/ST
LED4
TRIG2
FLRST
GRNLED
LED1
LED2
LED3
VEXPSTB
VEXPOE
VEXPD
VEXPCLK
OSD_CE
R2934
OSD_CLK
R2935
OSD_DATA R2936
OSD_SEN
LIMIT
A+B_LIMIT
LIMITDET
7
U2902
OPEN
1 STB VDD 16
2 DATA OE 15
3 CLK Q5 14
4 Q1 Q6 13
5 Q2 Q7 12
6 Q3 Q8 11
7 Q4 Q’S 10
8 VSS QS 9
232I_MO
232O_MI
DC_PROT
ASO_PROT
THER_PROTB
TEHR_PROTA
LIMIT
A+B_LIMIT
LIMITDET
DC_PROT
ASO_PROT
THER_PROTB
TEHR_PROTA
6
OPEN
C2902 OPEN
DA_POWER
DV_POWER1
DV_POWER2
R2904
R2903
100
U2903
TC74VHCT244AFT
1 1OE VCC 20
2 1A1 2OE 19
3 2Y4 1Y1 18
4 1A2 2A4 17
5 2Y3 1Y2 16
6 1A3 2A3 15
7 2Y2 1Y3 14
8 1A4 2A2 13
9 2Y1 1Y4 12
10 GND2A1 11
R2902
100
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
C2903 0.1/10
C2904 OPEN
8
U2901
TC74VHCT125AFT
M3.3V R2937 4.7K
232MO
232MI
GND
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
VEXPSTB
VEXPOE
VEXPD
VEXPCLK
1
2
3
4
C
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
9
MUTE_S
MUTE_C
MUTE_F
SCPURXD
SCPUTXD
COMP_SW1
14
13
TX
12
11
10
RX
9
CEC_POWER
1
2
3
4
RX
5
6
7
N2901
OPEN
SCPURXD
VEXPSTB
VEXPOE
VEXPD
VEXPCLK
B
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
MUTE_S
MUTE_C
MUTE_F
5
COMP_SW1
COMP_SW2
TP
TX
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
C2901 0.1/10
R2901 4.7K
3
SCPUTXD
4
TO SUB CPU
A
L
M
M
N
N
O
TO DIGITAL CONNECT
1
10K
OPEN
OPEN
OPEN
2
TO
DIGITAL
SUPPLY
SWM3.3V
REMOTE3.3V
SWM5V
SR5005 OPEN
232IMO3
232OMI3
REMOTEPOWER
CPUPOWER
SCPUPOWER
NET_POWER
D5V_POWER
U2905/R2908/R2909
C2907/R2938
8
8U-310052(10/12)
DIGITAL_UNIT
TO MAIN CPU
SCHEMATIC DIAGRAMS (25/28)
O
3
DGND
CEC_POWER
DV_POWER2
DV_POWER1
DA_POWER
4
Q2901
KRC102S
232CONT
○
○
OPEN
OPEN
OPEN
○
OPEN
5
6
R2924
22K
7
8
9
CPU_LEVEL_CHG
10
A
B
D3105
KDS160
VCC 3
G 2
Vout 1
U3101
R94EV1A
Z3101
SHIELD_COVER
C3106
C3107
C3108
C3105
OPEN
C3109
C3110
10
C
OPEN
4.7/6.3
OPEN
R3112
100
R3113
4.7/6.3
OPEN
Q3105
KRC102S
NR1602U
NR1602K
NR1602F
NR1602N
SR5005U
SR5005K
SR5005F
SR5005N
R3170
OPEN
OPEN
OPEN
OPEN
10K
10K
10K
10K
D
R3169
10K
10K
10K
10K
OPEN
OPEN
OPEN
OPEN
R3122
OPEN
10K
10K
10K
OPEN
10K
10K
10K
R3119
10K
10K
22K
OPEN
10K
10K
22K
OPEN
E
Q3103
OPEN
R3111
OPEN
100
F
R3170
10K
R3119
OPEN
R3122
10K
C3114
C3115
C3116
C3117
C3118
C3119
R3120
R3121
R3115 1K
Q3106
KRA104S
R3116 1K
Q3107
KRA104S
R3117 1K
Q3108
KRA104S
R3118 10K
Q3109
KRA104S
R3114
Q3104
KRA102S 47K
Q3110
KRC102S
G
P95/CLK4
P94/CTS4
P93/CTS3
P92/TXD3
P91/RXD3
P90/CLK3
P146/INT8
P145/INT7
P144/INT6
P143
VDCO
P141(IN)
VDC1
NSD
CNVSS
P87
P86
RESET
XOUT
VSS
XIN
VCC
NMI
INT2
INT1
INT0
P81
P80/RXD5
P77/CLK5
P76/TXD5
P75/RXD8
P74/CLK8
P73/TXD8
P72/CLK2
P97/RXD4
P96/TXD4
4.7/6.3
OPEN
OPEN
OPEN
OPEN
OPEN
D3112
KDS160
H
101
R3128
OPEN
1
3
R3131
0
I
33
33
R3169
OPEN
R3135
R3136
0.1/10
0.1/10
U3102
R5F64169DFD
RCOUT
C3112
C3113
33
OPEN
4.7K
4.7K
R3133
R3134
R3167
R3168
KILL IR
C3111 0.1/10
WAKEUP
USB_PDN
MUTE_S
MUTE_C
4.7K
OPEN
4.7K
4.7K
4.7K
4.7K
4.7K
Q3102
OPEN
BTLINK/IPOD_DET
0.1/10
OPEN
2
8
P43/A19
P 44/A20
P45/A21
P46/A22
P47/A23
P125
P126
P127
P50/WR0/WR/CE
P51/WR1/BC1
P52/RD
P53/BCLK
P130
P131
VCC
P132
VSS
P133
P54/HLDA
P55/EPM
ALE
RDY
P134/TSTXD2
P135/ISRXD2
P136/ISCLK2
P137
P60/CTS0
P61/CLK0
P62/RXD0
P63/TXD0
P64
P65/CLK1
VSS
P66/RXD1
VCC
P67/TXD1
J
73
4.7K
4.7K
0.1/10
4.7K
33
33
4.7K
4.7K
33
4.7K
33
4.7 K
4.7K
0.1/10
R3143
R3173
C3121
R3165
R3127
R3129
R3163
R3164
R3175
R3174
R3176
R3162
R3161
C3125
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
R3138
2
4
3
6
5
8
7
R3141
R3139
2
4
3
6
5
C3127
R3144
8
7
0.1/10
4.7K
R3140
4.7Kx 4
2
4
3
6
R3145
8
5
7
R3146
R3171
R3160
33
33
4.7K
R3147
33
R3148
33
C3128
R3149
R3150
0.1/10
33
33
FLASHERIN
R3137
OPEN
K
A0 VCC 8
A1 WP 7
A2 SCL 6
VSS SDA 5
OSDSEL
TU_MUTE
ASO_PROT
DC_PROT
THER_PROTB
TEHR_PROTA
H/PDET
TU_SEN
TRIG2
LED4
GRNLED
LED1
LED2
ED3
L
IPODMO5
IPODMI5
R3151
R3152
OPEN
OPEN
D5V_POWER
KEY1
KEY2
KEY3
ISELB
ISELA
VSELA
VSELB
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
TU_TXMI/SCL
TU_RXMO/SDA
SIR_MO3/RDSDA
SIR_MI3
RDSCK
S_RST3
IPODMO3
IPODMI3
ZVOLCLK
ZVOLDATA
ZVOLSTB
MVOLCLK
MVOLDATA
OSDOE3
OSDDATA3
OSDCLK3
232IMO3
232OMI3
REMOTEPOWER
CPUPOWER
SCPUPOWER
NET_POWER
TU_MUTE
TU_GPO2/TUNED
TU_SEN
232CONT
OSDSEL
MICDET
H/PDET
DOORDET
B/PIRIN
WAKE
RCOUT
RCIN
BTLINK
KILLIR
D5V_POWER
KEY1
KEY2
KEY3
ISELB
ISELA
VSELA
VSELB
POWERKEY
REDLED
FL_CLK
FL_DATA
FL_CE1
FL_CE2
TU_TXMI/SCL
TU_RXMO/SDA
SIR_MO3/RDSDA
SIR_MI3
RDSCK
S_RST3
IPODMO3
IPODMI3
IPODMO5
IPODMI5
ZVOLCLK
ZVOLDATA
ZVOLSTB
MVOLCLK
MVOLDATA
OSDOE3
OSDDATA3
OSDCLK3
232IMO3
232OMI3
REMOTEPOWER
CPUPOWER
SCPUPOWER
NET_POWER
TU_MUTE
TU_GPO2/TUNED
TU_SEN
232CONT
OSDSEL
MICDET
H/PDET
DOORDET
L
RST
M3.3V
TXD
RXD
GND
CNVSS
EPM
CE
NSD
BUSY
SCLK
L
M
4.7Kx 4
1
232CONT
VSELB
VSELA
33
4.7Kx 4
33
FLRST
USB_TXDMI
USB_RXDMO
FL_CE1
FL_CLK
M
N
4.7K
4.7K
SWM3.3V
M3.3V
MAINPOWER
M_RESET
BDOWN
MAINPOWER
M_RESET
BDOWN
DGND
U3103
R1EX24256ASAS0A
CLKSIMO
SIMO
ACKSIMO
RSTSUB
REQSOMI
SOMI
SUBUPDATE
CLKSIMO
SIMO
ACKSIMO
RSTSUB
REQSOMI
SOMI
SUBUPDATE
1
PLDAERR.
PLDAERR.
SIMO
SOMI
CLKSIMO
ACKSIMO
USB_TXDMI
USB_RXDMO
USB_RDY
USB_PDN
USB_RST
USB_TXDMI
USB_RXDMO
USB_RDY
USB_PDN
USB_RST
232OMI3
N
TO SUB CPU TO DIGITAL SUPPLY
1
2
3
4
C3126 0.1/10
33
R3166
RELAY_SB
LIMIT
A+B_LIMIT
LIMITDET
RDSCK
TU_GPO2/TUNED
TRIG1
TRIG1
TU_RST/ST
LED4
TRIG2
FLRST
GRNLED
LED1
LED2
LED3
DC_PROT
ASO_PROT
THER_PROTB
TEHR_PROTA
LIMIT
A+B_LIMIT
LIMITDET
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
MUTE_S
MUTE_C
MUTE_F
K
TO TCC8600
108
P12/D10 107
P13/D11 106
P14/D12 105
P15/D13 104
P16/D14 103
P17/D15 102
P20/A0 101
P21/A1 100
P22/A2 99
P23/A3 98
P24/A4 97
P25/A5 96
P26/A6 95
P27/A7 94
VSS 93
P30/A8 92
VCC 91
P120/TXD6 90
P121/CLK6 89
A122/RXD6 88
A23 87
P124 86
P31/A9 85
P32/A10 84
P33/A11 83
P34/A12 82
P35/A13 81
P36/A14 80
P37/A15 79
P40/A16 78
P41/A17 77
VSS 76
P42/A18 75
VCC 74
TRIG1
TU_RST/ST
LED4
TRIG2
FLRST
GRNLED
LED1
LED2
LED3
DC_PROT
ASO_PROT
THER_PROTB
TEHR_PROTA
LIMIT
A+B_LIMIT
LIMITDET
RELAY_H/P
MUTE_SW
MUTE_SB
MUTE_Z2
RELAY_FB
RELAY_H
RELAY_F
RELAY_C
RELAY_S
RELAY_SB
MUTE_S
MUTE_C
MUTE_F
J
R3159
R3153
R3154
R3155
R3156
R3157
R3158
OPEN
OPEN
4.7K
R3104
2
SIR_MO3/RDSDA
OSDCLK3
OSDOE3
OPEN
C3104
C3103
7
C3124
R3172
REDLED
33x4
P11/D9
P10/D8
P07/D7
P06/D6
P05/D5
P04/D4
P114
P113
P112/RXD8
P111
P110/TXD8
P03/D3
D02/D2
D01/D1
P00/D0
P157
P156
P155/RXD6
P154/TXD6
P153
P152/RXD7
P151/CLK7
VSS
P150/TXD7
VCC
P107/AN7
P106/AN6
P105/AN5
P104/AN4
P103/AN3
P102/AN2
P101/AN1
AVSS
P100/AN0
VREF
AVCC
X3102
FCX04(16MHZ)
7
6
I
FL_CE2
R3102 1K
ZVOLSTB
KEY3
KEY2
KEY1
4
5
H
D5V_POWER
IPODMI3
FL_DATA
IPODMO3
SIR_MI3
R3101 1K
D3110
OPEN
2
3
C3122
10P
C3123
10P
IPOD_DET
1
4.7/6.3
4.7K
IPODMI5
R3110
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
C3120
R3126
IPODMO5
Q3101
RELAY_H/P KRC102S
RELAY_H
MUTE_Z2
MUTE_SB
MUTE_SW
ISELA
ISELB
ZVOLCLK
MVOLCLK
MVOLDATA
RELAY_S
RELAY_C
RELAY_F
RELAY_FB
ZVOLDATA
USB_RDY
SUBUPDATE
33
OPEN
MICDET
R3125
3
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
R3177
5
BT_LINK
4
G
DOORDET
PLDAERR.
BDOWN
IR
FLASHER
1
OPEN
470K
OPEN
OPEN
OPEN
OPEN
3
OPEN
4.7K
OPEN
1
3
MUTE_F
D3108
D3109
D3111
C3101
D3103
D3107
1
F
R3130
R3178
R3132
K3103
MINI-JACK(PJ-308-02)
2
E
USB_RST
REMOTEPOWER
M_RESET
3
D
RCIN
FLASHERIN
D3101
OPEN
R3109
R3123 OPEN
R3124 OPEN
2
1
OPEN
1
R3108
OPEN R3107
K3102
OPEN
OPEN
OPEN
OPEN
OPEN
3
D3102
C3102
D3104
R3103
2
C
TU_RXMO/SDA
TU_TXMI/SCL
NET_POWER
POWERKEY
REQSOMI
MAINPOWER
CPUPOWER
9
B
S_RST3
TU_RST/ST
8
K3101
OPEN
-
D3106
SIR-341STA49
6
-
A
O
TO_LEVEL_CHG
1
2
232IMO3
OSDDATA3
1
2
3
4
5
6
7
8
9
10
11
8U-310052(11/12)
DIGITAL_UNIT
MAIN CPU
SCHEMATIC DIAGRAMS (26/28)
O
3
4
SCPUPOWER
RSTSUB
5
1
6
P70/TXD2
P71/RXD2
7
M_RESET
TP
8
N3101
11P-FFC
9
10
D
E
F
G
HSCL
HSDA
HDMIR_RST
TO I/P
HPD4
HPD3
HPD2
HPD1
ADVINT3
ADVINT2
ADVINT1
HSCL
HSDA
1
CEC_COM
TO HDMI RX
H
I
J
1TXINT
1TXRST
ABT_RST
Z1SSIG.DET
41
86
P00/D0
P54
40
P107/AN7
P106/AN6
89
P105/AN5
90
P104/AN4
P60/CTS0
36
91
P103/AN3
P61/CLK0
35
92
P102/AN2
P62/RXD0
34
93
P55/EPM
R5F3650KNFB
(SUB)
39
P56
38
P57
37
P101/AN1
P63/TXD0
33
94
AVSS
P64/CTS1
32
95
P100/AN0
P65/CLK1
31
96
VREF
P66/RXD1
30
P74
P75
P76
1
VCC SC
2
GND
3
NC
R3333
2.2M
DA 4
D3301
220K KDS160
OPEN
4.7K
4.7K
4.7K
3.3K
ABT_RST
R3342
R3334
R3337
R3338
R3339
R3341
33
33
SCPURXD
ACKSIMO
R3332
R3335
7
M/D
CE/DSP
CNVSS
GND
RXD
TXD
3.3V
SUBRESET
8
11
10
9
8
7
6
5
4
3
2
1
CLKSIMO
SIMO
ACKSIMO
RSTSUB
CLKSIMO
SIMO
ACKSIMO
RSTSUB
REQSOMI
SOMI
Q3306
KRC102S
REQSOMI
SOMI
SUBUPDATE
PLDAERR.
PLDAERR.
Q3302
KRA102S
8U-310052(12/12)
DIGITAL_UNIT
R3328
SCHEMATIC DIAGRAMS (27/28)
C
D
E
F
9
10
Q3304
KRC102S
150K
10
B
6
RSTSUB
SUBBDOWN
C3315 0.1/10
SCPUTXD
4.7K
SCPURXD
SCPUTXD
N3301
11P-FFC
SCLK
R3343
R3344
ADCRST
R3310
R3330
10K
HSDA
HSCL
VEXPSTB
VEXPOE
VEXPD
VEXPCLK
SCPURXD
SCPUTXD
C3314
OPEN
4.7K
4.7K
3
CEC_COM
5
COMP_SW1
COMP_SW2
VEXPSTB
VEXPOE
VEXPD
VEXPCLK
DA_POWER
ACKSIMO
SUBBDOWN
C3303 10P
1
CEC_COM
R3323
R3324
33
C3313
R3306
C3308
OPEN
C3309 OPEN
C3310 OPEN
C3311 OPEN
C3312 OPEN
33
33
4.7K
U3302
OPEN
C3307
4.7/6.3
R3305
REQSOMI
CLKSIMO
SIMO
SOMI
P73/CTS2
33
33
4.7K
4.7K
R3322
R3353
DV_POWER2
C3304 10P
R3351
4.7K
4.7K
33
CEC_POWER
COMP_SW1
COMP_SW2
CEC_O
10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
R3315
R3316
R3317
9
P77
8
P80
7
P81
6
P82/INT0
5
4.7K
4
R3314
3
R3320
R3354
R3321
CEC_POWER
SUBTDO
MPLDCSMAIN
MPLDDATA
MPLDCLK
2
0.1/10
1
C3305
X3302
FCX04(16MHZ)
P94/TB4
P83/INT1
26
P84/INT2
P72/CLK2
100 P95/CLK4
P85/NMI
27
VCC
28
P71/RXD2
XIN
29
P70/TXD2
P96/SOUT4
97
VSS
P67/TXD1
P97/SIN4
99
XOUT
AVCC
98
4.7K
4.7K
4.7K
OPEN
U3301
87
88
R3355
R3356
R3357
TO CPU LEVEL CHG
P53
1.2K
P01/D1
R3346
42
85
44
5
2.7K
0.1/10
P52
DA_POWER
DV_POWER1
DV_POWER2
R3347
C3317
P02/D2
R3345
27K
43
84
Q3303
2SD2114K
P51
P50/CE
100K
220P
45
P03/D3
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
DA_POWER
DV_POWER1
DV_POWER2
R3340
C3316
P47
P04/D4
83
HPD1
COMP_SW1
COMP_SW2
R3331
100K
P05/D5
82
4.7K
33
33
Q3305 R3336
KTC3875S
47K
81
R3319
R3374
R3375
Q3301 R3329
KTC3875S 4.7K
46
OPEN
OPEN
P46
R3327
OPEN
P41
P40
P37
P36
P35
P34
P33
P32
P31
VCC
P30
P06/D6
4.7K
4.7K
TO MAIN CPU
4.7K
R3359
R3348 4.7K
R3349 4.7K
R3350 4.7K
33
0.1/10
33
33
R3311
C3306
R3312
R3313
VSS
P27
P26
47
80
R3358
R3318
9
A
2
1TXRST
HDMIR_RST
HPD2
HPD3
DACMS
4.7K
4.7K
P25
P24
P23
P22
P21
P20
P45
R3309
OPEN
TO MAIN DAC
8
DIRCE
DIRDIN
DIRDOUT
DIRCLK
P17/INT5
48
P07/D7
RESET
4.7K
0.1/10
0.1/10
4.7K
4.7K
33
49
P44
P86
4.7K
R3366
C3301
C3302
R3367
R3368
R3370
79
50
P43
7
ADCRST
DACRST
DACMC
DACMDI
DACMS
COMP.SDET
Z1VSIG.DET
Z1SSIG.DET
P42
4.7K
R3365
P10/D8
R3352
COMP.SDET
P11/D9
78
P87
4.7K
P12/D10
77
CNVCS
R3364
76
P14/D12
R3371
R3361
R3303
R3304
R3372
R3362
R3363
33
4.7K
4.7K
4.7K
33
4.7K
4.7K
BYTE
DSPFLAG0
DSPICS
33
P90/CLK3
DSPROMRST
R3302
P16/INT4
DSPMISO
DSPICLK
VEXPD
ADCRST
DACRST
DACMC
DACMDI
DACMS
SCPU5V
SCPU3.3V
DGND
SUBBDOWN
SUBBDOWN
75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51
DIRRST1
DSPRST
TO DSP
33
P13/D11
SUBTCK
DSPROMRST
DSPRST
DSPICLK
DSPICS
DSPMISO
DSPMOSI
DSPFLAG0
4.7K
4.7K
1
DV_POWER1
CEC_POWER
R3378
R3301
SUBTDI
DSPROMRST
DSPRST
DSPICLK
DSPICS
DSPMISO
DSPMOSI
DSPFLAG0
O
3
R3360
33
33
33
33
DSPMOSI
P15/INT3
5
DIRCLK
DIRCE
DIRDIN
DIRDOUT
DIRRST1
P91/SIN3
DIRCLK
DIRCE
DIRDIN
DIRDOUT
DIRRST1
R3307
R3308
R3369
R3373
PLDAERR.
PLDAERR.
N
4
MPLDCSMAIN
MPLDDATA
MPLDCLK
P92/SOUT3
MPLDCSMAIN
MPLDDATA
MPLDCLK
SUBTDO
SUBTDI
SUBTMS
SUBTCK
P93/TB3
TO DIR/PLD
4
6
DACRST
UBTMS
VEXPCLK
VEXPOE
VEXPSTB
Z1VSIG.DET
SUBTDO
SUBTDI
SUBTMS
SUBTCK
M
DACMC
DACMDI
1TXINT
HPD4
ADVINT3
ADVINT2
ADVINT1
HSCL
HSDA
HDMIR_RST
HPD4
HPD3
HPD2
HPD1
CEC_COM
ADVINT3
ADVINT2
ADVINT1
HSCL
HSDA
3
L
TO HDMI TX
R3325
R3326
2
K
TO DIGITAL SUPPLY
C
1TXINT
1TXRST
B
ABT_RST
A
G
H
102
I
J
K
L
M
N
O
L
R431
0
SR6005
C346
100N-K
R425
R373
560
2
3
CN307
100N-K
3
JACK300
G304
C340
1/50
R337
100K
4
R336
100K
100P-J
C356
100N-K
F1
CP
DA
TSA
TSB
Q19G
Q18G
Q17G
17G
18G
19G
F2
5
1
Mic, H/P B'D
7
8
6
NIGHT
REC
EXT.IN
AUDYSSEY
DIGITAL
ANALOG
PCM
DTS
MULTEQ XT
A+8V
3DREDLED
DIG
ANA
Z2
PURELED
LFE
HDCD
SW SP- A B
31
H/PDET
KEY1
FL
C
FR
FL
SL
S
SR
SL
SBL
KEY2
SB
Z3
C
Z4
FR
SR
SBL SB SBR
SBR
KEY3
ISELA
ISELB
FOPT
VSELA
H/PDET
VSELB
H/PL
FOPT
C306
OPEN
H/PR
LED301
BLUE
R363
OPEN
BZ-BB43V4V-2-FP5-TBF22A
SR5005
R415
C355
100N-K
PLT3
OPEN
OPEN
C341
10/50
C344
OPEN
Vcc
4
3
1
VAUXR
R338
47K
2
AZ4580MTR
IC301(1/2)
R335
100
C330
C329
10/50
R329
100K
100N-K
A+8V
FIRIN
C387
10N-K
2
4
R405
4.7K
C
SW306A
DISPLAY
SURROUND
MODE
AZ4580MTR
IC301(2/2)
8
6
7
VAUXL
SW302A
SW303A
SW308A
STATUS
R306A
560
ZONE2
SELECT
BAND
R334
100
C342
10/50
CURSOR
UP
8
RCA-328H(1)-05
J311
JACK301
SR6004-AUX
VAUXR
C326
2N2-K
R323
100K
C327
2N2-K
R328
100K
R332
100K
C331
10/50
R320
470
J312
7
8
6
AGND
VAUXV
R314
75
VAUXV
V.AUX_L
5
4
2
3
1
VAUXL
J314
5
V.AUX_R
J313
V.AUX_V
J315
C321
100N-K
PLT1
VSELB
C318
100N-K
10
PLT2
SCHEMATIC DIAGRAMS (28/28)
STBY
D
E
F
9
VGND
SW304B
RETURN
JACK303
SW309
A
POWERKEY
5
C386
10N-K
C
1
R410
4.7K
VSELA
3
VEC
VEC304
B
SW307C
R408
4.7K
R409
4.7K
R305A
330
R339
47K
R319
470
PURE
CURSOR
CURSOR AUTO
DIRECT
DOWN
LEFT
R302C
R301C
R303C
150
100
180
KEY3
SW302C
10
SW301C
ISELA
SW305B
KEY1
5
R401
4.7K
SW304A
CURSOR
ENTER
MENU
RIGHT
R301B
R303B
R302B
100
150
180
SW303B
R407
4.7K
R304A
220
ZONE2
C384
10N-K
1
C
A
R303A
180
SW303C
4
3
VEC
VEC302
B
ISELB
SW305A
4.7K
C385
10N-K
R404
4.7K
2
R406
KEY2
R302A
150
SW306B
9
R301A
100
SW301A
C314
100/10
(6.3*5)
C345
OPEN
C352
100N-K
R377
OPEN
2
3
4
5
1
C374
OPEN
Q318
KRA102S
GND
Vout
S.BY_R_LED
PJ-612A-51
V.AUX B'D
R330
100
R34ES9A
RMC302
Q320
KRC102S
SOURCE SEL.
ENCODER
R378
100
100N-K
A-8V
R388
220
S.BY_G_LED
EC16B24S0-ZZZ
TORX147L
R379
10k
SR6004-HP
RED
(Phi 3.0)
R199
390
Q311
KRC102S
MASTER VOL.
ENCODER
1 IN
C368
100P-J
C328
EC16B24S0-ZZZ
Modify(No Click)
2 GND
C369
100P-J
SR5005
Q313
KRC102S
LED304 GRN
BL-BVT201G
8
6
JACK365
3 +3.3V
C375
OPEN
OPEN
R196
Q312
OPEN
Q310
OPEN
PLT4
7
LED302
BL-BUF4V5K-1-AV-FP3.5-TBF19.5C
R333
1K
R403
0
R416
SR6005
0
M-DAXLED
3DGRNLED
5
JACK310
SR6004_MIC
C358
100N-K
D313
D320
3DREDLED
B
H/PDET
H/PL
H/PGND
H/PR
H
F
C
D
E
G
B
RED
RED
OPEN
R197
R402
0
SR6005
LED303
OPEN
GRN
R380
100
Q317
KRC102
0
7
MICDET
MIC
MICGND
SWM3.3V
D/MGND
OPTIN
CP306
R389
220
7
6
3
2
4
5
1
dB
C351
100N-K
RESTORER
HDMI
C357
47/10
AL24
DSD
3DGRNLED
TUNED RDS MSTR
20010WR-10
AUTO
MP3
1 2 3 4 5 6 7 8 9 10
TrueHD
WMA
10P WIRE
DIGITAL + RESTORER
STEREO AUTO DTS -HD
AAC
1 2 3 4 5 6 7 8 9 10
24
25
26
27
28
29
VBUS
-DATA
+DATA
GND
TC38-160-02
DIGITAL dts
FLRST
MICDET
30
18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2
LGND
PGND
VH
VDD
OSC
RESET
CS
22
23
19
M-DAXLED
PURELED
A
BD103
BEAD
FLCE1
S.BY_G_LED
32
1
2
IC305(1/2)
R365 AZ4580MTR
47K
R357
18K
R359
OPEN
C348
17
19
20
21
FLCLK
FLDATA
BD102
BEAD
27
27
IC305(2/2)
AZ4580MTR
SR6005
FLT302
19-ST-02GINK
S.BY_R_LED
R411
R412
R342
100
R361
100
3
C339
C354
100N-K
C365
OPEN
4
680
MIC
C343
1/50
R356
C323
100P-J
R364
100
C325
10N-K
Q302
KRC104S
R367
2K2
C349
10/50
A-8V
ZD307
MTZ5.1B
C373
47N-Z
C324
100P-J
FLRST
VBUS
+DATA
-DATA
GND
GND
BD101
BLM21
MICDET
OPEN
100
H/PR
ZD318
MTZ5.1B
C361
100N-K
SR6005
R360
R362
USB A1D51F-0N4N
A+8V
C322
100P-J
4
5
6
9
C312
10/50
(5*7)
11
R313
10K
C347
100N-K
R355
R358
R383
R390
R402
R403
R415
R416
USB B'D
4 3 2 1
R429
100K
R428
39K
R421
100K
SR5005
R430
0
Q315
KTC3875S
100
1
R419
39K
R414
100K
C333
10/50
C332
10/50
R427
Q314
KTC3875S
100
100
100
100
7
8
10
12
13
14
18
POWERKEY
15
16
FIRIN
33
FPC 40P
D/MGND
FOPT
R420
Q309
KTC3875S
100
12K
CN306
6
KEY3
ISELA
ISELB
VSELA
VSELB
H/PL
34
PURELED(SR5)
MICDET
H/PDET
NC(DOORDET)
KEY1
KEY2
FLCLK
FLCE1
H/PGND
35
PURELED(SR6)
MDAX_LED(SR6)
VAUXV
36
MDAX_LED(SR5)
FLRST
S.BY_GRN_LED
MIC
R351
R353
R354
FLDATA
A+8V
VAUXL
37
5
R435
0
SR6005
12K
C360
R426 1000N-K
100 100N-K
SR6005
C337
SR5005
R434
0
C350
100N-K
R423
15K
OPEN
57
R424
15K
10
5 6
2
OPEN
F2
30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56
2 3 4
SR5005
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
TSB
TSA
DA
CP
CS
RST
OSC
VDD
VDDH
VFL
GND
NP
NP
NX
7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29
1
ZD304
MTZ16B
R422
39K
C366
1/50
18G
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
NX
C367
1/50
ZD303
MTZ18B
REV
Z2
Q3
C372
SP A B
Q1 Q2
F1
C364
100/63
A-8V
38
FLCLK
FLDATA
FLCE1
dB
PCM AAC
Q300
KSA916Y
R381
R376
OPEN
C319
100N(EB)-100V
39
VAUXL
MICGND
MIC
VGND
VAUXV
H/PGND
H/PL
H/PR
FRONT_IRIN
SWM5V(MZ)
POWERKEY
S.BY_RED_LED
MUTE
100
10
VAUXR
40
A+8V
A-8V
VAUXR
AGND
SLEEP
DIG. ANA.
1.0-11S-40PW
PAGE24 (N6)
FROM DIGITAL
4
M3.3V
D/MGND
SWM3.3V
AUDYSSEY
MULTEQ XT
DYN VOL EQ
DTS
EXT.IN
100N-K
IC304
ICP-N15
R399
4R7(1)
C320
100N(EB)-100V
CN300
PCM
-
R413
3
REC
DIGITAL ANALOG
C371
HDMI
2.2K
RESTORER
AUTO
100N-K
OPEN
HDMI
RDS
220/6.3
AUTO
TUNED
C336
C335
STEREO
TrueHD
R398
DIGITAL+
1
C334
-HD
6R8
4.7K
R375
4.7K
ZD301
MTZ6.8B
dts
Master Audio
ZD308
MTZ5.1B
6R8
C315
OPEN
R350
100
R346
100
R390
NX
NP
NP
Q18G
Q17G
17G
CP308
Vkk
6R8
6R8
R383
D322
D321
1N4007 1N4007
C317
10/50
OPEN
Vkk GND
OPEN
C359
100N-K
7 6 5 4 3 2 1
FIL2
OPEN
FIL1
5268-07A
2
FROM TRANS UNIT
C353
100N-K
R355
R358
SR6005
USE
7.5V
Reverse
Reverse/24V
Reverse
Reverse
KTC1027Y
Reverse
Reverse
Reverse
12K
12K
10
10
USE
USE
100N
USE
KTC3875S
100
39K
100K
2R2
2R2
2R2
2R2
0
0
-
IMSA6802
IMSA6802
IMSA6802
SR5005
SR5005
USE
6.8V
Forward
Foward/16V
Forward
Forward
KSA916Y
Forward
Forward
Forward
15K
15K
100
100
USE
USE
USE
1000N
6R8
6R8
6R8
6R8
0
0
FLT301
FLT302
ZD301
ZD303
ZD304
D321
D322
Q300
C364
C366
C367
R423
R424
R425
R426
R430
R431
R434
R435
C337
C360
C361
Q315
R427
R428
R429
FLT301
18-ST-13GINK
1000P-J
1
O
N
D332
D331
D330
FRONT B`D
M
100P-J
100P-J
K
C362
J
I
C370
C363
H
G
5 4 3 2 1
F
E
USB WIRE
D
TO DIGITAL UNIT
C
100P-J
B
C338
A
G
I
H
103
J
K
L
M
N
O
P05:40
WIRING DIAGRAM
AC CORD
SIRIUS B'D
SPK B'D
HDMI B'D
40P FFC CARD CABLE
RS232
B’D
REG_CNT B'D
REG B'D
7808
2P WIRE(SPK OUT SB)
7CH AMP B'D
(S5,S6)
10P WIRE (H/P,MIC,OPT)
FRONT B'D
MIC + H/P + OPTICAL B'D
USB B'D
104
7908
7805
7905
7805
2388
5P SHIELD WIRE
PRE OUT B'D
5P WIRE(P/T)
(S2,S4)
13P WIRE (AUDIO IN)
5P WIRE(DETECT)
5P WIRE(SPK OUT F/C/S)
(S1)
10P WIRE(+/-B, GND)
MAIN TRANS
SIDE CNT B'D
FRONT CNT B'D
AUDIO IN B'D
D25SC6M
SMPS B'D
VIDEO B'D
EXPLODED VIEW
58
6
2
9
1
8
3
5
4
7
W
D x3
57
25
T x1
39
66
T x1
63
13
T x1
33
19
T x1
52
17
64
55
15
13
56
17
90
19
33
83 x3
51
T x1
F x1
76
T x6
54
V x6
65
T x1
F x2
53
T x2
T
T x5
50
PCB
GU
IDE
32 x5
CAB
LE
BAC
K
T x5
T x1
61
60
29
E x21
H x4
A x18
z
I x1
40
M x1
62
83
59-1
15
G x2
(INLET)
T x1
13
6
17
16
D x2
(HDMI)
38
81
T x1
A x1
A x6
8
26
77
M x1
M x1
Q x7
T x2
59-2
N x1
27
7
28
20
73
30
D
T x2
83
24
11
9
21
A x1
12
M x1
P x2
11
18
82
37 x3
10
5
D x3
19
24
23
39
22
72
14
4
36
D x2
3
81
35 x4
7
2
T x2
6
T x4
82
34 x4
T x3
U x1
1
D x2
WARNING:
Parts marked with this symbol have critical
characteristics.
Use ONLY replacement parts recommended by
the manufacturer.
SR5005
105
PARTS LIST OF EXPLODED VIEW s
Ref.No.
Part No.
66
8U6331006600M
PCB HDMI ASSY
U1B
66
-
PCB HDMI ASSY
K1B
66
-
PCB HDMI ASSY
N1B,N1SG
zParts for which "nsp" is indicated on this table cannot be supplied.
zP.W.B. ASS'Y for which "nsp" is indicated on this table cannot be supplied. When repairing the P.W.B. ASS'Y, check the board parts table and order replacement parts.
zPart indicated with the mark " ★ " is not illustrated in the exploded view.
zThe parts listed below are for maintenance only, might differ from the parts used in the unit in appearances or dimensions.
Note: The symbols in the column "Remarks" indicate the following destinations.
U : North America model
N : Europe model
B : Black model
SG : Silver gold model
Part Name
Remarks
Q'ty
New
7025HK0929018
1
*
7025HK0929028
1
*
7025HK0929048
1
*
NOTE :
Please change the parts when changing SR5005U1B (8U6331006600M) to other destination. (Please refer to the table below.)
Please refer to parts 99,100,101 page.
(SCHEMATIC DIAGRAMS : 24/28, 25/28, 26/28)
K : China model
Ref.No.
Part No.
50
nsp
PCB 7CH_AMP ASSY
U1B
7025HK0929010
1
50
nsp
PCB 7CH_AMP ASSY
K1B
7025HK0929020
1
50
nsp
PCB 7CH_AMP ASSY
N1B,N1SG
7025HK0929040
1
51A
nsp
PCB SPK ASSY
U1B
7025HK0929011
1
51A
nsp
PCB SPK ASSY
N1B,N1SG
7025HK0929041
1
51
-
PCB SPK
-
52
-
PCB SMPS
-
Remarks
Q'ty
54A
nsp
PCB REG_CNT ASSY
U1B
7025HK0929012
1
51A
nsp
PCB SPK ASSY
K1B
7025HK0929021
1
54A
nsp
PCB REG_CNT ASSY
N1B,N1SG
7025HK0929042
1
53
-
PCB REG
-
1
54
-
PCB REG_CNT
-
1
55
-
PCB FRONT_CNT
-
1
56
-
PCB SIDE_CNT
-
1
57
-
PCB RS232
-
1
58
-
PCB SIRIUS
-
1
New
nsp
PCB FRONT ASSY
U1B
7025HK0929013
1
*
26A
nsp
PCB FRONT ASSY
K1B
7025HK0929023
1
*
26A
nsp
PCB FRONT ASSY
N1B,N1SG
7025HK0929043
1
*
26
-
PCB FRONT
-
1
PCB USB
-
1
59-2
-
PCB MIC_H/P
-
1
60
-
PCB GUIDE_L
-
1
61
-
PCB H/S GUIDE
-
1
62
-
PCB GUIDE_R
-
1
63
nsp
PCB VIDEO ASSY
U1B
7025HK0929014
1
63
nsp
PCB VIDEO ASSY
K1B
7025HK0929024
1
63
nsp
PCB VIDEO ASSY
N1B,N1SG
7025HK0929044
1
64A
nsp
PCB AUDIO ASSY
U1B
7025HK0929015
64A
nsp
PCB AUDIO ASSY
K1B
7025HK0929025
64A
nsp
PCB AUDIO ASSY
N1B,N1SG
7025HK0929045
64
-
PCB AUDIO
-
1
65
-
PCB PREOUT
-
1
R2704
R2906
R2907
U2904
(24/28) (25/28) (25/28)
(25/28)
10K
OPEN
33
TC74VHCT08AFT
4.7K
33
OPEN
OPEN
4.7K
33
OPEN
OPEN
4.7K
OPEN
OPEN
OPEN
U1B
N1B
N1SG
K1B
26A
59-1
Part Name
1
1
1
106
U2905
C2906
C2907
R2908
R2909
R2938
R3119
R3122
(25/28)
(25/28) (25/28) (25/28) (25/28) (25/28) (26/28) (26/28)
TC74VHC08FT
0.1/10
0.1/10
33
33
470K
10K
OPEN
TC74VHC08FT
OPEN
0.1/10
33
33
470K
OPEN
10K
TC74VHC08FT
OPEN
0.1/10
33
33
470K
OPEN
10K
OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
10K
10K
1
963402012060M
PANEL FRONT
U1B
3067214976000S
1
*
1
963402012040M
PANEL FRONT
N1B, K1B
3067214976010S
1
*
1
963402012050M
PANEL FRONT
N1SG
3067214976100S
1
*
2
963421006200M
BADGE(MARANTZ) SILVER/GRAY
5630210678000S
1
3
963416012230M
WINDOW DISPLAY
5077213053000S
1
4
943415004150M
DOOR FRONT
5047210656000S
1
U1B,N1B, K1B
4
943415004160M
DOOR FRONT
N1SG
5047210656100S
1
5
943454004170M
DOOR MOLD
U1B,N1B, K1B
5040210641000S
1
5
943454004180M
DOOR MOLD
N1SG
5047210641100S
1
6
412410005014M
KNOB ASSY VOLUME
U1B,N1B, K1B
5088212398000SZ
2
6
943412002020M
KNOB ASSY VOLUME
N1SG
5088212398010SZ
2
7
424510002017M
DECORATION RING KNOB
U1B,N1B, K1B
5127210971000S
2
7
943424002030M
DECORATION RING KNOB
N1SG
5127210971100S
2
8
nsp
4470211966000S
1
9
943402004240M
PANEL SUB
PLATE EARTH DOOR
U1B,N1B, K1B
3067214941000S
1
PANEL SUB
N1SG
9
943402004250M
3067214941100S
1
10
nsp
DAMPER GEAR
3660210043000S
1
11
nsp
SHAFT BASE
2400210046000S
2
*
12
963422012240M
SHEET FRONT
U1B,N1B, K1B
1217211272000S
1
*
12
963422012250M
SHEET FRONT
N1SG
1217211272100S
1
*
13
963404012260M
PANEL SIDE L
U1B,N1B, K1B
3067214951000S
1
*
13
963404012270M
PANEL SIDE L
N1SG
3067214951100S
1
*
14
943422003540M
PANEL SIDE R
U1B,N1B, K1B
3067214961000S
1
14
943422003570M
PANEL SIDE R
N1SG
3067214961100S
1
15
00M10BW355010
LENS POWER
3710211083000S
1
16
963411006110M
BUTTON POWER
U1B,N1B, K1B
5090213961000S
1
16
963411012000M
BUTTON POWER
N1SG
5097213961100S
1
7600210059000S
1
U1B,N1B, K1B
5098214491000S
1
17
nsp
18
943411004310M
MAGNET BASE
BUTTON-ASSY ENTER
18
943411004300M
BUTTON-ASSY ENTER
N1SG
5098214491100S
1
19
943411004290M
BUTTON 10KEY
U1B,N1B, K1B
5090214421000S
1
19
943411004280M
BUTTON 10KEY
N1SG
5097214421100S
1
20
00M10BW355020
LENS THX
3710211073000S
1
21
00M03CW355010
LENS HDMI
3710211063000S
1
22
nsp
BRACKET BODY
4010214956000S
1
23
nsp
SHEET V-AUX
U1B,N1B, K1B
1217211332000S
1
*
23
nsp
SHEET V-AUX
N1SG
1217211332010S
1
*
24
nsp
CUSHION DOOR
4050213145100S
2
25
nsp
INLET TAPE
1220210759000S
1
27
nsp
BRACKET BASE
4010214926000S
1
28
nsp
WASHER
1530210142010S
1
Ref.No.
Part No.
29
nsp
HEAT SINK MAIN
2120211788000S
1
30
nsp
SHEET RATING CHASSIS
1210211269000S
1
z
Part Name
Remarks
Q'ty
32
nsp
BRACKET H SINK
4010056906010S
5
33
nsp
BRACKET SMPS
4010214886000S
1
4
34
nsp
35
00M46BW057210
36
nsp
CUSHION FOOT
4050211175000S
FOOT FRONT
4007210321000S
4
CHASSIS MAIN
3200213506200S
1
37
nsp
SUPPORTER PCB
4070001601010S
3
38
nsp
BRACKET SIDE
4010210686000S
1
39
nsp
40
963101009710S
SUPPORTER P.C.
POWER TRANS MAIN
U1B
4070210192000S
2
8200960660660S
1
z
40
963101009720S
POWER TRANS MAIN
N1SG,N1B
8200960660670S
1
z
40
963101009730S
POWER TRANS MAIN
K1B
8200960660680S
1
72
nsp
CHASSIS BACK
U1B
3207213566000S
1
New
*
*
72
nsp
CHASSIS BACK
N1SG,N1B
3207213566100S
1
*
72
nsp
CHASSIS BACK
K1B
3207213566300S
1
*
73
nsp
4470212006000S
4
76
00M07BW257010
TOP COVER
PLATE EARTH CHASSIS
U1B,N1B, K1B
3007211796000S
1
76
943403002040M
TOP COVER
N1SG
3007211796100S
1
77
nsp
TAPE ACETATE CLOTH
1220210059050S
1
81
nsp
CUSHION SIDE
4050213095000S
2
82
nsp
CUSHION SCREW
4050213025000S
4
83
nsp
SHEET CABINET
1210210235000S
5
90
nsp
★ 91
963606012390S
CABLE FLAT CARD 1.0MM
SPACER CARD
★ 92
00D9630235204
TR 2SB1647Y
★ 93
963219003340S
TR 2SC KTC3964
J502396400010S
7
★ 94
00D9630235301
TR 2SD2560Y
J5032560Y0170S
7
★ 95
nsp
CLAMP CABLE
4330040343010S
7
L=250mm
4300210062000S
1
N711402512480S
1
J5011647Y0170S
7
Personal notes:
*
SCREWS
A
nsp
SCREW (+2S 3*10 B-TYPE ZNW/BH)
B020030101B10S
26
D
nsp
SCREW (+2S 3*10 B-TYPE(DOT) BK/BH)
B020030103B11S
46
E
nsp
SCREW
(2S 3*14 P(Φ6)+S-WASHER ZNY/HH)
B018230141H11S
21
F
nsp
SCREW (+2S 3*17 B-TYPE ZNW/BH)
B020030171B10S
3
G
nsp
SCREW (+2S 4*8 B-TYPE(DOT) BK/BH)
1500040083B10S
2
H
nsp
SCREW
(+3S 4*10 P+S-WASHER(ROUND)BK/BH)
B028940101B11S
4
I
nsp
SCREW (+2S 3*8 ZnY WASHER PI12)
1500001456010S
1
M
nsp
SCREW (+2S 3*8 B-TYPE ZNW/WPH)
B020030081W10S
4
N
nsp
SCREW (+2S 2.6*6 B-TYPE ZNW/PH)
B020026061P10S
1
P
nsp
SCREW (+2S 3*8 PI9.5 B-TYPE ZNW)
1500001206010S
2
Q
nsp
SCREW (+M 3*6 BK/BH)
B000030063B10S
7
T
nsp
SCREW (+2S 3*8(ROUND) BK/BH)
U1B,N1B, K1B
B020230083B10S
51
N1SG
B020230083B10S
45
B020030063B10S
1
B020030084B10S
6
1530210126000S
1
T
nsp
SCREW (+2S 3*8(ROUND) BK/BH)
U
nsp
SCREW (+2S 3*6 B-TYPE BK/BH )
V
nsp
SCREW (+2S 3*8 B-TYPE NI/BH)
W
nsp
TOOTHED WASHER
N1SG
107
PACKING VIEW s
Ref.No.
14
7
7
1
6
N1B,N1SG
model
22
17
z
20
N1SG model
16
3
15
4
13
26
11
27
8
U1B model
6
16
N1SG model
13
PARTS LIST OF PACKING & ACCESSORIES s
zParts for which "nsp" is indicated on this table cannot be supplied.
zPart indicated with the mark " ★ " is not illustrated in the exploded view.
zThe parts listed below are for maintenance only, might differ from the parts used in the unit in appearances or dimensions.
Note: The symbols in the column "Remarks" indicate the following destinations.
U : North America model
N : Europe model
B : Black model
SG : Silver gold model
Ref.No.
Part No.
1
SET
2
541110481021M
INSTRUCTION MANUAL(U)
U1B
2
541110481038M
INSTRUCTION MANUAL(N)
N1B,N1SG
INSTRUCTION MANUAL(K)
K1B
2
541110481052M
Part Name
K : China model
Remarks
Q'ty
New
5707000004170S
1
*
5707000004200S
1
*
*
5707000004180S
1
3
90M-ZA000260R
ANTENNA LOOP WIRE 9.5UH
E601016000010S
1
4
00D9600187308
ANTENNA WIRE (FM)
E605010070001S
1
5
307010076002M
REMOCON RC-010SR
8300010000010S
1
6
nsp
6337000240010S
2
POLY BAG
U1B
POLY BAG
N1B,N1SG,K1B
6
nsp
7
963533012280M
CUSHION SNOW L/R
8
531210143000M
BOX GIFT
9
nsp
WARRANTY CARD
U1B
10
nsp
WARRANTY CARD (Canada)
U1B
6337000240010S
3
6230212894000S
1
*
6007211790000S
1
*
5727000000111S
1
5727041650142S
1
108
Q'ty
11
nsp
BATTERY DRY
G670001R50210S
2
13
nsp
SHIPPING LABEL
5507000007500S
1
nsp
PE SHEET
6327040059000S
1
324810004004M
SETUP MIC
AUDYSSEY MIC ACM1H M040000310060S
1
16
nsp
LABEL COLOR
N1SG
2
17
nsp
PAD BOX BACK
20
90M-ZC000470R
CORD ASSY
z
20
90M-ZC000600R
CORD ASSY
N1B,N1SG
L068250160020S
1
z
20
963611004880S
CORD ASSY
K1B
L068250100050S
1
INSTRUCTION MANUAL(N2)
N1B,N1SG
5707000004200S
1
6330210222000S
1
5507000005280S
1
z
29
6
Remarks
14
9 10 30
2
Part Name
15
N1B,N1SG,K1B
model
5
Part No.
U1B
5507000004600S
6240210730000S
1
L068125130010S
1
22
541110481090M
26
nsp
POLY BAG ACCESSORY
27
nsp
LABEL SIRIUS
29
nsp
BUSHING TERMINAL
N1B,N1SG, K1B
2410040353010S
18
30
nsp
PASS CARD
K1B
5777000000020S
1
U1B
New
*
*
SEMICONDUCTORS
Only major semiconductors are shown, general semiconductors etc. are omitted to list.
The semiconductor which described a detailed drawing in a schematic diagram are omitted to list.
1. IC's
P1_1 / D9 / IIO0_1 / IIO1_1
P1_2 / D10 / IIO0_2 / IIO1_2
P1_3 / D11 / IIO0_3 / IIO1_3
P1_4 / D12 / IIO0_4 / IIO1_4
P1_5 / D13 / INT3 / IIO0_5 / IIO1_5
P1_6 / D14 / INT4 / IIO0_6 / IIO1_6
P1_7 / D15 / INT5 / IIO0_7 / IIO1_7
P2_0 / A0 / [A0/D0] / BC0 / [BC0/D0] / AN2_0
P2_1 / A1 / [A1/D1] / BC2 / [BC2/D1] / AN2_1
P2_2 / A2 / [A2/D2] / AN2_2
P2_3 / A3 / [A3/D3] / AN2_3
P2_4 / A4 / [A4/D4] / AN2_4
P2_5 / A5 / [A5/D5] / AN2_5
P2_6 / A6 / [A6/D6] / AN2_6
P2_7 / A7 / [A7/D7] / AN2_7
VSS
P3_0 / A8 / [A8/D8] / TA0OUT / UD0A / UD1A
VCC
P12_0 / D16 / TXD6 / SDA6 / SRXD6
P12_1 / D17 / CLK6
P12_2 / D18 / RXD6 / SCL6 / STXD6
P12_3 / D19 / CTS6 / RTS6 / SS6
P12_4 / D20
P3_1 / A9 / [A9/D9] / TA3OUT / UD0B / UD1B
P3_2 / A10 / [A10/D10] / TA1OUT / V
P3_3 / A11 / [A11/D11] / TA1IN / V
P3_4 / A12 / [A12/D12] / TA2OUT / W
P3_5 / A13 / [A13/D13] / TA2IN / W
P3_6 / A14 / [A14/D14] / TA4OUT / U
P3_7 / A15 / [A15/D15] / TA4IN / U
P4_0 / A16 / CTS3 / RTS3 / SS3
P4_1 / A17 / CLK3
VSS
P4_2 / A18 / RXD3 / SCL3 / STXD3 / ISRXD2 / IEIN
VCC
P4_3 / A19 / TXD3 / SDA3 / SRXD3 / OUTC2_0 / ISTXD2 / IEOUT
R5F64169DFD (HDMI :U3102)
73
74
75
76
77
78
79
80
84
82
81
85
83
87
88
86
89
90
92
91
93
96
94
97
95
98
99
100
101
102
104
105
103
106
108
109
72
110
71
111
70
112
69
113
68
114
67
115
66
116
65
117
64
118
63
119
62
120
61
121
60
R32C/116 GROUP
122
123
124
59
58
57
125
56
PLQP0144KA-A
(144P6Q-A)
(Top view)
126
127
128
129
130
131
55
54
53
52
51
50
36
35
34
33
32
31
30
29
27
28
26
25
24
23
22
21
20
19
17
16
18
P4_4 / CS3 / A20 / CTS6 / RTS6 / SS6
P4_5 / CS2 / A21 / CLK6
P4_6 / CS1 / A22 / RXD6 / SCL6 / STXD6
P4_7 / CS0 / A23 / TXD6 / SDA6 / SRXD6
P12_5 / D21
P12_6 / D22
P12_7 / D23
P5_0 / WR0 / WR
P5_1 / WR1 / BC1
P5_2 / RD
R5_3 / CLKOUT / BCLK
P13_0 / D24 / OUTC2_4
P13_1 / D25 / OUTC2_5
VCC
P13_2 / D26 / OUTC2_6
VSS
P13_3 / D27 / OUTC2_3
P5_4 / HLDA / CS1 / TXD7
P5_5 / HOLD / CLK7
P5_6 / ALE / CS2 / RXD7
P5_7 / RDY / CS3 / CTS7 / RTS7
P13_4 / D28 / OUTC2_0 / ISTXD2 / IEOUT
P13_5 / D29 / OUTC2_2 / ISRXD2 / IEIN
P13_6 / D30 / OUTC2_1 / ISCLK2
P13_7 / D31 / OUTC2_7
P6_0 / TB0IN / CTS0 / RTS0 / SS0
P6_1 / TB1IN / CLK0
P6_2 / TB2IN / RXD0 / SCL0 / STXD0
P6_3 / TXD0 / SDA0 / SRXD0
P6_4 / CTS1 / RTS1 / SS1 / OUTC2_1 / ISCLK2
P6_5 / CLK1
VSS
P6_6 / RXD1 / SCL1 / STXD1
VCC
P6_7 / TXD1 / SDA1 / SRXD1
P7_0 / TA0OUT / TXD2 / SDA2 / SRXD2 / IIO1_6 / OUTC2_0 / ISTXD2 / IEOUT / MSDA
SRXD4 / SDA4 / TXD4 / ANEX1 / P9_6
CLK4 / ANEX0 / P9_5
SS4 / RTS4 / CTS4 / TB4IN / DA1 / P9_4
SS3 / RTS3 / CTS3 / TB3IN / DA0 / P9_3
IEOUT / ISTXD2 / OUTC2_0 / SRXD3 / SDA3 / TXD3 / TB2IN / P9_2
IEIN / ISRXD2 / STXD3 / SCL3 / RXD3 / TB1IN / P9_1
CLK3 / TB0IN / P9_0
INT8 / P14_6
INT7 / P14_5
INT6 / P14_4
P14_3
VDC0
P14_1
VDC1
NSD
CNVSS
XCIN / P8_7
XCOUT / P8_6
RESET
XOUT
VSS
XIN
VCC
NMI / P8_5
INT2 / P8_4
INT1 / P8_3
INT0 / P8_2
UD0B / UD1B / IIO1_5 / RTS5 / CTS5 / SS5 / U / TA4IN / P8_1
UD0A / UD1A / RXD5 / SCL5 / STXD5 / U / TA4OUT / P8_0
UD0B / UD1B / IIO1_4 / CLK5 / TA3IN / P7_7
UD0A / UD1A / IIO1_3 / RTS8 / CTS8 / TXD5 / SDA5 / SRXD5 / TA3OUT / P7_6
IIO1_2 / RXD8 / W / TA2IN / P7_5
IIO1_1 / CLK8 / W / TA2OUT / P7_4
IIO1_0 / TXD8 / SS2 / RTS2 / CTS2 / V / TA1IN / P7_3
CLK2 / V / TA1OUT / P7_2
MSCL / IEIN / ISRXD2 / OUTC2_2 / IIO1_7 / STXD2 / SCL2 / RXD2 / TA0IN / TB5IN / P7_1
15
37
(Note 2)
14
38
144
13
39
143
12
40
142
11
41
141
10
42
140
9
43
139
8
44
138
7
45
137
6
46
136
4
47
135
5
48
134
3
49
133
2
132
1
IIO0_0 / IIO1_0 / D8 / P1_0
AN0_7 / D7 / P0_7
AN0_6 / D6 / P0_6
AN0_5 / D5 / P0_5
AN0_4 / D4 / P0_4
WR3 / BC3 / P11_4
IIO1_3 / RTS8 / CTS8 / WR2 / CS3 / P11_3
IIO1_2 / RXD8 / CS2 / P11_2
IIO1_1 / CLK8 / CS1 / P11_1
IIO1_0 / TXD8 / CS0 / P11_0
AN0_3 / D3 / P0_3
AN0_2 / D2 / P0_2
AN0_1 / D1 / P0_1
AN0_0 / D0 / P0_0
IIO0_7 / RTS6 / CTS6 / SS6 / AN15_7 / P15_7
IIO0_6 / CLK6 / AN15_6 / P15_6
IIO0_5 / RXD6 / SCL6 / STXD6 / AN15_5 / P15_5
IIO0_4 / TXD6 / SDA6 / SRXD6 / AN15_4 / P15_4
IIO0_3 / RTS7 / CTS7 / AN15_3 / P15_3
IIO0_2 / RXD7 / AN15_2 / P15_2
IIO0_1 / CLK7 / AN15_1 / P15_1
VSS
IIO0_0 / TXD7 / AN15_0 / P15_0
VCC
KI3 / AN_7 / P10_7
KI2 / AN_6 / P10_6
KI1 / AN_5 / P10_5
KI0 / AN_4 / P10_4
AN_3 / P10_3
AN_2 / P10_2
AN_1 / P10_1
AVSS
AN_0 / P10_0
VREF
AVCC
STXD4 / SCL4 / RXD4 / ADTRG / P9_7
107
(Note 1)
Notes:
1. Pin names in brackets [ ] represent a functional signal as a whole and should not be considered as two separate pins.
2. The position of pin number 1 varies by product. Refer to the index mark in attached “Package Dimensions”.
109
R5F64169DFD Terminal Functions
Pin
Type
Pullup
SIRIUS RST(U)
O
HDRADIO RST/TU RST/ST IND
O
RC IN
O/(I)
FLASHER IN
O/(I)
HDRADIO MOHI/TU SDIO/TU SDA O
HDRADIO MIHO/TU SCLK/TU SCL I/(0)
USB POWER
O
POWER KEY
I
REQ SOMI
I
MAIN POWER
O
C
C
C
C
C
-/C
C
C
/M3.3
/Pd
M3VPu
-
11 P143
CPU POWER
O
C
-
12
13
14
15
VDC0
NC
VDC1
NSD
I
-
-
Pd
M3VPu
16 CNVss
CNVSS
-
-
Pd
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
USB RESET
REMOTE POWER(232C)
RESET
X2
VSS
X1
VCC1
NC
PLDAERR
B.DOWN
WAKE UP
D5V POWER
KILL IR
FL DATA
FL CE2
SIRIUS MI(U)
RC OUT
SIRIUS MO(U)/RDS DATA(N)
OSD CLK
OSD OE
OSD DATA
O
O
I
I
I
I
O
I/O
O
O
I
O
O/I
O
O
O
C
C
C
-/C
C
C
C
C/N
C
C
C
M3VPu
Pd
38 P67/TXD1
TXD MO232I
O
C
-
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
VCC1
RXD MI232O
VSS
FL CLK
FL CE1
USB RXDMO
USB TXDMI
FL RST
NC
ACK SIMO
CLK SIMO
SOMI
MOSI
NC
NC
FRASH EPM
NC
NC
VSS
NC
VCC2
RST SUB
SCPU POWER
NC
I
O
O
O
I
O
I
O
O
I
O
I
I
I
I
I
I
O
O
I
C
C
C
C
C
C
C
C
C
-
Pd
Pd
Pd
Pd
M3VPu
Pd
Pd
Pd
Pd
1
2
3
4
5
6
7
8
9
10
Pin Name
P96/(TXD4)
P95/(CLK4)
P94/(CTS4)/TB4IN
P93/(CTS3)/TB3IN
P92/TXD3/SDA3
P91/RXD3/SCL3
P90/(CLK3)
P146/INT8
P145/INT7
P144/(INT6)
VDC0
P141 INPUT ONLY
VDC1
NSD
P87/(XCIN)
P86/(XCOUT)
RESET
XOUT
VSS
XIN
VCC
P85/(NMI)
P84/INT2
P83/INT1
P82/INT0
P81
P80/RXD5
P77/(CLK5)
P76/TXD5
P75/RXD8
P74/(CLK8)/TA2OUT
P73/TXD8
P72/(CLK2)
P71/(RXD2)
P70/(TXD2)
VCC
P66/RXD1
VSS
P65/(CLK1)/SCLK(L)
P64/(BUSY)
P63/TXD0
P62/RXD0
P61/(CLK0)
P60/(CTS0)/TB0IN
P137
P136/ISCLK2
P135/ISRXD2
P134/ISTXD2
P57/(RDY)
P56/(ALE)
P55/(HOLD)/EPM
P54/(HLDA)
P133
VSS
P132
VCC
P131
P130
P53/(BCLK)
Synbol
I/O
M3VPu
Pd
(3Vin)/
(3Vin)
-
110
Lv
STBY stop
Function
Cnv
3/5 O/L O/L Terminal for SIRIUS control(U)
O/L O/L HDRADIO/TUNER KST-MW/KST-MT control
I
I RC-5 input terminal
I
I FLASHER input terminal
O/L O/L HDRADIO/TUNER KST-MW/KST-MT control
O/L O/L HDRADIO/TUNER KST-MW/KST-MT control
O/L O/L USB(TELECHIPS) POWER control terminal
I
I POWER KEY (WAIT MODE cancel, interrupt port)
I
O/L MAIN-SUB u-com communication control output terminal
O/L O/L MAIN POWER control terminal
Terminal for MAIN CPU POWER control(POWER ON="H")
O/L O/L
CEC ON : STANDBY ="H")
- Smoothing capacitor connection terminal
- NC
- Smoothing capacitor connection terminal
- Emulator communication pin
Single-chip / Micro-processor mode switching
(Normal single-chip : L, Rewrite boot program start : H input set)
O/L O/L USB(TELECHIPS) POWER control terminal
O/L O/L Terminal for 232C POWER control(ON="H")
- Reset input(Reset ="L")
- Clock output
- GND
- Clock input
- +3V
I
I NC
O/L O/L PLD ERROR detection
I
I Power failure detect(Power failure ="L")
I
I CPU Wakeup(RC IN)
O/L O/L Digital 5V power supply control terminal
5/3 O/L O/L Control terminal for/Front IR Disable
O/L O/L FL control terminal
3/5 O/L O/L FL control order terminal
5/3 O/L O/L Terminal for SIRIUS control (U)
O/L O/L RC-5 output terminal
3/5 O/L O/L Terminal (U)/RDS control for SIRIUS control (N)
3/5 O/L O/L OSD control terminal (LC74781)
3/5 O/L O/L OSD control terminal (LC74781)
3/5 O/L O/L OSD control terminal (LC74781)
Data transfer to external terminal(AMX)/MITSUBISHI writer
O/L O/L
rewrite
- +3V
I
O/L Data received from the external terminal(AMX)/MITSUBISHI writer rewrite
- GND
O/L O/L FL control order terminal
O/L O/L FL control order terminal
O/L O/L USB(TELECHIPS) control terminal
I
O/L USB(TELECHIPS) control terminal
O/L O/L FL control order terminal
- NC
O/L O/L MAIN-SUB μcom communication control output terminal
O/L O/L MAIN-SUB μcom communication control output terminal
I
O/L MAIN-SUB μcom communication control output terminal
O/L O/L MAIN-SUB μcom communication control output terminal
- NC
- NC
- Rewrite boot program start : L input set
- NC
- NC
- GND
- NC
- +3V
O/L O/L Output for reset of sub-μcom
O/L O/L SUB CPU POWER ON/OFF switch("H"=ON)
- NC
Pin
Pin Name
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
P52/(RD)
P51/(WR1)/(BC1)
P50/(WR0)/(WR)/CE
P127
P126
P125
P47/CS0/(A23)
P46/(CS1)/(A22)
P45/(CS2)/(A21)
P44/(CS3)/(A20)
P43/(A19)
VCC
P42/(A18)
VSS
P41/(A17)
P40/(A16)
P37/(A15)
P36/(A14)
P35/(A13)
P34/(A12)
P33/(A11)
P32/(A10)
P31/(A9)
P124
P123
P122/(RXD6)
P121/(CLK6)
P120/(TXD6)
VCC
P30/(A8)
VSS
Type
Pullup
NC
I
NC
I
CE
I
VSEL A
I
VSEL B
I
232C CONTROL(SUB LOG MODE) O
NC
I
NC
I
NC
I
NC
I
OSD PAL_NTSC
O
VCC
NC
I
VSS
NC
I
PROTECTION(THERMAL A)
I
PROTECTION(THERMAL B)
I
PROTECTION(DC DET)
I
PROTECTION (ASO)
I
T.MUTE
O
NC
I
TU_SEN
O
H/P DET
I
NC
I
NC
I
EEPROM SCL
I/O
EEPROM SDA
I/O
NC
I
VCC
MIC DET
I
VSS
-
C
C
C
C
C
C
-
Pd
Pd
Pd
SW3VPu
SW3VPu
Pd
Pd
Pd
Pd
Pd
Pd
SW3VPu
SW3VPu
SW3VPu
SW3VPu
SW3VPu
Pd
Pd
M3VPu
M3VPu
Pd
SW3VPu
-
94 P27/(A7)
DIRECT LED
O
C
-
95
96
97
98
99
100
101
102
103
104
105
106
NC
NC
STANDBY(CEC) LED
M-DAX LED
TRIGGER 2
NC
NC
TRIGGER 1
TU GPO2_INT/TUNED
RDS CLK(E2)
LIMIT DET
A+B LIMIT
O
O
O
O
O
I
I
O
I
I
I
O
C
C
C
C
C
C
C
Pd
Pd
SW3VPu
-
107 P12/(D10)
LIMIT
O
C
-
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
SB RL
H/P RL
FH RL
PRE Z2 MUTE
PRE SB MUTE
PRE SW MUTE
ISEL A
ISEL B
ZVOL CLK
VOL CLK
VOL DATA
S RL
C RL
F RL
F-B RL
ZVOL DATA
USB RDY
O
O
O
O
O
O
I
I
O
O
O
O
O
O
O
O
I
C
C
C
C
C
C
C
C
C
C
C
C
C
C
-
Pd
Pd
Pd
SW3VPu
SW3VPu
NET3VPu
SUB UPDATE
O
C
-
P26/(A6)
P25/(A5)
P24/(A4)
P23/(A3)
P22/(A2)
P21/(A1)
P20/(A0)
P17/(D15)/INT5
P16/(D14)/INT4
P15/(D13)/INT3
P14/(D12)
P13/(D11)
P11/(D9)
P10/(D8)
P07/(D7)
P06/(D6)
P05/(D5)
P04/(D4)
P114
P113
P112/(RXD8)
P111/
P110/(TXD8)
P03/(D3)
P02/(D2)
P01/(D1)
P00/(D0)
P157
P156
125 P155/(RXD6)
Synbol
I/O
111
Lv
STBY stop
Function
Cnv
- NC
- NC
- Rewrite boot program start : H input set
I
I Master Volume rotation detect input (Rotary encoder)
I
I Master Volume rotation detect input (Rotary encoder)
O/L O/L SUB LOG MODE : For 232C route switch control
- NC
- NC
- NC
- NC
3/5 O/L O/L OSD PAL_NTSC switch control
- +3V
- NC
- GND
- NC
I
I PROTECTION detection terminal (THERMAL_A)
I
I PROTECTION detection terminal (THERMAL_B)
I
I PROTECTION detection terminal (ASO)
I
I PROTECTION detection terminal (DC)
O/L O/L ANALOG TUNER MUTE/HDRADIO MUTE control(MUTE="L")
O/L O/L NC
O/L O/L TUNER KST-MW/KST-MT control
O/L O/L Headphone detection terminal
- NC
- NC
I
I Terminal for EEPROM control
I
I Terminal for EEPROM control
- NC
- +3V
O/L O/L Terminal for MIC detection
- GND
SOURCE DIRECT LED(NR1601)
O/L O/L
/PURE DIRECT LED(SR5005)Control terminal(ON="H")
O/L O/L NC
O/L O/L NC
O/L O/L POWER LED control terminal(ON="H")/STANDBY(CEC) LED)
O/L O/L M-DAX LED control terminal(ON="H")
O/L O/L TRIGGER OUT control terminal
- NC
- NC
O/L O/L TRIGGER OUT control terminal
O/L O/L TUNER KST-MW/KST-MT control
3/5
- RDS control (N) (interrupt detection)
I
I LIMIT judgment signal detection input
O/L O/L A+B LIMIT control SURROUND SPEAKER A+B="H"
LIMIT control Mch ST and EXT.IN="H” or
O/L O/L
(LIMIT DET=45sec"L"="H")
O/L O/L RELAY control
O/L O/L HEADPHONE RLY control
O/L O/L RELAY control
O/L O/L PRE OUT MUTE control
O/L O/L PRE OUT MUTE control
O/L O/L PRE OUT MUTE control
I
I Input Selector rotation detect input (Rotary encoder)
I
I Input Selector rotation detect input (Rotary encoder)
O/L O/L ZONE VOL(NJW1194) control
O/L O/L FUNCTION/VOLUME control (R2A15220)
O/L O/L FUNCTION/VOLUME control (R2A15220)
O/L O/L RELAY control
O/L O/L RELAY control
O/L O/L RELAY control
O/L O/L RELAY control
O/L O/L ZONE VOL(NJW1194) control
O/L O/L USB(TELECHIPS) control terminal
SUB UPDATE mode control
O/L O/L
(DFW). Normal="L : SUB rewriting mode shift="H"(SUB reset)
Pin
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
Pin Name
P154/(TXD6)
P153
P152/RXD7
P151/CLK7
VSS
P150/TXD7
VCC
P107/(AN7)/(KI3)
P106/AN6/KI2
P105/AN5/KI1
P104/AN4/KI0
P103/(AN3)
P102/(AN2)
P101/AN1
AVSS
P100/AN0
VREF
AVCC
P97/(RXD4)
Synbol
BT LINK
USB PDN
NC
NC
VSS
NC
VCC
ZVOL STB
KEY3
KEY2
KEY1
NC
NC
MODEL
AVSS
MODE
VREF
AVCC
STANDBY(Normal/232C) LED
I/O
Type
Pullup
I
O
I
I
I
O
I
I
I
I
I
I
I
O
C
C
C
SW3VPu
NET3VPu
Pd
Pd
Pd
M3VPu
M3VPu
M3VPu
Pd
Pd
M3VPu/Pd
M3VPu/Pd
-
112
Lv
STBY stop
Function
Cnv
O/L O/L Bluetooth detection terminal
O/L O/L USB(TELECHIPS) control terminal
- NC
- NC
- GND
- NC
- +3V
O/L O/L ZONE VOL(NJW1194) control
I
I Button input 3
I
I Button input 2
I
I Button input 1
- NC
- NC
I
I MODEL switch input
- Analog GND
I
I Destination switch input
- Standard power supply input +3V
- Analog power supply +3V
O/H O/L STANDBY(Normal/232C) LED
R5F3650KNFB (HDMI : U3301)
113
R5F3650KNFB Terminal Functions
Z
Z
Z
Z
Z
-
PURE
D
O/L
O/L
O/L
-
Pd
-
-
-
SCPU3VPu
-
Z
Z
L
-
O/L
O/L
-
-
-
Pd
-
-
-
E↓&L
-
SCPU3VPu
Z
-
I
-
E↓&L
-
-
Z
-
SUB BDOWN
ABT RST
NC
SUB TDO
I
O
I
I
C
-
E↓&L
-
-
Pd
-
Z
Z
Z
Z
O/H
O/L
22 P76/(TXD5)
A PLD CS /"D/M"
O
C
-
-
-
Z
O/L
23
24
25
26
A PLD DATA
A PLD CLK
NC
DA POWER
O
O
I
O
C
C
C
-
-
Pd
-
Z
Z
Z
Z
O/L
O/L
Z
HSCL(400k)
I/O
N
-
-
CEC3VPu
Z
O/L
HSDA(400k)
I/O
N
-
-
CEC3VPu
Z
O/L
TXD
RXD
SCLK
NC
SOMI
SIMO
CLK SIMO
REQ SOMI
NC
DV POWER2
EPM
CEC_OUT
NC
NC
NC
O
I
I
I
O
I
I
O
O
O
I
O
I
I
I
C
C
C
C
C
C
-
Lv
-
-
SCPU3VPu
SCPU3VPu
Pd
Pd
Pd
Pd
Pd
Pd
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
O/L
O/L
-
44 P50/CE
CE/DSP BOOT
O/I
C
-
-
SCPU3VPu
Z
O/L
45
46
47
48
49
50
COMP SW2
COMP SW1
NC
HPD1
NC
NC
O
O
I
O
I
I
C
C
C
-
-
-
Pd
Pd
Pd
Z
Z
Z
Z
Z
Z
O/L
O/L
O/L
-
51 P41
CEC POWER
O
C
-
-
-
Z
O/L
52
53
54
55
56
57
HPD2
HDMIR_RST
1TX RST
NC
Z1 SSIGDET
HPD3
O
O
O
I
I
O
C
C
C
C
Lv
-
-
SCPU3VPu
SCPU3VPu
Pd
SCPU3VPu
-
Z
Z
Z
Z
Z
Z
O/L
O/H
O/H
O/L
Pin
1
2
3
4
5
6
Pin Name
I/O Type Det
Op
Pu/Pd
(Int.) (Ext.)
Pd
DA3.3Pu
-
NC
DIR CE
DIR DIN
DIR DOUT
DIR CLK
BYTE
I
O
O
I
O
-
C
C
C
-
Lv
-
7 CNVCS
CNVSS
-
-
-
-
8
9
10
11
12
13
14
P87
P86
RESET
XOUT
VSS
XIN
VCC
P85(N)/(NMI)/
15
(CEC)
16 P84/INT2
ADC RST
NC
SUBRESET
X1
VSS
X2
VCC
O
O
I
O
I
-
C
C
-
Lv
-
(CEC_IN)
I
-
CEC_IN
I
17 P83/INT1
ACK SIMO
18
19
20
21
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
P94
P93
P92/SOUT3
P91/SIN3
P90/CLK3
BYTE
Synbol
P82/INT0
P81
P80/(RXD5)
P77/(CLK5)
P75
P74
P73/CTS2
P72/CLK2
P71(N)/RXD2/
SCLMM
P70(N)/TXD2/
SDAMM
P67/TXD1
P66/RXD1
P65/CLK1/SCLK
P64/CTS1
P63/TXD0
P62/RXD0
P61/CLK0
P60/CTS0
P57
P56
P55/EPM
P54
P53
P52
P51
P47/(TXD7)/(SDA7)
P46/(RXD7)/(SCL7)
P45/(CLK7)
P44
P43
P42
P40
P37
P36
P35
P34
P33
Res
114
CEC
P.OFF
Function
STBY
Z NC
O/L
Z DIR control pin (LC89058W-VF4A)
O/L
Z DIR control pin (LC89058W-VF4A)
Z DIR control pin (LC89058W-VF4A)
O/L
Z DIR control pin (LC89058W-VF4A)
- GND(Ext. data bus bit width switching, 16bit : L)
Single-chip/Micro-processor mode switching
(Normal single-chip : L, Rewrite boot program start : H input set)
O/L
Z AD(******) control pin
O/L
Z DIR control pin (LC89058W-VF4A)
Z Reset input
- Oscillator connection
- GND
- Oscillator connection
- +3.3V
NC
("H" fixed/Reserve (16pin CEC-D signal input for TEST))
Z CEC-D signal input pin
MAIN-SUB ucom communication control input pin
Z
(MAIN ucom Hack:L Return)
Z Power failure detect(Power failure:L)
O/H
Z IP CONV(ABT2015) Reset
Z NC
O/L
Z PLD rewriting control (JTAG)
A PLD control pin/ DFW
O/L
/MITSUBISHI rewritten for determining (DW :L)
O/L
Z A PLD control pin
O/L
Z A PLD cotrol pin
Z NC
Z DIGITAL power (DA3.3V,DA1.2V) ON/OFF control (H: ON)
VIDEO I2C- IP CONV(ABT1030)/HDMI_R(ADV7840)
O/L
O/L
/HDMI T(ADV7511)
VIDEO I2C- IP CONV(ABT1030)/HDMI_R(ADV7840)
O/L
O/L
/HDMI T(ADV7511)
Z Data transmission output to external
Z Data reception input from the external
Z Emulator communication pin
Z NC
Z MAIN-SUB ucom communication control pin
Z MAIN-SUB ucom communication control pin
Z MAIN-SUB ucom communication control pin
Z MAIN-SUB ucom communication control pin
O/L
O/L NC
Z DIGITAL.VIDEO power control pin (DV1.8V)
Z Rewrite boot program start : L input set
Z CEC-D signal input pin
Z NC
Z NC
Z NC
MONI SEL(for Dual Moni)(MAX4886)
Z
/Rewrite boot program start : H input set
O/L
O/L VIDEO SELECT IC(NJW2586)
O/L
O/L VIDEO SELECT IC(NJW2586)
Z NC
O/L
Z HP DET control pin
Z NC
Z NC
Power ON (CEC5V,CEC3.3V,CEC1.8V) for CEC
O/H
Z
STANDBY
O/L
Z HP DET control pin
Z Reset for HDMI RECEIVER(ADV7840)
Z Reset for HDMI TRANSMITTER (ADV7511)
Z NC
Z S signal presence detection input (Connected: H)
O/L
Z HP DET control pin
DAC MDI
DAC MC
VCC
DAC MS
VSS
DAC RST
O
O
O
O
C
C
C
C
-
Op
(Int.)
-
64 P26
DV POWER
O
C
-
-
65 P25/INT7
NC
I
-
Lv
66 P24/INT6
1TX INT
I
-
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
SUB TMS
VEXP STB
VEXP OE
VEXP CLK
ADVINT1
ADVINT2
ADVINT3
HPD4
DSP MOSI
DSP MISO
DSPICLK
Z1VSIG.DET
SUB TDI
NC
NC
NC
SUB TCK
NC
NC
DIR RST1
DSP RST
NC
DSP ROMRST
COMPS DET
DSP FLAG0
DSP ICS
NC
AVSS
NC
VREF
AVCC
NC
NC
VEXP DIN
O
O
O
O
I
I
I
O
O
I
O
I
O
I
I
I
O
I
I
O
O
I
O
I
I
O
I
I
I
I
O
C
C
C
C
C
C
C
C
C
C
C
C
C
C
Pin
58
59
60
61
62
63
Pin Name
P32
P31
VCC
P30
VSS
P27
P23
P22
P21
P20
P17/INT5
P16/INT4
P15/INT3
P14
P13/TXD6
P12/RXD6
P11/CLK6
P10
P07
P06
P05
P04
P03
P02
P01
P00
P107/(AN7)
P106/(AN6)
P105/(AN5)
P104/(AN4)
P103/(AN3)
P102/(AN2)
P101/(AN1)
AVSS
P100/(AN0)
VREF
AVCC
P97/(SIN4)
P96/(SOUT4)
P95/(CLK4)
Synbol
Z
Z
Z
Z
PURE
D
O/L
O/L
O/L
O/H
-
Z
O/L
-
Pd
Z
-
Lv
-
DV3Pu
Z
-
E↓&L
E↓&L
E↓&L
Lv
Lv
Lv
Lv
-
-
DA3.3Pu
DA3VPu
DA3VPu
DA3VPu
SCPU3VPu
DA3.3Pu
Pd
Pd
Pd
Pd
Pd
Pd
Pd
SCPU3VPu
Pd
DA3VPu
Pd
Pd
Pd
Pd
-
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
O/L
I/O Type Det
Pu/Pd
(Ext.)
-
Res
115
CEC
P.OFF
Function
STBY
O/L
Z DAC control pin (ASK4358)
O/L
Z DAC control pin (ASK4358)
- +3.3V
O/L
Z DAC control pin (ASK4358)
- GND
O/L
Z DAC control pin (ASK4358)
MODE1=O/H
Z "DIGITAL VIDEO power control pin (DV5V,DV3.3V)
MODE2=O/L
Z NC
HDMI OUT1 signal presence detection input
Z
(HDMI TRANS1 ADV7511)
Z PLD rewriting control (JTAG)
O/L
Z NC
O/L
Z NC
O/L
Z NC
Z HDMI RECEIVER(ADV7840) INT1 output
Z HDMI RECEIVER(ADV7840) INT2 output
Z HDMI RECEIVER(ADV7840) INT3 output
O/L
Z HP DET control pin
O/L
Z DSP control pin (ADSP-21367-333)
Z DSP control pin (ADSP-21367-333)
O/L
Z DSP control pin (ADSP-21367-333)
Z VIDEO IN signal presence detection input signal (input:H)
O/L
Z PLD rewriting control (JTAG)
Z NC
Z NC
Z NC
Z PLD rewriting control (JTAG)
Z NC
Z NC
O/L
O/L DIR control pin (LC89058W-VF4A)
O/L
Z DSP(ADSP-21367-333) reset output pin (Reset : L)
Z NC
O/L
Z Memory reset for DSP (Reset : L)
Z COMPONENT IN signal presence detection input
Z DSP control pin ADSP-21367-333
O/L
Z DSP control pin ADSP-21367-333
Z NC
- AD GND
Z NC
- AD standard +3.3V
- AD +3.3V
Z NC
Z NC
O/L
Z NC
100 GND
99 GND
98 HSYNC
97 DE
96 D0
95 D1
94 D2
93 D3
92 D4
91 D5
90 D6
89 D7
88 D8
87 D9
86 D10
85 D11
84 D12
83 D13
82 D14
81 D15
80 D16
79 CLK
78 D17
77 DVDD
76 DVDD
ADV7511BSTZ (HDMI : U1501)
DVDD 1
VSYNC 2
DSD0 3
DSD1 4
DSD2 5
DSD3 6
DSD4 7
DSD5 8
DSD_ CLK 9
SPDIF 10
MCLK 11
I2S0 12
I2S1 13
I2S2 14
I2S3 15
SCLK 16
LRCLK 17
GND 18
DVDD 19
GND 20
PVDD 21
GND 22
GND 23
PVDD 24
PVDD 25
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
PIN 1
INDICATOR
ADV 7511
BGV DD 26
GND 27
R_EXT 28
AVDD 29
HPD 30
GND 31
TXC– 32
TXC+ 33
AVDD 34
TX0– 35
TX0+ 36
GND 37
PD 38
TX1– 39
TX1+ 40
AV DD 41
TX2– 42
TX2+ 43
GND 44
INT 45
SPDIF_OUT 46
DVDD_3V 47
CEC_IN 48
DVDD 49
CEC_CLK 50
TOP VIEW
( Not to Scale)
GND
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
SDA
SCL
DDCSDA
DDCSCL
HEAC+
HEAC-
ADV7511BSTZ Block diagram
HEAC+
HEAC-
CEC CONTROLLER/
BUFFER
ARC
SPDIF
SPDIF_OUT
CEC_IO
CEC_CLK
HDCP KEYS
I2S[3:0]
DSD[5:0]
MCLK
LRCLK
SCLK
DSD_CLK
AUDIO
DATA
CAPTURE
D[35:0]
VSYNC
HSYNC
DE
VIDEO
DATA
CAPTURE
HDCP
ENCRYPTION
4:2:2
4:4:4
AND
COLOR
SPACE
CONVERTER
TX1+/TX1–
TMDS
OUTPUTS
CLK
HPD
INT
SDA
SCL
TX0+/TX0–
I2 C
SLAVE
REGISTERS
AND
CONFIG.
LOGIC
HDCP
AND EDID
MICROCONTROLLER
ADV7511
116
TX2+/TX2–
TXC+/TXC–
I2 C
MASTER
DDCSDA
DDCSCL
DGND
XMCK
DVDD
XIN
XOUT
DVDD
DGND
AUDIO
MOUT
INT
CKST
RERR
LC89058W-E (HDMI : U1706)
36 35 34 33 32 31 30 29 28 27 26 25
24 SDIN
DO 37
DI 38
23 SLRCK
CE 39
22 SBCK
CL 40
21 RDATA
XMODE 41
20 RLRCK
DGND 42
19 DVDD
LC89058W-E
DVDD 43
18 DGND
GPIO0 44
17 RBCK
GPIO1 45
16 RMCK
GPIO2 46
15 AGND
GPIO3 47
14 AVDD
RXOUT2 48
4
5
6
7
8
9
10 11 12
* RX0
* RX1
* RX2
* RX3
DGND
DVDD
* RX4
* RX5
* RX6
DGND
3
DVDD
2
RXOUT1
13 LPF
1
* : Pull-down resistor internal at no selection
Pin Functions
Pin No.
Name
I/O
1
RXOUT1
O
Function
2
RX0
I5(pd)
3
RX1
I(pd)
Co-axial compatible S/PDIF input pin (supported demodulation sampling frequency of up to 96kHz)
4
RX2
I5(pd)
5V withstand voltage TTL input level compatible S/PDIF input pin (connected to GND when RX1 is set)
5
RX3
I5(pd)
5V withstand voltage TTL input level compatible S/PDIF input pin
6
DGND
Digital GND
7
DVDD
Digital power supply (3.3V)
8
RX4
I5(pd)
5V tolerable TTL input level compatible S/PDIF input pin
9
RX5
I5(pd)
5V tolerable TTL input level compatible S/PDIF input pin
10
RX6
I5(pd)
11
DVDD
12
DGND
13
LPF
14
AVDD
RX0-6 input S/PDIF through output pin 1
5V withstand voltage TTL input level compatible S/PDIF input pin (connected to GND when RX1 is set)
5V tolerable TTL input level compatible S/PDIF input pin
Digital power supply (3.3V)
Digital GND
O
PLL loop filter connection pin
Analog power supply (3.3V)
15
AGND
16
RMCK
O
Analog GND
R system clock output pin (VCO, 512fs, XIN)
17
RBCK
O/I
R system bit clock I/O pin (64fs)
18
DGND
Digital GND
19
DVDD
Digital power supply (3.3V)
20
RLRCK
O/I
R system LR clock I/O pin (fs)
21
RDATA
O
Serial audio data output pin
22
SBCK
O
S system bit clock output pin (16fs, 32fs, 64fs, 128fs)
23
SLRCK
O
S system LR clock output pin (fs/4, fs/2, fs, 2fs)
24
SDIN
I5
External serial audio data input pin
117
Pin No.
Name
25
DGND
I/O
Function
Digital GND
26
DVDD
27
XMCK
O
Oscillation amplifier clock output pin
Digital power supply (3.3V)
28
XOUT
O
Output pin connected to the resonator
29
XIN
I
External clock input pin, connected to the resonator (12.288MHz/24.576MHz)
30
DVDD
31
DGND
32
MOUT
I/O
Emphasis information || Input fs monitor output || Chip address setting input pin
33
AUDIO
I/O
Channel status bit 1 output || Chip address setting input pin
34
CKST
I/O
Clock switching transition period signal output || Master/slave setting input pin
35
INT
I/O
Microcontroller interrupt signal output || Pins44-48 I/O setting input pin
36
RERR
O
PLL lock error, data error flag output pin
37
DO
O
CCB microcontroller I/F, read data output pin (3-state)
38
DI
I5
CCB microcontroller I/F, write data input pin
39
CE
I5
CCB microcontroller I/F, chip enable input pin
40
CL
I5
CCB microcontroller I/F, clock input pin
41
XMODE
I5
System reset input pin
42
DGND
Digital GND
43
DVDD
Digital power supply (3.3V)
44
GPIO0
O/I
General-purpose I/O pin || Selector input pin (output referred to RDATA pin)
45
GPIO1
O/I
General-purpose I/O pin || Selector input pin (output referred to RLRCK pin)
46
GPIO2
O/I
General-purpose I/O pin || Selector input pin (output referred to RBCK pin)
47
GPIO3
O/I
General-purpose I/O pin || Selector input pin (output referred to RMCK pin)
48
RXOUT2
O
RX0-6 input S/PDIF through output pin 2
Digital power supply
Digital GND
* Input voltage: I= -0.3 to 3.6V, I5 = -0.3 to 5.5V
* Output voltage: O= -0.3 to 3.6V
* Pins 2, 4, 5, 8, 9, 10, 24, 38, 39, 40, and 41 have an internal pull-down resistor (pd).
Their level is fixed when they are unselected.
* Pins 32 and 33 are input pins for chip address setting when pin 41 is held at the low level.
* Pin 34 serves as the input pin for designating as the master or slave when pin 41 is held at the low level.
* Pin 35 serves as the input pin for configuring the I/O of pins 44 to 47 when pin 41 is held at the low level.
* The DVDD and AVDD pins must be held at the same level and turned on and off at the same timing to preclude
Latch-up conditions.
LC89058W-E Block diagram
MOUT
INT
AUDIO
32
35
33
41
XMODE
40
CL
Fs calculator
GPIO0
44
GPIO1
45
GPIO2
46
GPIO3
47
RXOUT2
48
RXOUT1
1
RX0
2
RX1
3
RX2
4
RX3
5
RX4
8
RX5
9
RX6
10
LPF
13
XIN
29
XOUT
28
XMCK
27
Microcontroller
I/F
Cbit, Pc
Demodulation
&
Lock detect
Data
Selector
Input
Selector
PLL
Clock
Selector
Oscillation
Amplifier
34
CKST
118
Clock
Divider
39
CE
38
DI
37
DO
36
RERR
24
SDIN
21
RDATA
16
RMCK
17
RBCK
20
RLRCK
22
SBCK
23
SLRCK
ADSP21367KSWZ2A (HDMI : U1901)
208
157
156
1
PIN 1 INDICATOR
TOP VIEW
(PINS DOWN)
105
52
53
104
ADSP21367KSWZ2A Terminal Function
Pin No.
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
Signal
VDD
DATA28
DATA27
GND
IOVDD
DATA26
DATA25
DATA24
DATA23
GND
VDD
DATA22
DATA21
DATA20
IOVDD
GND
DATA19
DATA18
VDD
GND
DATA17
VDD
GND
VDD
GND
DATA16
DATA15
DATA14
DATA13
DATA12
IOVDD
GND
VDD
GND
DATA11
DATA10
DATA9
DATA8
DATA7
DATA6
IOVDD
GND
VDD
DATA4
DATA5
DATA2
DATA3
DATA0
DATA1
IOVDD
GND
VDD
Pin No.
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
Signal
VDD
GND
IOVDD
ADDR0
ADDR2
ADDR1
ADDR4
ADDR3
ADDR5
GND
VDD
GND
IOVDD
ADDR6
ADDR7
ADDR8
ADDR9
ADDR10
GND
VDD
GND
IOVDD
ADDR11
ADDR12
ADDR13
GND
VDD
AVSS
AVDD
GND
CLKIN
XTAL2
IOVDD
GND
VDD
ADDR14
GND
IOVDD
ADDR15
ADDR16
ADDR17
ADDR18
GND
IOVDD
ADDR19
ADDR20
ADDR21
ADDR23
ADDR22
MS1
MS0
VDD
Pin No.
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
119
Signal
VDD
GND
IOVDD
SDCAS
SDRAS
SDCKE
SDWE
WR
SDA10
GND
IOVDD
SDCLK0
GND
VDD
RD
ACK
FLAG3
FLAG2
FLAG1
FLAG0
DAI20
GND
VDD
GND
IOVDD
DAI19
DAI18
DAI17
DAI16
DAI15
DAI14
DAI13
DAI12
VDD
IOVDD
GND
VDD
GND
DAI11
DAI10
DAI8
DAI9
DAI6
DAI7
DAI5
IOVDD
GND
VDD
GND
VDD
GND
VDD
Pin No.
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
Signal
VDD
VDD
GND
VDD
VDD
VDD
TDI
TRST
TCK
GND
VDD
TMS
CLK_CFG0
BOOTCFG0
CLK_CFG1
EMU
BOOTCFG1
TDO
DAI4
DAI2
DAI3
DAI1
IOVDD
GND
VDD
GND
DPI14
DPI13
DPI12
DPI11
DPI10
DPI9
DPI8
DPI7
IOVDD
GND
VDD
GND
DPI6
DPI5
DPI4
DPI3
DPI1
DPI2
CLKOUT
RESET
IOVDD
GND
DATA30
DATA31
DATA29
VDD
W9864G2IH-6 (HDMI : U1902)
9''
966
'4
'4
9''4
9664
'4
'4
'4
'4
9664
9''4
'4
'4
'4
'4
9''4
9664
'4
'4
'4
'4
9664
9''4
'4
'4
1&
1&
9''
966
'40
'40
:(
1&
&$6
1&
5$6
&/.
&6
&.(
1&
$
%6
$
%6
$
$$3
$
$
$
$
$
$
$
'40
'40
9''
966
1&
1&
'4
'4
9664
9''4
'4
'4
'4
'4
9''4
9664
'4
'4
'4
'4
9664
9''4
'4
'4
'4
'4
9''4
9664
'4
'4
9''
966
120
W9864G2IH-6 Block diagram
CLK
CLOCK
BUFFER
CKE
CONTROL
CS
SIGNAL
RAS
GENERATOR
COMMAND
CAS
DECODER
COLUMN DECODER
A10
MODE
REGISTER
A0
CELL ARRAY
BANK #1
SENSE AMPLIFIER
SENSE AMPLIFIER
ADDRESS
BUFFER
DATA CONTROL
CIRCUIT
DQ
BUFFER
DQ0
DQ31
COLUMN
COUNTER
DQM0~3
COLUMN DECODER
CELL ARRAY
BANK #2
SENSE AMPLIFIER
121
COLUMN DECODER
ROW DECODER
REFRESH
COUNTER
ROW DECODER
A9
BS0
BS1
CELL ARRAY
BANK #0
COLUMN DECODER
ROW DECODER
ROW DECODER
WE
CELL ARRAY
BANK #3
SENSE AMPLIFIER
W9864G2IH-6 Pin description
PIN NUMBER
PIN NAME
FUNCTION
DESCRIPTION
24, 25, 26, 27, 60, 61, 62,
63, 64, 65, 66
A0−A10
Address
Multiplexed pins for row and column address.
Row address: A0−A10. Column address: A0−A7.
A10 is sampled during a precharge command to
determine if all banks are to be precharged or
bank selected by BS0, BS1.
22, 23
BS0, BS1
Bank Select
Select bank to activate during row address latch
time, or bank to read/write during address latch
time.
2, 4, 5, 7, 8, 10, 11, 13, 31,
33, 34, 36, 37, 39, 40, 42,
45, 47, 48, 50, 51, 53, 54,
56, 74, 76, 77, 79, 80, 82,
83, 85
DQ0−DQ31
20
CS
Chip Select
19
RAS
Row Address
Strobe
18
CAS
17
WE
Write Enable
Referred to RAS
16, 28, 59, 71
DQM0−DQM3
Input/Output
Mask
The output buffer is placed at Hi-Z (with latency
of 2) when DQM is sampled high in read cycle.
In write cycle, sampling DQM high will block the
write operation with zero latency.
68
CLK
Clock Inputs
System clock used to sample inputs on the rising
edge of clock.
CKE controls the clock activation and
deactivation. When CKE is low, Power Down
mode, Suspend mode, or Self Refresh mode is
entered.
Data
Input/ Output
Multiplexed pins for data output and input.
Disable or enable the command decoder. When
command decoder is disabled, new command is
ignored and previous operation continues.
Command input. When sampled at the rising
edge of the clock RAS , CAS and WE
define the operation to be executed.
Column Address
Referred to RAS
Strobe
67
CKE
Clock Enable
1, 15, 29, 43
VDD
Power
Power for input buffers and logic circuit inside
DRAM.
44, 58, 72, 86
VSS
Ground
Ground for input buffers and logic circuit inside
DRAM.
3, 9, 35, 41, 49, 55, 75, 81
VDDQ
Power for I/O
Buffer
6, 12, 32, 38, 46, 52, 78, 84
VSSQ
Ground for I/O Separated ground from VSS, to improve DQ
Buffer
noise immunity.
14, 21, 30, 57, 69, 70, 73
NC
Separated power from VDD, to improve DQ
noise immunity.
No Connection No connection.
122
EN29LV160BB (HDMI : U1903)
EN29LV160BT (HDMI : U2301)
A15
A14
A13
A12
A11
A10
A9
A8
A19
NC
WE#
RESET#
NC
NC
RY/BY#
A18
A17
A7
A6
A5
A4
A3
A2
A1
: Bottom boot Sector
: Top boot Sector
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
Standa rd
TSOP
A16
BYTE#
Vss
DQ15/A-1
DQ7
DQ14
DQ6
DQ13
DQ5
DQ12
DQ4
Vcc
DQ11
DQ3
DQ10
DQ2
DQ9
DQ1
DQ8
DQ0
OE#
Vss
CE#
A0
EN29LV160BB/EN29LV160BT Block Diagram
RY/BY#
Vcc
Vss
DQ0-DQ15 (A-1)
Block Protect Switches
Erase VoltageGenerator
Input/Output Buffers
State
Control
WE#
Command
Register
Program Voltage
Generator
Chip Enable
Output Enable
Logic
CE#
OE#
Vcc Detector
Timer
A0-A19
123
Address Latch
STB
STB
Data Latch
Y-Decoder
Y-Gating
X-Decoder
Cell Matrix
GPIO_D19 / UART1RXD
GPIO_D18 / UART1TXD
GPIO_D17
GPIO_D16
GPIO_D15 / UART1CTSn
VDDI
GPIO_B25 / DAI
GPIO_B24 / DAO
GPIO_B23 / MCLK
GPIO_B22 / LRCK
GPIO_B21 / BCLK
VDDIO
VDDUSB
USBH1_DP / GPIO_B26
USBH1_DN / GPIO_B27
VSS
USBH0_DP / GPIO_B28
USBH0_DN / GPIO_B29
MODE1
nRESET
VSSPLL
XFILT
VDDPLL
VDDPLL1
XFILT1
VSSPLL1
VSSADC
VDDADC
ADIN0
ADIN2
ADIN4
VDDIO
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
TCC8600 (HDMI : U2304)
VSS
97
64
READY / MODE0
UART1RTSn / GPIO_D20
98
63
GPIO_B9 / UART0RXD
GPIO_D21
TDI / GPIO_J1
99
62
100
61
GPIO_B8 / UART0TXD
ND_nWE / GPIO_B7
TMS / GPIO_J2
101
60
GPIO_B6 / IDE_nCS1
TCK / GPIO_J3
102
59
TDO / GPIO_J0
103
58
VSS
VDDI
nTRST / GPIO_J4
104
57
XTIN
SPDIFTX / GPIO_A0
105
56
CBCLK / GPIO_A1
106
55
XTOUT
XIN
CLRCK / GPIO_A2
107
54
CDAI / GPIO_A3
108
53
XOUT
nCS3 / nOE3 / GPIO_B5
GPIO_A4
109
52
nCS2 / nOE2 / GPIO_B4
VDDI
110
51
nCS1 / nOE1 / GPIO_B3
GPIO_A5
111
50
nCS0 / nOE0 / GPIO_B2
GPIO_A6
112
49
nOE
GPIO_A7
113
GPIO_A8
114
TCC8600
48
nWE
47
SD_nCS / GPIO_B1
24
25
26
27
28
29
30
31
32
VSS
VDDIO
XA7
XA8
XA9
XA10
XA11
XA12
22
XA5
VDDI
21
XA4
23
20
XA3
XA6
19
XA2
XA13 / BA0
18
33
XA1
128
17
XA14 / BA1
XD3
16
34
XD15
XA0
127
15
XA15 / nCAS
XD2
XD14
35
14
126
XD13
XA16 / nRAS/ALE
XD1
13
36
XD12
XA17 / CLE
125
12
37
XD11
124
11
XA18 / DQM0
VDDI
XD0
XD10
XA19 / DQM1
38
10
39
123
XD9
122
EXINT3 / GPIO_A15
9
EXINT2 / GPIO_A14
VDDIO
XA20 / DQM1
VDDI
8
40
VSS
41
121
7
120
EXINT1 / GPIO_A13
VDDI
EXINT0 / GPIO_A12
6
VDDIO
XA21 / DQM0
XD8
42
5
119
XD7
SD_CLK
43
4
44
118
XD6
117
VSS
VDDIO
3
GPIO_A11
XD5
SD_CKE / GPIO_B0
VSS
2
45
1
46
116
XD4
115
VSS
GPIO_A9
GPIO_A10
TCC8600 Block Diagram
USBH1_DP / GPIO_B[26], USBH1_DN / GPIO_B[27]
USBH0_DP / GPIO_B[28], USBH0_DN / GPIO_B[29]
Two PLLs &
CLK Generator
USB
Host
SRAM
(16KB)
ECC
Generation
AHB Wrapper
DQM[0:1] / XA[21:20]
DQM[1:0] / XA[19:18]
ND_CLE / XA[17]
ND_ALE / SD_nRAS / XA[16]
SD_nCAS / XA[15]
SD_BA[1:0] / XA[14:13]
XA[12:0]
XD[15:0]
nOE, nWE, nCS[3:0]
SD_nCS, SD_CKE
SD_CLK
ND_nWE
IDE_nCS1
READY
ARM940T
AHB Arbiter
APB
TDI, TMS, TCK
nTRST, TDO
AHB
APB
Bridge
XIN, XOUT
XTIN, XTOUT
XFILT, XFILT1
Timers /
Counters
TCO/GPIO
Interrupt
Controller
EXINT
SPI/
GSIO
GSCK
GSFRM
GSDI
GSDO
GPIO
GPIO Pins
UART
GPIO Pins
I2C
GPIO Pins
DMA
Ext. Memory
Interface
DAI (I2S)
SPDIF
ADC
DAO
MCLK
BCLK
LRCKO
DAI
/ GPIO_B[24]
/ GPIO_B[23]
/ GPIO_B[21]
/ GPIO_B[22]
/ GPIO_B[25]
124
GPIO_A[3] /
GPIO_A[2] /
GPIO_A[1] /
GPIO_A[0] /
CDAI
CLRCK
CBCLK
SPDIFTX
ADINi
TCC8600 Pin Description
Signal Name
SD_CLK
SD_CKE / GPIO_B[0]
SD_nCS / GPIO_B[1]
XA[21] / DQM[0]
XA[20] / DQM[1]
XA[19] / DQM[1]
XA[18] / DQM[0]
XA[17] / ND_CLE
XA[16] / SD_nRAS / ND_ALE
XA[15] / SD_nCAS
XA[14] / SD_BA[1]
XA[13] / SD_BA[0]
XA[12]
XA[11]
XA[10]
XA[9]
XA[8]
XA[7]
XA[6]
XA[5]
XA[4]
XA[3]
XA[2]
XA[1]
XA[0]
XD[15]
XD[14]
XD[13]
XD[12]
XD[11]
XD[10]
XD[9]
XD[8]
XD[7]
XD[6]
XD[5]
XD[4]
XD[3]
XD[2]
XD[1]
XD[0]
nWE
nOE
ND_nWE / GPIO_B[7]
nCS[3] / ND_nOE[3] / GPIO_B[5]
nCS[2] / ND_nOE[2] / GPIO_B[4]
nCS[1] / ND_nOE[1] / GPIO_B[3]
nCS[0] / ND_nOE[0] / GPIO_B[2]
READY / MODE0
USBH1_DP / GPIO_B[26]
USBH1_DN / GPIO_B[27]
USBH0_DP / GPIO_B[28]
USBH0_DN / GPIO_B[29]
p
Pin# Type Description – TCC8600
44
46
47
42
41
39
38
37
36
35
34
33
32
31
30
29
28
27
23
22
21
20
19
18
17
16
15
14
13
12
11
10
6
5
4
3
2
128
127
126
125
48
49
61
53
52
51
50
64
83
82
80
79
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I
I/O
I/O
I/O
I/O
SDRAM Clock
SDRAM Clock Enable signal. Active high. / GPIO_B[0]
Chip select signal for SDRAM, Active low / GPIO_B[1]
External Bus Address Bit [21] / Data I/O Mask 0
External Bus Address Bit [20] / Data I/O Mask 1
External Bus Address Bit [19] / Data I/O Mask 1
External Bus Address Bit [18] / Data I/O Mask 0
External Bus Address Bit [17] / CLE for NAND Flash
External Bus Address Bit [16] / SDRAM RAS signal / ALE for NAND Flash
External Bus Address Bit [15] / SDRAM CAS signal
External Bus Address Bit [14] / SDRAM Bank Address 1
External Bus Address Bit [13] / SDRAM Bank Address 0.
External Bus Address Bit [12]
External Bus Address Bit [11]
External Bus Address Bit [10]
External Bus Address Bit [9]
External Bus Address Bit [8]
External Bus Address Bit [7]
External Bus Address Bit [6]
External Bus Address Bit [5]
External Bus Address Bit [4]
External Bus Address Bit [3]
External Bus Address Bit [2]
External Bus Address Bit [1]
External Bus Address Bit [0]
External Bus Data Bit [15]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [14]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [13]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [12]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [11]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [10]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [9]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [8]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [7]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [6]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [5]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [4]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [3]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [2]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [1]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [0]. Internal pull-up resistor enabled at reset.
Static Memory Write Enable signal. Active low.
Static Memory Output Enable signal. Active low.
NAND flash WE. Active low. / GPIO_B[7]
External Bus Chip Select [3] / NAND Flash Output Enable [3] / GPIO_B[5]
External Bus Chip Select [2] / NAND Flash Output Enable [2] / GPIO_B[4]
External Bus Chip Select [1] / NAND Flash Output Enable [1] / GPIO_B[3]
External Bus Chip Select [0] / NAND Flash Output Enable [0] / GPIO_B[2]
Ready information from external device.
USB Host Port 1 D+ signal / GPIO_B[26]
USB Host Port 1 D- signal / GPIO_B[27]
USB Host Port 0 D+ signal / GPIO_B[28]
USB Host Port 0 D- signal / GPIO_B[29]
125
Signal Name
Pin# Type Description – TCC8600
GPIO_A[15] / EXINT[3]
GPIO_A[14] / EXINT[2]
GPIO_A[13] / EXINT[1]
GPIO_A[12] / EXINT[0]
GPIO_A[11]
GPIO_A[10]
GPIO_A[9]
GPIO_A[8]
GPIO_A[7]
GPIO_A[6]
GPIO_A[5]
GPIO_A[4]
GPIO_A[3] / CDAI
GPIO_A[2] / CLRCK
GPIO_A[1] / CBCLK
GPIO_A[0] / SPDIFTX
GPIO_B[25] / DAI
GPIO_B[24] / DAO
GPIO_B[23] / MCLK
GPIO_B[22] / LRCK
GPIO_B[21] / BCLK
GPIO_B[9] / UART0RXD
GPIO_B[8] / UART0TXD
GPIO_B[6] / IDE_nCS1
GPIO_D[21]
123
122
121
120
117
116
115
114
113
112
111
109
108
107
106
105
90
89
88
87
86
63
62
60
99
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
GPIO_D[20] / UART1RTSn
98
I/O
GPIO_D[19] / UART1RXD
GPIO_D[18] / UART1TXD
GPIO_D[17]
GPIO_D[16]
GPIO_D[15] / UART1CTSn
ADIN0
ADIN2
ADIN4
XIN
XOUT
XTIN
XTOUT
XFILT
XFILT1
TDI / GPIO_J[1]
TMS / GPIO_J[2]
TCK / GPIO_J[3]
TDO / GPIO_J[0]
nTRST / GPIO_J[4]
MODE1
nRESET
VDDIO
VDDIO
VDDIO
VDDIO
VDDIO
VDDIO
VDDUSB
VDDI
VDDI
VDDI
96
95
94
93
92
68
67
66
55
54
57
56
75
72
100
101
102
103
104
78
77
9
26
43
65
85
119
84
7
24
40
I/O
I/O
I/O
I/O
I/O
AI
AI
AI
I
O
I
O
AO
AO
I/O
I/O
I/O
I/O
I/O
I
I
PWR
PWR
PWR
PWR
PWR
PWR
PWR
PWR
PWR
PWR
GPIO_A[15] / External Interrupt Request [3]
GPIO_A[14] / External Interrupt Request [2]
GPIO_A[13] / External Interrupt Request [1]
GPIO_A[12] / External Interrupt Request [0]
GPIO_A[11] / I2C Clock / GPSB/GSIO3 Data In
GPIO_A[10] / I2C Data Line / GPSB/GSIO3 FRM
GPIO_A[9] / I2C Clock./ Bus Width (BW) / GPSB/GSIO3 Clock
GPIO_A[8] / I2C Data Line / GPSB/GSIO3 Data Output
GPIO_A[7] / GPSB/GSIO1 Data In
GPIO_A[6] / GPSB/GSIO1 FRM
GPIO_A[5] / GPSB/GSIO1 Clock
GPIO_A[4] / GPSB/GSIO1 Data Output
CD Data Input / GPIO_A[3] / GPSB/GSIO1 Data In
CD Data Word Clock Input / GPIO_A[2] / GPSB/GSIO1 FRM
CD Data Bit Clock Input / GPIO_A[1] / GPSB/GSIO1 Clock
GPIO_A[0] / SPDIF TX Output / GPSB/GSIO1 Data Output
I2S Digital Audio data Input / GPIO_B[25]
I2S Digital Audio data Output / GPIO_B[24] / Boot Mode Bit 2 (BM[2])
I2S System Clock / GPIO_B[23]
I2S Word Clock / GPIO_B[22] / Boot Mode Bit 1 (BM[1])
I2S Bit Clock / GPIO_B[21] / Boot Mode Bit 0 (BM[0])
GPIO_B[9] / UART0 RX Data
GPIO_B[8] / UART0 TX Data
GPIO_B[6] / Chip select 1 for IDE Interface. Internal pull-up resistor enabled at reset.
GPIO_D[21]. Internal pull-up resistor enabled at reset.
GPIO_D[20] / UART1 RTS Output (active low). Internal pull-up resistor enabled at
reset.
GPIO_D[19] / UART1 RX Data. Internal pull-up resistor enabled at reset.
GPIO_D[18] / UART1 TX Data. Internal pull-up resistor enabled at reset.
GPIO_D[17] / I2C SCL
GPIO_D[16] / I2C SDA
GPIO_D[15] / UART1 CTS Input (active low)
General purpose multi-channel ADC input 0
General purpose multi-channel ADC input 2
General purpose multi-channel ADC input 4
12MHz Crystal Oscillator Input. Voltage must not exceed VDDI (1.95V).
12MHz Crystal Oscillator Output
32.768kHz Crystal Oscillator Input.Voltage must not exceed VDDI (1.95V).
32.768kHz Crystal Oscillator Output
PLL0 filter output. 350pF capacitor is required.
PLL1 filter output. 1200pF capacitor is required.
JTAG serial data input. Internal pull-up resistor is enabled at reset
JTAG test mode select. Internal pull-up resistor is enabled at reset
JTAG test clock. Internal pull-up resistor is enabled at reset
JTAG serial data output. Internal pull-up resistor is enabled at reset
JTAG reset signal. Active low.. Internal pull-up resistor is enabled at reset
Mode Setting Input 1. Pull-down for normal operation.
System Reset. Active low.
Digital Power for I/O (3.3V)
Digital Power for I/O (3.3V)
Digital Power for I/O (3.3V)
Digital Power for I/O (3.3V)
Digital Power for I/O (3.3V)
Digital Power for I/O (3.3V)
Power for USB I/O (3.3V)
Digital Power for Internal Core (1.8V)
Digital Power for Internal Core (1.8V)
Digital Power for Internal Core (1.8V)
126
Signal Name
VDDI
VDDI
VDDI
VDDI
VDDADC
VDDPLL
VDDPLL1
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSSADC
VSSPLL
VSSPLL1
Pin# Type Description – TCC8600
58
91
110
124
69
74
73
1
8
25
45
59
81
97
118
70
76
71
PWR
PWR
PWR
PWR
PWR
PWR
PWR
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
Digital Power for Internal Core (1.8V)
Digital Power for Internal Core (1.8V)
Digital Power for Internal Core (1.8V)
Digital Power for Internal Core (1.8V)
Analog Power for ADC (3.3V)
Analog & Digital Power for PLL (1.8V)
Analog & Digital Power for PLL1 (1.8V)
Digital Ground
Digital Ground
Digital Ground
Digital Ground
Digital Ground
Digital Ground
Digital Ground
Digital Ground
Analog Ground for ADC
Analog Ground for PLL
Analog Ground for PLL
TCC8600 Pin Description in Pin Number Order
Pin# Signal Name
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
VSS
XD[4]
XD[5]
XD[6]
XD[7]
XD[8]
VDDI
VSS
VDDIO
XD[9]
XD[10]
XD[11]
XD[12]
XD[13]
XD[14]
XD[15]
XA[0]
XA[1]
XA[2]
XA[3]
XA[4]
XA[5]
XA[6]
VDDI
VSS
VDDIO
XA[7]
XA[8]
XA[9]
XA[10]
XA[11]
XA[12]
XA[13] / SD_BA[0]
XA[14] / SD_BA[1]
XA[15] / SD_nCAS
Type Description – TCC8600
GND
I/O
I/O
I/O
I/O
I/O
PWR
GND
PWR
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
PWR
GND
PWR
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
Digital Ground
External Bus Data Bit [4]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [5]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [6]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [7]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [8]. Internal pull-up resistor enabled at reset.
Digital Power for Internal Core (1.8V)
Digital Ground
Digital Power for I/O (3.3V)
External Bus Data Bit [9]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [10]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [11]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [12]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [13]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [14]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [15]. Internal pull-up resistor enabled at reset.
External Bus Address Bit [0]
External Bus Address Bit [1]
External Bus Address Bit [2]
External Bus Address Bit [3]
External Bus Address Bit [4]
External Bus Address Bit [5]
External Bus Address Bit [6]
Digital Power for Internal Core (1.8V)
Digital Ground
Digital Power for I/O (3.3V)
External Bus Address Bit [7]
External Bus Address Bit [8]
External Bus Address Bit [9]
External Bus Address Bit [10]
External Bus Address Bit [11]
External Bus Address Bit [12]
External Bus Address Bit [13] / SDRAM Bank Address 0.
External Bus Address Bit [14] / SDRAM Bank Address 1
External Bus Address Bit [15] / SDRAM CAS signal
127
Pin# Signal Name
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
XA[16] / SD_nRAS / ND_ALE
XA[17] / ND_CLE
XA[18] / DQM[0]
XA[19] / DQM[1]
VDDI
XA[20] / DQM[1]
XA[21] / DQM[0]
VDDIO
SD_CLK
VSS
SD_CKE / GPIO_B[0]
SD_nCS / GPIO_B[1]
nWE
nOE
nCS[0] / ND_nOE[0] / GPIO_B[2]
nCS[1] / ND_nOE[1] / GPIO_B[3]
nCS[2] / ND_nOE[2] / GPIO_B[4]
nCS[3] / ND_nOE[3] / GPIO_B[5]
XOUT
XIN
XTOUT
XTIN
VDDI
VSS
GPIO_B[6] / IDE_nCS1
ND_nWE / GPIO_B[7]
GPIO_B[8] / UART0TXD
GPIO_B[9] / UART0RXD
READY / MODE0
VDDIO
ADIN4
ADIN2
ADIN0
VDDADC
VSSADC
VSSPLL1
XFILT1
VDDPLL1
VDDPLL
XFILT
VSSPLL
nRESET
MODE1
USBH0_DN / GPIO_B[29]
USBH0_DP / GPIO_B[28]
VSS
USBH1_DN / GPIO_B[27]
USBH1_DP / GPIO_B[26]
VDDUSB
VDDIO
GPIO_B[21] / BCLK
GPIO_B[22] / LRCK
GPIO_B[23] / MCLK
GPIO_B[24] / DAO
GPIO_B[25] / DAI
VDDI
GPIO_D[15] / UART1CTSn
GPIO_D[16]
Type Description – TCC8600
I/O
I/O
I/O
I/O
PWR
I/O
I/O
PWR
I/O
GND
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
O
I
O
I
PWR
GND
I/O
I/O
I/O
I/O
I
PWR
AI
AI
AI
PWR
GND
GND
AO
PWR
PWR
AO
GND
I
I
I/O
I/O
GND
I/O
I/O
PWR
PWR
I/O
I/O
I/O
I/O
I/O
PWR
I/O
I/O
External Bus Address Bit [16] / SDRAM RAS signal / ALE for NAND Flash
External Bus Address Bit [17] / CLE for NAND Flash
External Bus Address Bit [18] / Data I/O Mask 0
External Bus Address Bit [19] / Data I/O Mask 1
Digital Power for Internal Core (1.8V)
External Bus Address Bit [20] / Data I/O Mask 1
External Bus Address Bit [21] / Data I/O Mask 0
Digital Power for I/O (3.3V)
SDRAM Clock
Digital Ground
SDRAM Clock Enable signal. Active high. / GPIO_B[0]
Chip select signal for SDRAM, Active low / GPIO_B[1]
Static Memory Write Enable signal. Active low.
Static Memory Output Enable signal. Active low.
External Bus Chip Select [0] / NAND Flash Output Enable [0] / GPIO_B[2]
External Bus Chip Select [1] / NAND Flash Output Enable [1] / GPIO_B[3]
External Bus Chip Select [2] / NAND Flash Output Enable [2] / GPIO_B[4]
External Bus Chip Select [3] / NAND Flash Output Enable [3] / GPIO_B[5]
12MHz Crystal Oscillator Output
12MHz Crystal Oscillator Input. Voltage must not exceed VDDI (1.95V).
32.768kHz Crystal Oscillator Output
32.768kHz Crystal Oscillator Input.Voltage must not exceed VDDI (1.95V).
Digital Power for Internal Core (1.8V)
Digital Ground
GPIO_B[6] / Chip select 1 for IDE Interface. Internal pull-up resistor enabled at reset.
NAND flash WE. Active low. / GPIO_B[7]
GPIO_B[8] / UART0 TX Data
GPIO_B[9] / UART0 RX Data
Ready information from external device.
Digital Power for I/O (3.3V)
General purpose multi-channel ADC input 4
General purpose multi-channel ADC input 2
General purpose multi-channel ADC input 0
Analog Power for ADC (3.3V)
Analog Ground for ADC
Analog Ground for PLL
PLL1 filter output. 1200pF capacitor is required.
Analog & Digital Power for PLL1 (1.8V)
Analog & Digital Power for PLL (1.8V)
PLL0 filter output. 350pF capacitor is required.
Analog Ground for PLL
System Reset. Active low.
Mode Setting Input 1. Pull-down for normal operation.
USB Host Port 0 D- signal / GPIO_B[29]
USB Host Port 0 D+ signal / GPIO_B[28]
Digital Ground
USB Host Port 1 D- signal / GPIO_B[27]
USB Host Port 1 D+ signal / GPIO_B[26]
Power for USB I/O (3.3V)
Digital Power for I/O (3.3V)
I2S Bit Clock / GPIO_B[21] / Boot Mode Bit 0 (BM[0])
I2S Word Clock / GPIO_B[22] / Boot Mode Bit 1 (BM[1])
I2S System Clock / GPIO_B[23]
I2S Digital Audio data Output / GPIO_B[24] / Boot Mode Bit 2 (BM[2])
I2S Digital Audio data Input / GPIO_B[25]
Digital Power for Internal Core (1.8V)
GPIO_D[15] / UART1 CTS Input (active low)
GPIO_D[16] / I2C SDA
128
Pin# Signal Name
Type Description – TCC8600
94
95
96
97
GPIO_D[17]
GPIO_D[18] / UART1TXD
GPIO_D[19] / UART1RXD
VSS
I/O
I/O
I/O
GND
98
GPIO_D[20] / UART1RTSn
I/O
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
GPIO_D[21]
TDI / GPIO_J[1]
TMS / GPIO_J[2]
TCK / GPIO_J[3]
TDO / GPIO_J[0]
nTRST / GPIO_J[4]
GPIO_A[0] / SPDIFTX
GPIO_A[1] / CBCLK
GPIO_A[2] / CLRCK
GPIO_A[3] / CDAI
GPIO_A[4]
VDDI
GPIO_A[5]
GPIO_A[6]
GPIO_A[7]
GPIO_A[8]
GPIO_A[9]
GPIO_A[10]
GPIO_A[11]
VSS
VDDIO
GPIO_A[12] / EXINT[0]
GPIO_A[13] / EXINT[1]
GPIO_A[14] / EXINT[2]
GPIO_A[15] / EXINT[3]
VDDI
XD[0]
XD[1]
XD[2]
XD[3]
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
PWR
I/O
I/O
I/O
I/O
I/O
I/O
I/O
GND
PWR
I/O
I/O
I/O
I/O
PWR
I/O
I/O
I/O
I/O
GPIO_D[17] / I2C SCL
GPIO_D[18] / UART1 TX Data. Internal pull-up resistor enabled at reset.
GPIO_D[19] / UART1 RX Data. Internal pull-up resistor enabled at reset.
Digital Ground
GPIO_D[20] / UART1 RTS Output (active low). Internal pull-up resistor enabled at
reset.
GPIO_D[21]. Internal pull-up resistor enabled at reset.
JTAG serial data input. Internal pull-up resistor is enabled at reset
JTAG test mode select. Internal pull-up resistor is enabled at reset
JTAG test clock. Internal pull-up resistor is enabled at reset
JTAG serial data output. Internal pull-up resistor is enabled at reset
JTAG reset signal. Active low.. Internal pull-up resistor is enabled at reset
GPIO_A[0] / SPDIF TX Output / GPSB/GSIO1 Data Output
CD Data Bit Clock Input / GPIO_A[1] / GPSB/GSIO1 Clock
CD Data Word Clock Input / GPIO_A[2] / GPSB/GSIO1 FRM
CD Data Input / GPIO_A[3] / GPSB/GSIO1 Data In
GPIO_A[4] / GPSB/GSIO1 Data Output
Digital Power for Internal Core (1.8V)
GPIO_A[5] / GPSB/GSIO1 Clock
GPIO_A[6] / GPSB/GSIO1 FRM
GPIO_A[7] / GPSB/GSIO1 Data In
GPIO_A[8] / I2C Data Line / GPSB/GSIO3 Data Output
GPIO_A[9] / I2C Clock./ Bus Width (BW) / GPSB/GSIO3 Clock
GPIO_A[10] / I2C Data Line / GPSB/GSIO3 FRM
GPIO_A[11] / I2C Clock / GPSB/GSIO3 Data In
Digital Ground
Digital Power for I/O (3.3V)
GPIO_A[12] / External Interrupt Request [0]
GPIO_A[13] / External Interrupt Request [1]
GPIO_A[14] / External Interrupt Request [2]
GPIO_A[15] / External Interrupt Request [3]
Digital Power for Internal Core (1.8V)
External Bus Data Bit [0]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [1]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [2]. Internal pull-up resistor enabled at reset.
External Bus Data Bit [3]. Internal pull-up resistor enabled at reset.
129
IS42S16400F-6TL (HDMI : U2302)
VDD
1
54
GND
DQ0
2
53
DQ15
VDDQ
3
52
GNDQ
DQ1
4
51
DQ14
DQ2
5
50
DQ13
GNDQ
6
49
VDDQ
DQ3
7
48
DQ12
DQ4
8
47
DQ11
VDDQ
9
46
GNDQ
DQ5
10
45
DQ10
DQ6
11
44
DQ9
GNDQ
12
43
VDDQ
DQ7
13
42
DQ8
VDD
14
41
GND
LDQM
15
40
NC
WE
16
39
UDQM
CAS
17
38
CLK
RAS
18
37
CKE
CS
19
36
NC
BA0
20
35
A11
BA1
21
34
A9
A10
22
33
A8
A0
23
32
A7
A1
24
31
A6
A2
25
30
A5
A3
26
29
A4
VDD
27
28
GND
PIN DESCRIPTIONS
! !
2OW !DDRESS )NPUT
A0-A7
Column Address Input
"! "!
"ANK 3ELECT !DDRESS
$1 TO $1
$ATA )/
#,+
3YSTEM #LOCK )NPUT
#+%
#LOCK %NABLE
CS
Chip Select
RAS
2OW !DDRESS 3TROBE #OMMAND
CAS
Column Address Strobe Command
WE
7RITE %NABLE
,$1- X ,OWER "YTE )NPUT/UTPUT -ASK
5$1- X 5PPER "YTE )NPUT/UTPUT -ASK
0OWER
VDD
'.$
'ROUND
0OWER 3UPPLY FOR )/ 0IN
6DDQ
'.$Q 'ROUND FOR )/ 0IN
.#
.O #ONNECTION
IS42S16400F-6TL Block Diagram
DQM
DATA IN
BUFFER
COMMAND
DECODER
&
CLOCK
GENERATOR
16
MODE
REGISTER
12
DQ 0-15
SELF
VDD/VDDQ
DATA OUT
BUFFER
REFRESH
A11
CONTROLLER
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0
BA0
BA1
16
REFRESH
CONTROLLER
GND/GNDQ
16
16
12
MULTIPLEXER
REFRESH
COUNTER
ROW
ADDRESS
LATCH
12
12
ROW
ADDRESS
BUFFER
ROW DECODER
CLK
CKE
CAS
WE
A10
4096
4096
4096
4096
MEMORY CELL
ARRAY
SENSE AMP I/O GATE
256K
(x 16)
COLUMN
ADDRESS LATCH
BANK CONTROL LOGIC
8
BURST COUNTER
COLUMN DECODER
COLUMN
ADDRESS BUFFER
8
130
AK4424ET (HDMI : U2305)
AK4424ET Block Diagram
131
ピン配置
ROUT3+
ROUT3-
LOUT4+
LOUT4-
39
38
37
43
40
ROUT2-
44
LOUT3+
ROUT2+
45
LOUT3-
LOUT2-
46
41
LOUT2+
47
42
ROUT1+
ROUT1-
48
AK4358VQ (HDMI : U2102)
LOUT1-
1
36
AVSS
LOUT1+
2
35
AVDD
DZF3
3
34
VREFH
33
ROUT4+
32
ROUT4-
31
DIF0
DZF2
4
DZF1
5
CAD0
6
ACKSN
7
30
DSDR3
PDN
8
29
DSDL3
BICK
9
28
DSDR2
MCLK
10
27
DSDL2
DVDD
11
26
DSDR1
DVSS
12
25
DSDL1
AK4358VQ
20
21
22
23
24
CSN/CAD1
DCLK
DSDL4
DSDR4
18
I2C
19
17
LRCK
CDTI/SDA
16
SDTI3
CCLK/SCL
15
14
SDTI1
SDTI2
13
SDTI4
Top View
AK4358VQ Pin Function
No.
1
2
3
4
5
6
7
Pin Name
LOUT1LOUT1+
DZF3
DZF2
DZF1
CAD0
ACKSN
I/O
O
O
O
O
O
I
I
8
PDN
I
9
10
BICK
MCLK
I
I
11
12
13
14
15
16
17
18
DVDD
DVSS
SDTI4
SDTI1
SDTI2
SDTI3
LRCK
I2C
I
I
I
I
I
I
19
CCLK/SCL
I
20
CDTI/SDA
I/O
21
CSN/CAD1
I
22
23
24
25
26
27
28
DCLK
DSDL4
DSDR4
DSDL1
DSDR1
DSDL2
DSDR2
I
I
I
I
I
I
I
Function
DAC1 Lch Negative Analog Output Pin
DAC1 Lch Positive Analog Output Pin
Zero Input Detect 3 Pin
Zero Input Detect 2 Pin
Zero Input Detect 1 Pin
Chip Address 0 Pin
Auto Setting Mode Disable Pin (Pull-down Pin)
“L”: Auto Setting Mode, “H”: Manual Setting Mode
Power-Down Mode Pin
When at “L”, the AK4358 is in the power-down mode and is held in reset.
The AK4358 should always be reset upon power-up.
Audio Serial Data Clock Pin
Master Clock Input Pin
An external TTL clock should be input on this pin.
Digital Power Supply Pin, +4.75a+5.25V
Digital Ground Pin
DAC4 Audio Serial Data Input Pin
DAC1 Audio Serial Data Input Pin
DAC2 Audio Serial Data Input Pin
DAC3 Audio Serial Data Input Pin
L/R Clock Pin
Control Mode Select Pin
“L”: 3-wire Serial, “H”: I2C Bus
Control Data Clock Pin
I2C = “L”: CCLK (3-wire Serial), I2C = “H”: SCL (I2C Bus)
Control Data Input Pin
I2C = “L”: CDTI (3-wire Serial), I2C = “H”: SDA (I2C Bus)
Chip Select Pin
I2C = “L”: CSN (3-wire Serial), I2C = “H”: CAD1 (I2C Bus)
DSD Clock Pin
DAC4 DSD Lch Data Input Pin
DAC4 DSD Rch Data Input Pin
DAC1 DSD Lch Data Input Pin
DAC1 DSD Rch Data Input Pin
DAC2DSD Lch Data Input Pin
DAC2 DSD Rch Data Input Pin
132
p
29
DSDL3
I
DAC3 DSD Lch Data Input Pin
30
DSDR3
I
DAC3 DSD Rch Data Input Pin
31
DIF0
I
Audio Data Interface Format 0 Pin
32
ROUT4O
DAC4 Rch Negative Analog Output Pin
33
ROUT4+
O
DAC4 Rch Positive Analog Output Pin
34
VREFH
I
Positive Voltage Reference Input Pin
35
AVDD
Analog Power Supply Pin, +4.75a+5.25V
36
AVSS
Analog Ground Pin
37
LOUT4O
DAC4 Lch Negative Analog Output Pin
38
LOUT4+
O
DAC4 Lch Positive Analog Output Pin
39
ROUT3O
DAC3 Rch Negative Analog Output Pin
40
ROUT3+
O
DAC3 Rch Positive Analog Output Pin
41
LOUT3O
DAC3 Lch Negative Analog Output Pin
42
LOUT3+
O
DAC3 Lch Positive Analog Output Pin
43
ROUT2O
DAC2 Rch Negative Analog Output Pin
44
ROUT2+
O
DAC2 Rch Positive Analog Output Pin
45
LOUT2O
DAC2 Lch Negative Analog Output Pin
46
LOUT2+
O
DAC2 Lch Positive Analog Output Pin
47
ROUT1O
DAC1 Rch Negative Analog Output Pin
48
ROUT1+
O
DAC1 Rch Positive Analog Output Pin
Note: All input pins except pull-down pin should not be left floating.
AK5358BET (HDMI : U2101)
絶対最大定格
AK5358BET Pin Function
推奨動作条件
133
50
MUTE 51
AVEE
AVEE 52
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
AVCC
SUBL2
SUBR2
SRCIN
SLCIN
SBLIN2
SBRIN2
CIN2
SWIN2
SLIN2
SRIN2
FLIN2
FRIN2
SBRCIN
SBLCIN
SUBL1
SUBR1
DGND
CLOCK
49
31
30 TRER
MCU
I/F
DATA
R2A15220FP (AUDIO : IC3003)
AVCC
29 BASSR2
28 BASSR1
ADCL 53
ATT
0/-6/-12/-18dB
ADCR 54
27 TREL
SUB2
26 BASSL2
SUB
MAIN
INR1 56
MAIN
SUB
MAIN
AGND 55
0~-95dB,
-f
(0.5dBstep)
INL1 57
Bass/ Treble
-14~+14dB
(2dB step)
INR2 58
INR3 60
24 FRC
Bypass
Tone
Tone+MIX
Tone
SUB1
INL2 59
25 BASSL1
+42~0dB
(0.5dBstep)
23 FR Pre-OUT
Tone
22 FROUT
+42~0dB
(0.5dBstep)
Tone+MIX
Tone
21 AGND
Bypass
INL3 61
0~-95dB,
-f
(0.5dBstep)
INR4 62
20 FLOUT
Bass/ Treble
-14~+14dB
(2dB step)
19 FL Pre-OUT
INL4 63
18 FLC
+42~-95dB,
-f(0.5dBstep)
INR5 64
17 CC
INL5 65
16 COUT
+42~-95dB,
-f(0.5dBstep)
+42~-95dB,
-f(0.5dBstep)
INR6 66
INL6 67
15 AGND
14 SWOUT
INR7 68
13 SWC
+42~-95dB,
-f(0.5dBstep)
INL7 69
12 SRC
INR8 70
11 SR Pre-OUT
REC
INL8 71
10 SROUT
+42~-95dB,
-f(0.5dBstep)
INRA/RECR1 72
9 AGND
8 SLOUT
INLA/RECL1 73
INR9 74
+42~-95dB,
-f(0.5dBstep)
INL9 75
7
SL Pre-OUT
6
SLC
INRB/RECR2 76
5 AGND
INLB/RECL2 77
4
SBRC
INR10/RECR4 78
3
SBR Pre-OUT
INL10/RECL4 79
2 SBR OUT
INR11/RECR5 80
1
134
SBL OUT
99 100
SBL Pre-OUT
98
SBLC
97
SBRIN1
96
SBLIN1
95
SRIN1
94
SLIN1
93
SWIN1
92
CIN1
91
FRIN1
90
FLIN1
89
RECL3
88
RECR3
87
INL14
86
INR14
85
INL13
84
INR13
83
INL12
82
INR12
INL11/RECL5
81
AGND
R2A15220FP Pin Function
PIN No.
Function
22,20,
16,14,
10, 8,
2, 100
Name
FROUT,FLOUT,
COUT,SWOUT,
SROUT, SLOUT,
SBROUT,SBLOUT
23,19,
11, 7,
3, 99
FR Pre-out,FL Pre-out,
SR Pre-out, SL Pre-out,
SBR Pre-out,SBL Pre-out
24,18,
17,13,
12, 6,
4, 98
1,5,9,15,
21,55,98
27,30
FRC,FLC,
CC,SWC,
SRC,SLC,
SBRC,SBLC
Connects capacitor for reducing click noise of
L/R/C/SW/SL/SR/SBL/SBR channel volume
AGND
Analog ground of internal circuit
TREL, TRER
Frequency characteristic setting pin of L/R channel tone control (Treble)
25,26,
28,29
BASSL1,BASSL2
BASSR1,BASSR2
Frequency characteristic setting pin of L/R channel tone control (Bass)
31
43,42,
41,40,
39,38,
37,36
90,91,
92,93,
94,95,
96,97
AVCC
FRIN2, FLIN2,
SRN2,SLIN2,
SWIN2,CIN2,
SBRIN2,SBLIN2
FLIN1, FRIN1,
CIN1,SWIN1,
SLIN1,SRIN1,
SBLIN1,SBRIN1
48
49
50
52
DGND
DATA
CLOCK
Digital ground of internal circuit
Input pin of control data
AVEE
Negative power supply to internal circuit
Output pin of FL/FR/C/SW/SL/SR/SBL/SBR channel
Pre-output pin of FL/FR/SL/SR/SBL/SBR channel
Positive power supply to internal circuit
Multi Input pin of L/R/C/SW/SL/SR/SBL/SBR channel (Multi IN 1/2)
Input pin of control clock
57,59,61,63, INL1,INL2, INL3,INL4,
65,67,69,71, INL5,INL6,INL7,INL8,
75,83,85,87 INL9,INL12,INL13,INL14
Input pin of L/R channel (Input Selector)
56,58,60,62, INR1,INR2, INR3,INR4,
64,6668,70, INR5,INR6,INR7,INR8,
74,82,84,86 INR9,INR12,INR13,INR14
Outside Mute Control PIN
MUTE
51
44,45
34,35
46,47
33,32
53,54
SBRCIN,SBLCIN
SRCIN,SLCIN
SUBL1,SUBR1
SUBL2,SUBR2
ADCL, ADCR
88,89
72,73,
76,77,
78,79
80,81
INRA/RECR1,INLA/RECL1,
INRB/RECR2,INLB/RECL2,
INR10/RECR4,INL10/RECL4,
INR11/RECR5,INL11/RECL5
RECR3,RECL3
3rd Multi Input pin for SBL/SBR/SL/SR channel Volume
that is able to swap SBR/SBL with SR/SL
Output pin for L/R channel SUB1/SUB2 Output
Output pin for L/R channel ADC
Output pin for L/R channel REC Output
Input pin of L/R channel (Input Selector)/
Output pin for L/R channel REC Output
135
NJW1194A (AUDIO : IC3007)
$'5
$'5
%LDV
,Q$
'$7$
9
&/2&.
9 *1' 9
/$7&+
$FK7RQH)LOWHU
&RQWURO/RJLF
,Q$
=HUR&URVV'HWHFWLRQ
,Q$
,Q$
2XW$
721(
,Q%
,Q%
,Q%
2XW%
721(
,Q%
%FK7RQH)LOWHU
I/O
LC74781 (AVIDEO : U1001)
I/O
GND
INPUT/GCLK1
INPUT/OE1
INPUT/GCLRn
INPUT/OE2/GCLK2
VCC
I/O
I/O
Pin 1
I/O
EPM3032A (HDMI : U1707)
I/O/TDI
Pin 34
I/O
I/O
I/O/TDO
I/O
I/O
GND
GND
I/O
VCC
EPM3032A
EPM3064A
I/O
I/O/TMS
I/O
I/O
I/O
I/O/TCK
VCC
I/O
I/O
GND
GND
I/O
I/O
I/O
I/O
I/O
VCC
GND
I/O
I/O
I/O
I/O
Pin 12
I/O
Pin 23
136
2. FL DISPLAY
FLD (18-ST-13GINK) (FRONT : FLT301)
PIN CONNECTION
GRID ASSIGNMENT
137
ANODE CONNECTION
138
PARTS LIST OF P.C.B. UNIT s
zParts for which "nsp" is indicated on this table cannot be supplied.
zThe parts listed below are for maintenance only, might differ from the parts used in the unit in appearances or dimensions.
Note: The symbols in the column "Remarks" indicate the following destinations.
U : North America model
N : Europe model
B : Black model
SG : Silver gold model
K : China model
PCB 7CH_AMP ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty
SEMICONDUCTORS GROUP
Q401,402
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q403
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q404
00D9630235301
TR 2SD2560Y
J5032560Y0170S
Q405
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q406
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q407,408
00D9600196302
TR KTA1268BL
J5001268B0050S
Q409
963219003340S
TR 2SC KTC3964
J502396400010S
Q410
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q411,412
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
Q413,414
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q415
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q416
00D9630235301
TR 2SD2560Y
J5032560Y0170S
Q418
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q419,420
00D9600196302
TR KTA1268BL
J5001268B0050S
Q421
963219003340S
TR 2SC KTC3964
J502396400010S
Q422
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q423,424
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
Q425,426
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q427
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q428
00D9630235301
TR 2SD2560Y
J5032560Y0170S
Q430
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q431,432
00D9600196302
TR KTA1268BL
J5001268B0050S
Q433
963219003340S
TR 2SC KTC3964
J502396400010S
Q434
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q435,436
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
Q437,438
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q439
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q440
00D9630235301
TR 2SD2560Y
J5032560Y0170S
Q442
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q443,444
00D9600196302
TR KTA1268BL
J5001268B0050S
Q445
963219003340S
TR 2SC KTC3964
J502396400010S
Q446
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q447,448
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
Q449,450
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q451
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q452
00D9630235301
TR 2SD2560Y
J5032560Y0170S
Q454
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q455,456
00D9600196302
TR KTA1268BL
J5001268B0050S
Q457
963219003340S
TR 2SC KTC3964
J502396400010S
Q458
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q459,460
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
Q461,462
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q463
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q464
00D9630235301
TR 2SD2560Y
J5032560Y0170S
139
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
Q466
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q467,468
00D9600196302
TR KTA1268BL
J5001268B0050S
Q469
963219003340S
TR 2SC KTC3964
J502396400010S
Q470
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q471,472
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
Q473,474
00D2710314903
TR 2SA KTA1024Y
J5001024Y0050S
Q475
00D2710318909
TR 2SA 2N5401S
J520254010010S
Q476
00D9630235301
TR 2SD2560Y
J5032560Y0170S
Q478
00D2730479909
TR 2SC 2N5551S
J522255510010S
Q479,480
00D9600196302
TR KTA1268BL
J5001268B0050S
Q481
963219003340S
TR 2SC KTC3964
J502396400010S
Q482
00D9630235204
TR 2SB1647Y
J5011647Y0170S
Q483,484
00D2730471907
TR 2SC KTC3206Y
J5023206Y0050S
D401-442
00D2760401905
D,SWITCHING 1SS133T
K000013300520S
D457,458
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
ZD401
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD402,403
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
ZD404
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD405,406
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
ZD407
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD408,409
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
ZD410
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD411,412
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
ZD413
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD414,415
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
ZD416
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD417,418
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
ZD419
00D2760643983
D,ZENER MTZJ5.1A
K06005R134520S
ZD420,421
00D2760760934
D,ZENER MTZJ4.7B
K06004R744520S
RESISTORS GROUP
R403
nsp
R,METAL FILM 270-J,1W
C060027165060S
R405
nsp
R,METAL FILM 270-J,1W
C060027165060S
R406
252310006506S
POSISTOR PRF18BB471QB5RB
R415
963125012630S
R,METAL FILM 22-J,1W
R418
963125010690S
R,METAL FILM 15K-J,2W
R424,425
00D9630345903
R430,431
00D9630345903
F320184710051S
FLAMERETARDANT
C060022065050S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
FLAMERETARDANT
C060022065050S
C060015366050S
R434
nsp
R439
963125012630S
R,METAL FILM 22-J,1W
R,METAL FILM 3.3K-J,1W
C060033265050S
R441,442
nsp
R,METAL FILM 1K-J,1W
C060010265050S
R443
nsp
R,METAL FILM 270-J,1W
C060027165060S
R447,448
nsp
R,METAL FILM 270-J,1W
C060027165060S
R449
252310006520S
POSISTOR PRF18BD471QB5RB
F320184710150S
R450
252310006506S
POSISTOR PRF18BB471QB5RB
F320184710051S
R458
963125012630S
R,METAL FILM 22-J,1W
R461
963125010690S
R,METAL FILM 15K-J,2W
R464
252310006520S
POSISTOR PRF18BD471QB5RB
R467,468
00D9630345903
R,FIXED 2WJ-0.47
FLAMERETARDANT
C060022065050S
C060015366050S
F320184710150S
FLAMERETARDANT
140
N113136647820S
New
Ref. No.
R474,475
Part No.
00D9630345903
Part Name
Remarks
R,FIXED 2WJ-0.47
Q'ty
FLAMERETARDANT
N113136647820S
FLAMERETARDANT
C060022065050S
R478
nsp
R483
963125012630S
R,METAL FILM 22-J,1W
R485,486
nsp
R,METAL FILM 1K-J,1W
C060010265050S
R487
nsp
R,METAL FILM 270-J,1W
C060027165060S
R491,492
R494
nsp
252310006506S
R,METAL FILM 3.3K-J,1W
R,METAL FILM 270-J,1W
C060027165060S
POSISTOR PRF18BB471QB5RB
F320184710051S
R502
963125012630S
R,METAL FILM 22-J,1W
R505
963125010690S
R,METAL FILM 15K-J,2W
R511,512
00D9630345903
R517,518
00D9630345903
R521
nsp
R526
C060033265050S
FLAMERETARDANT
C060022065050S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
C060015366050S
R,METAL FILM 3.3K-J,1W
C060033265050S
963125012630S
R,METAL FILM 22-J,1W
R528,529
nsp
R,METAL FILM 1K-J,1W
FLAMERETARDANT
C060022065050S
C060010265050S
R530
nsp
R,METAL FILM 270-J,1W
C060027165060S
R533
nsp
R,METAL FILM 270-J,1W
C060027165060S
R535
nsp
R,METAL FILM 270-J,1W
C060027165060S
R537
252310006506S
POSISTOR PRF18BB471QB5RB
R545
963125012630S
R,METAL FILM 22-J,1W
R548
963125010690S
R,METAL FILM 15K-J,2W
R554,555
00D9630345903
R561,562
00D9630345903
F320184710051S
FLAMERETARDANT
C060022065050S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
FLAMERETARDANT
C060022065050S
C060015366050S
R564
nsp
R569
963125012630S
R,METAL FILM 22-J,1W
R,METAL FILM 3.3K-J,1W
C060033265050S
R571,572
nsp
R,METAL FILM 1K-J,1W
C060010265050S
R573
nsp
R,METAL FILM 270-J,1W
C060027165060S
R576
nsp
R,METAL FILM 270-J,1W
C060027165060S
R578
nsp
R,METAL FILM 270-J,1W
C060027165060S
R580
252310006506S
POSISTOR PRF18BB471QB5RB
F320184710051S
R588
963125012630S
R,METAL FILM 22-J,1W
R591
963125010690S
R,METAL FILM 15K-J,2W
R597,598
00D9630345903
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
R603,604
00D9630345903
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
R607
nsp
R612
FLAMERETARDANT
C060022065050S
C060015366050S
R,METAL FILM 3.3K-J,1W
C060033265050S
963125012630S
R,METAL FILM 22-J,1W
R614,615
nsp
R,METAL FILM 1K-J,1W
FLAMERETARDANT
C060022065050S
C060010265050S
R616
nsp
R,METAL FILM 270-J,1W
C060027165060S
R619
nsp
R,METAL FILM 270-J,1W
C060027165060S
R621
nsp
R,METAL FILM 270-J,1W
C060027165060S
R623
252310006506S
POSISTOR PRF18BB471QB5RB
F320184710051S
R631
963125012630S
R,METAL FILM 22-J,1W
R634
963125010690S
R,METAL FILM 15K-J,2W
R640,641
00D9630345903
R646,647
00D9630345903
FLAMERETARDANT
C060022065050S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
FLAMERETARDANT
C060022065050S
C060015366050S
R650
nsp
R,METAL FILM 3.3K-J,1W
R655
963125012630S
R,METAL FILM 22-J,1W
C060033265050S
R657,658
nsp
R,METAL FILM 1K-J,1W
C060010265050S
R659
nsp
R,METAL FILM 270-J,1W
C060027165060S
R662
nsp
R,METAL FILM 270-J,1W
C060027165060S
R664
nsp
R,METAL FILM 270-J,1W
C060027165060S
R666
252310006506S
POSISTOR PRF18BB471QB5RB
R674
963125012630S
R,METAL FILM 22-J,1W
F320184710051S
FLAMERETARDANT
141
C060022065050S
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
R677
963125010690S
R,METAL FILM 15K-J,2W
R683,684
00D9630345903
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
C060015366050S
R689,690
00D9630345903
R,FIXED 2WJ-0.47
FLAMERETARDANT
N113136647820S
FLAMERETARDANT
C060022065050S
R694
nsp
R698
963125012630S
R,METAL FILM 22-J,1W
R700,701
nsp
R,METAL FILM 1K-J,1W
C060010265050S
R702
nsp
R,METAL FILM 270-J,1W
C060027165060S
VR,SEMI CARBON EVN-DCAA03B 1KB
C541102315000S
VR401-407
963161012400S
R,METAL FILM 3.3K-J,1W
C060033265050S
CAPACITORS GROUP
C401
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C403
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C405
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C406
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C407
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C408
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C409
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C410
00D9609009937
C,ELECT 100UF-M/50V
D040101087060S
C411,412
nsp
C,CERAMIC 470PF-K/500V
D00447127D050S
C413
nsp
C,CERAMIC X7R2200PF-K/50V
D011222777200S
C415,416
C,ELECT 10UF-M/100V
D04010008C050S
C418
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C421
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C422
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C423
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C424
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C425
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C426
00D9609009937
C,ELECT 100UF-M/50V
D040101087060S
C,CERAMIC 470PF-K/500V
D00447127D050S
C427,428
C429
C431,432
00D9630234302
nsp
nsp
00D9630234302
C,CERAMIC X7R2200PF-K/50V
D011222777200S
C,ELECT 10UF-M/100V
D04010008C050S
C434
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C437
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C438
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C439
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C440
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C441
nsp
C442
00D9609009937
C443,444
C445
C447,448
nsp
nsp
00D9630234302
C,CERAMIC SL220PF-J/500V
D00022106D051S
C,ELECT 100UF-M/50V
D040101087060S
C,CERAMIC 470PF-K/500V
D00447127D050S
C,CERAMIC X7R2200PF-K/50V
D011222777200S
C,ELECT 10UF-M/100V
D04010008C050S
C450
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C453
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C454
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C455
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C456
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C457
nsp
C458
00D9609009937
C,CERAMIC SL220PF-J/500V
D00022106D051S
C,ELECT 100UF-M/50V
D040101087060S
C459,460
nsp
C,CERAMIC 470PF-K/500V
D00447127D050S
C461
nsp
C,CERAMIC X7R2200PF-K/50V
D011222777200S
142
New
Ref. No.
C463,464
Part No.
00D9630234302
Part Name
Remarks
Q'ty
C,ELECT 10UF-M/100V
D04010008C050S
C466
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C469
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C470
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C471
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C472
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C473
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C474
00D9609009937
C,ELECT 100UF-M/50V
D040101087060S
C475,476
nsp
C,CERAMIC 470PF-K/500V
D00447127D050S
C477
nsp
C,CERAMIC X7R2200PF-K/50V
D011222777200S
C479,480
C,ELECT 10UF-M/100V
D04010008C050S
C482
00D9630234302
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C485
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C486
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C487
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C488
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C489
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C490
00D9609009937
C,ELECT 100UF-M/50V
D040101087060S
C,CERAMIC 470PF-K/500V
D00447127D050S
C491,492
nsp
C493
C495,496
nsp
00D9630234302
C,CERAMIC X7R2200PF-K/50V
D011222777200S
C,ELECT 10UF-M/100V
D04010008C050S
D011104577160S
C498
nsp
C,CERAMIC 0.1UF-K/50V
C501
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
C502
nsp
C,CERAMIC SL220PF-J/500V
D00022106D051S
C503
00D9630234506
C,ELECT 47UF-M/50V (Pb Free)
D040470087070S
C504
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C505
nsp
C506
00D9609009937
C507,508
nsp
C509
C511,512
nsp
00D9630234302
C,CERAMIC SL220PF-J/500V
D00022106D051S
C,ELECT 100UF-M/50V
D040101087060S
C,CERAMIC 470PF-K/500V
D00447127D050S
C,CERAMIC X7R2200PF-K/50V
D011222777200S
C,ELECT 10UF-M/100V
D04010008C050S
C513,514
00D9630338402
C,ELECT 330UF-M/6.3V
D040331081050S
C515
00D9630324607
C,ELECT 47UF-M/10V (Pb Free)
D040470082060S
New
OTHERS PARTS GROUP
BKT400
nsp
BRACKET 0.8t/SCREW
4010210196100S
CLAMP400,401
nsp
CLAMP WIRE(SOLDER)
4330000120000S
CN404
nsp
CN.WIRE 5P
L002271050030S
CP401
nsp
CN.WAFER 13P STRAIGHT
L101200101310S
L102526700500S
CP402
nsp
CN.WAFER 5P
CP403
nsp
CN.WAFER 10P STRAIGHT
L101200101010S
CP405
nsp
CN.WAFER 3P
L102526700300S
G400-402
nsp
CN,WIRE 1P
L000600010050S
J538
nsp
CN,WIRE 1P
L045061000050S
143
*
PCB SPK ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty
SEMICONDUCTORS GROUP
z IC100
963239010480S
IC PC123X2YFZ (DIP4P SHARP)
K614123000010S
z IC102
231010091708S
IC TOP258MG
G200258000010S
IC116
212050010508S
IC KIA2431AP
J126243118010S
Q1-7
00D9630120704
TR KRA102S(PB)
J520010200210S
Q8-14
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
D1-7
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
D8
00D2760401905
D,SWITCHING 1SS133T
K000013300520S
D9,10
963209011740S
D,RECTIFIER BRIDGE D3SB60-5000
K047036040030S
D100-102
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D103
203050018706S
D,SCHOTTKY D25SC6M 60V 25A
K120256000010S
D104-106
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D108
963209010430S
D,FAST RECOVERY AP01C-V1 52RE-AX
K050000015000S
D109,110
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D112
00D2760401905
D,SWITCHING 1SS133T
K000013300520S
ZD100
963202010440S
D,ZENER MTZJ22B
ZD101
00D2760762958
D,ZENER MTZJ39B
ZD102
963202010440S
D,ZENER MTZJ22B
ZD103
00D2760762958
D,ZENER MTZJ39B
ZD104
963202010440S
D,ZENER MTZJ22B
ZD105
00D2760762958
D,ZENER MTZJ39B
ZD106,107
963202010440S
D,ZENER MTZJ22B
ZD108,109
00D2760762958
D,ZENER MTZJ39B
K06022R044520S
U1B
K06039R044520S
K06022R044520S
U1B
K06039R044520S
K06022R044520S
U1B
K06039R044520S
K06022R044520S
U1B
K06039R044520S
K06039R044520S
ZD110
00D2760762958
D,ZENER MTZJ39B
N1B, N1SG, K1B
ZD110
963202010440S
D,ZENER MTZJ22B
U1B
K06022R044520S
ZD111
00D2760762958
D,ZENER MTZJ39B
N1B, N1SG, K1B
K06039R044520S
N1B, N1SG, K1B
K06039R044520S
ZD112
963202010440S
D,ZENER MTZJ22B
ZD113
00D2760762958
D,ZENER MTZJ39B
K06022R044520S
ZD114
00D9600095607
D,ZENER MTZJ5.6B
ZD115
00D2760762958
D,ZENER MTZJ39B
ZD116
00D2760762958
D,ZENER MTZJ39B
ZD117,118
963202010440S
D,ZENER MTZJ22B
ZD119
00D2760762958
D,ZENER MTZJ39B
R5-17
963125010100S
R,METAL FILM 10-J 2W
C060010066050S
R28-33
00D9630310404
R,METAL FILM 2.2K-J,1W
C060022265050S
nsp
R,METAL FILM 10K-J,1/4W
C060103063050S
K06005R644520S
K06039R044520S
N1B, N1SG, K1B
K06039R044520S
K06022R044520S
N1B, N1SG, K1B
K06039R044520S
RESISTORS GROUP
R34
R37,38
963125010110S
R,METAL FILM 470-J,2W
C060047166060S
R39
963125010100S
R,METAL FILM 10-J 2W
C060010066050S
CAPACITORS GROUP
C1
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C2
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C3,4
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C5
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C6
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
144
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
C7
nsp
C,CERAMIC 2200PF-K/50V
C8,9
nsp
C,FILM MI-0.047UF-J/50V
D011222777160S
D020473167050S
C10
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C11,12
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C13
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C14
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C15
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C16,17
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C18
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C19
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C20
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C21,22
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C23
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C24,25
nsp
C,FILM MI-0.047UF-J/50V
D020473167050S
C27
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C30
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C33
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C36
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C39
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C42
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C45
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C48
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C51
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
C53
00D9609009937
C,ELECT 100UF-M/50V
D040101087060S
C54
nsp
C55
C,FILM 0.1UF-K/250V
D02010407H080S
963134010180S
C,ELECT 12000UF-M/71V
D040123089550S
C57
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C58
963134010180S
C,ELECT 12000UF-M/71V
D040123089550S
C60
00D9630244606
C,ELECT 0.1UF-M/50V (Pb Free)
D040R10087080S
C61
nsp
C,FILM 0.1UF-K/250V
D02010407H080S
C63
nsp
C,CERAMIC 1000PF-K/50V
D011102777160S
z C100
963132010140S
C,CERAMIC ECQU2A104ML 0.1UF
D00810408H000S
C102
963134010200S
C,ELECT 100UF-M/400V
D04110108K000S
C103
963132010120S
C,CERAMIC DEHR33A102KB2B
D00810207Q010S
z C104,105
963134011730S
C,CERAMIC DE1B3KX471KB4BL01 AC250V
D00847127H010S
C106-108
963134010220S
C,ELECT5600UF-M/6.3V
D041562081001S
C110
nsp
C,CERAMIC 0.1UF-K/25V
D011104774161S
C111
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C112
963134010190S
C,ELECT 10UF-M/50V
D041100087050S
C113
nsp
C,CERAMIC 0.1UF-K/25V
D011104774161S
C,CERAMIC DE1E3KX222MB4BL01
AC250V
D00822248H010S
C,CERAMIC 0.1UF-K/25V
D011104774161S
z C115
963132011930S
C116
z C117
nsp
963132011940S
C118
nsp
C119
963134010210S
C120-123
z C131, 133
nsp
963132011940S
C,CERAMIC DE2F3KY103MB3BM02 AC250V
D008103589010S
C,CERAMIC 0.1UF-K/25V
D011104774161S
C,ELECT 47UF-M/25V
D041470084050S
C,CERAMIC 0.1UF-K/25V
D011104774161S
C,CERAMIC DE2F3KY103MB3BM02 AC250V
D008103589010S
OTHERS PARTS GROUP
BKT1-3
nsp
BRACKET t1.0+Sn plating /PCB MTG
4010214876000S
BKT101
nsp
BRACKET SCREW
4010210196000S
145
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
CLAMP3
nsp
CLAMP WIRE(SOLDER)
4330000120000S
CN1
nsp
CN,WIRE 230MM/5P 5264-05
L000231050050S
CN2_WIR5
nsp
CN,WIRE 550MM/4P
L000901040050S
CN3
nsp
CN,WIRE 2MM 170MM/10P 20010HS-10
L002171100050S
CP1
nsp
CN.WAFER 35328-0360
L108353280360S
CP12
nsp
CN.FPC 1.25MM 19P 127301119K2
L131019100010S
CP22
nsp
CN.WAFER 5P TUC-P05P
L101100040510S
CX102
nsp
CN.WAFER 7.92MM 35328-0263
L108353280290S
CX104
nsp
CN.WAFER 5P TUC-P05X
L101100030510S
CX105
nsp
CN,WIRE 370MM/5P TJC2508-5
L000371050050S
z F100
963652010500S
FUSE T1.6A/250V
N1B, N1SG, K1B
z F100
963652010510S
FUSE T2A/250V
U1B
N751502001160S
z F101
963652010910S
FUSE T3.15A/250V
N1B, N1SG, K1B
N751503151160S
z F101
963652010520S
FUSE T6.3A/250V
U1B
N751506301160S
JACK1
963643010360S
TER, BOARD 6P JB-602A-02
G613602A0200YS
JACK2
963646001690S
TER, BOARD 8P MST-108V1
G614108V1010MS
JACK3
00D9630257208
TER,BOARD SCREW 4P
G612405E0200YS
z JK100
00D2033958004
SOCKET,POWER AC INLET
G4300152P0001S
COIL INDUCTOR 0.5UH
D330R50000000S
L1-7
nsp
New
*
N751501601160S
z L100
963111010230S
COIL LINE FILTER LF-4ZB-E273H 27mH
D320402730020S
z RL101
963682010370S
RELAY HL31-1AT-5H 5V 1A
G680050102020S
RLY1
00D9630218409
RELAY BC3-12 24V 2A
G680240202030S
RLY2-7
963682002440S
RELAY 12V 5A SPK
G680120502050S
DZ1
00D9600095801
D,ZENER MTZJ6.8B
K06006R844520S
WIRE3
nsp
CN,WIRE 100MM/1P
L000101010120S
*
WIRE4
nsp
CN,WIRE 80MM/1P
L000800010090S
*
963102010240S
TRANS,SWITCHING ST-4430A
E060044300010S
00D9630255802
TR 2SC KTC3199Y
J5023199Y0010S
z T100
TR100-102
nsp
HOLDER,FUSE CLIP
F100_1
G645000050010S
nsp
HOLDER,FUSE CLIP
F100_2
G645000050010S
nsp
HOLDER,FUSE CLIP
F101_1
G645000050010S
nsp
HOLDER,FUSE CLIP
F101_2
G645000050010S
nsp
FUSE LABEL T1.6AL/250V
F100_LAB
: N1B, N1SG, K1B
5507000006790S
nsp
FUSE LABEL T3.15AL/250V
N1B, N1SG, K1B
5507000006800S
146
PCB REG_CNT ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty
SEMICONDUCTORS GROUP
IC103
00D2630553006
IC NJM7805FA
J126780500130S
IC104
00D2630554005
IC NJM7905FA
J126790500020S
IC105
00D2630553006
IC NJM7805FA
J126780500130S
IC106
00D2630810008
IC NJM7808FA
J126780800030S
IC107
00D2630503001
IC NJM7908FA
J126790800020S
IC108
963239010770S
IC NJM2388F09
N1B, N1SG, K1B
J126238800090S
IC108
963239003420S
IC NJM2388F05
U1B
J126238800050S
IC401
00D2631289900
IC AZ4580M
IC402
00D2631289900
IC AZ4580M
IC403
231310009508S
IC PQ033DNA1ZPH
J126033010010S
J121458000020S
U1B
J121458000020S
IC404
963239008800S
IC ILX3232D
J046323200020S
IC405
00D2631286903
IC PQ120DNA1ZPH
J126120010010S
Q401,402
00D9630120704
TR KRA102S(PB)
J520010200210S
Q403,404
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q405
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q406
00D9630120704
TR KRA102S(PB)
J520010200210S
D114
00D9630236504
D,SCHOTTKY RB721Q-40
K120072140010S
D115,116
00D2760401905
D,SWITCHING 1SS133T
K000013300520S
D117,118
00D9630236504
D,SCHOTTKY RB721Q-40
K120072140010S
D119-121
00D2760401905
D,SWITCHING 1SS133T
K000013300520S
D122
00D9630236504
D,SCHOTTKY RB721Q-40
K120072140010S
D123
00D2760401905
D,SWITCHING 1SS133T
K000013300520S
D124-134
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D137-145
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D401,402
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
ZD117,118
00D9600096004
D,ZENER MTZJ33B
K06033R044520S
ZD401
00D2760665903
D,ZENER MTZJ16B
K06016R044520S
00D9630217002
C,ELECT 3300UF-M/16V
N1B, N1SG, K1B
D040332083010S
U1B
D040472083020S
CAPACITORS GROUP
C126
C126
963134011290S
C,ELECT 4700UF-M/16V
C127,128
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C129
00D9630217002
C,ELECT 3300UF-M/16V
D040332083010S
C130
00D9630333203
C,ELECT 100UF-M/16V
D040101083090S
C131
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C132
00D9630333203
C,ELECT 100UF-M/16V
D040101083090S
C133
90M-OA000500R
C,ELECT 4700UF-M/25V(MHA)
D040472084240S
C134
00D9630333203
C,ELECT 100UF-M/16V
D040101083090S
C135
90M-OA000500R
C,ELECT 4700UF-M/25V(MHA)
D040472084240S
C136
00D9630333203
C,ELECT 100UF-M/16V
D040101083090S
C137
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C138
963134010700S
C,ELECT 2200UF-M/25V
D040222084030S
C142-144
nsp
C,FILM 0.1UF-J/50V
D020104167050S
C146-148
nsp
C,FILM 0.1UF-J/50V
D020104167050S
C401,402
nsp
C,CERAMIC 0.1UF-K/50V
C403,404
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
U1B
147
D011104577160S
New
Ref. No.
Part No.
Part Name
C405,406
00D9630234205
C,ELECT 10UF-M/50V
C407,408
963134010940S
C,ELECT 10UF-M/16V
Remarks
Q'ty
D040100087070S
U1B
D040100083090S
C414
nsp
C,CERAMIC 1UF-K/16V
D011105173161S
C424
nsp
C,CERAMIC 1UF-K/16V
D011105173161S
C426
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C427
nsp
C,CERAMIC 0.1UF-K/50V
C428-431
963134010940S
C,ELECT 10UF-M/16V
C432-435
00D9630234205
C,ELECT 10UF-M/50V
U1B
D011104577160S
D040100083090S
U1B
D040100087070S
C436
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C437,438
nsp
C,CERAMIC 470PF-J/50V
D010471167160S
C439,440
nsp
C,CERAMIC 470PF-J/50V
C441,442
nsp
C,CERAMIC 0.1UF-K/50V
U1B
D010471167160S
D011104577160S
C443
nsp
C,CERAMIC 470PF-J/50V
U1B
D010471167160S
C444
nsp
C,CERAMIC 0.1UF-K/50V
U1B
D011104577160S
C445,446
nsp
C,CERAMIC 0.1UF-K/50V
C448-451
C452
nsp
00D9630234205
C453
nsp
C455
00D9630234205
C456
nsp
C457
00D9630234205
C459
D011104577160S
C,CERAMIC 0.1UF-K/50V
D011104577160S
C,ELECT 10UF-M/50V
D040100087070S
C,CERAMIC 0.1UF-K/50V
D011104577160S
C,ELECT 10UF-M/50V
D040100087070S
C,CERAMIC 33PF-J/50V
D010330167160S
C,ELECT 10UF-M/50V
D040100087070S
nsp
C,CERAMIC 33PF-J/50V
D010330167160S
C460
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C467,468
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C470
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
CLAMP100,101
nsp
CLAMP WIRE(SOLDER)
4330000120000S
CN4
nsp
CN,WAFER 15P C125Z2-15
L109012521510S
CN5
nsp
CN,WAFER 19P C125Z2-19
L109012521910S
CN9
nsp
CN,WAFER 17P C125Z2-17
L109012521710S
CN10
nsp
CN,WAFER 15P C125Z2-15
L109012521510S
CN11
nsp
CN,WAFER 25P C125Z2-25
L109012522510S
CN13
nsp
CN,WIRE 13P 20010HS-13
L002121130010S
CN15
nsp
CN.WAFER 4P 20010HS-04
L002161040091S
CN100
nsp
CN,WAFER 13P C125Z2-13
L109012521310S
CN101
nsp
CN,WAFER 11P C125Z2-11
L109012521110S
CN104
nsp
CN,WAFER 27P C125Z2-27
L109012522710S
CN401
nsp
CN,WAFER 11P C125Z2-11
L109012521110S
CN402
nsp
CN,WAFER 15P C125Z1-15
L109012511510S
CN403
nsp
CN,WAFER 19P C125Z1-19
L109012511910S
CP3
nsp
CN,WAFER 11P C125Z1-11
L109012511110S
CP4
nsp
CN,WAFER 25P C125Z1-25
L109012512510S
CP6
nsp
CN,WAFER 19P C125Z1-19
L109012511910S
CP7
nsp
CN,WAFER 17P C125Z1-17
L109012511710S
CP8
nsp
CN,WAFER 15P C125Z1-15
L109012511510S
CP13A
nsp
CN.WAFER 13P 20010WR-13
L101200101320S
CP100
nsp
CN,WAFER 13P C125Z1-13
L109012511310S
CP101
nsp
CN,WAFER 11P C125Z1-11
L109012511110S
OTHERS PARTS GROUP
148
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
CP102
nsp
CN.WAFER 4P 5267-04A
CP104
nsp
CN.WAFER 3P 5267-03A
L102526700400S
L102526700300S
CP105
nsp
CN,WAFER 19P C125Z1-19
L109012511910S
CP106
nsp
CN,WAFER 17P C125Z1-17
L109012511710S
CP108
nsp
CN,WAFER 19P C125Z1-19
L109012511910S
CP109
nsp
CN,WAFER 17P C125Z1-17
L109012511710S
CP110
nsp
CN.WAFER 33P C125Z1-33
L109012513310S
CP111
nsp
CN,WAFER 19P C125Z1-19
L109012511910S
CP112
nsp
CN,WAFER 17P C125Z1-17
L109012511710S
CP113,114
nsp
CN,WAFER 13P C125Z1-13
L109012511310S
CP115
nsp
CN.WAFER 33P C125Z1-33
L109012513310S
CP401
nsp
CN,WAFER 11P C125Z1-11
L109012511110S
FUSE T3.15A/250V
N751503151160S
z F104-108
963652010910S
JACK401
963643012080M
JACK,DIN DIN-901B(MXJACK)
JACK402
00D9630333601
MINI DIN CONNECTOR 8P YKF51-5397N
JACK403
963646012090S
TER RCA 2PIN RCA-206B-02(OR,OR)
G601206B0200YS
JACK404
nsp
CN.WAFER 9P
L103090090030S
JACK405
nsp
JACK,D3.5 EARPHONE
G40130802000YS
BK100
nsp
BRACKET SCREW
4010210196000S
nsp
LABEL FUSE
5507000005090S
nsp
HOLDER,FUSE CLIP
F104A-108A
G645000050010S
nsp
HOLDER,FUSE CLIP
F104B-108B
G645000050010S
149
G403901B0000YS
U1B
G403515397000S
New
PCB FRONT ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty
SEMICONDUCTORS GROUP
IC301
00D2631289900
IC AZ4580M
J121458000020S
z IC304
00D9600195808
IC ICP-N15
J120001500030S
IC305
00D2631289900
IC AZ4580M
J121458000020S
Q300
00D9600133103
TR KSA916Y
J5000916Y0050S
Q302
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q309
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q311
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q313
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q314
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q317
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q318
00D9630120704
TR KRA102S(PB)
J520010200210S
Q320
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
D321,322
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D330-332
963209003510S
D,ESD CDS3C05HDMI1
K067030500010S
ZD301
00D9600095801
D,ZENER MTZJ6.8B
K06006R844520S
ZD303
00D2760761975
D,ZENER MTZJ18B
K06018R044520S
ZD304
00D2760665903
D,ZENER MTZJ16B
K06016R044520S
ZD307,308
00D9600095500
D,ZENER MTZJ5.1B
K06005R144520S
ZD318
00D9600095500
D,ZENER MTZJ5.1B
K06005R144520S
LED301
963263011010S
LED BZ-BB43V4V-2-TBS22A 5PI
K500056000100S
LED302
963263012110S
LED BL-BUF4V5K-1-AV-FP3.5 3PI RED
K500032000160S
LED304
963263012100S
LED BL-BVT201G 3PI RED/GREEN
K500032501130S
00D9639006272
R,FIXED RSD-R1-1WJ-4.7
N113135647920S
00D9630234205
C,ELECT 10UF-M/50V
D040100087060S
RESISTORS GROUP
R399
CAPACITORS GROUP
C312
C314
963134005100S
C,ELECT 100UF-M/10V (Pb Free) SY
D040101082130S
C317
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C318
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C319,320
nsp
C,FILM 0.1UF-J/100V
D02010406C060S
C321
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C322-324
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C325
nsp
C,CERAMIC 0.01UF-K/50V
D011103777162S
C326,327
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C328
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C329
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C330
C331-333
nsp
00D9630234205
C,CERAMIC 0.1UF-K/50V
D011104577160S
C,ELECT 10UF-M/50V
D040100087070S
C334
nsp
C,ELECT 220UF-M/6.3V
D040221081070S
C336,337
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C338
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C339
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
150
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
C340
00D9630293602
C,ELECT 1UF-M/50V (Pb Free)
D040010087150S
C341,342
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C343
00D9630293602
C,ELECT 1UF-M/50V (Pb Free)
D040010087150S
C346,347
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C348
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C349
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C,CERAMIC 0.1UF-K/50V
D011104577160S
C350-356
C357
nsp
C,ELECT 47UF-M/10V (Pb Free)
D040470082060S
C358,359
00D9630324607
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C360
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C362
nsp
C,CERAMIC 0.001UF-J/50V
D010102167160S
C363
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C364
963134012120S
C,ELECT 100UF-M/63V
D040101088050S
C366,367
00D9630293602
C,ELECT 1UF-M/50V (Pb Free)
D040010087150S
C368-370
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C373
nsp
C,CERAMIC 0.047UF-Z/50V
D011473597160S
C384-387
nsp
C,CERAMIC 0.01UF-K/50V
D011103777162S
New
OTHERS PARTS GROUP
BD101
nsp
COIL,BEAD BLM21PG221SN1
D340201212210S
BD102,103
nsp
CHIP BEADS(18PG121) +1608
D340160831210S
CLAMP301-306
nsp
CLAMP WIRE(SOLDER)
4330000120000S
CN300
nsp
CN.FPC 1.0MM 1.0-11S-40PW 40P
L130100114050S
CN306
nsp
CN,WIRE 2MM 60MM/10P
L002600100020S
*
CN307
nsp
CN,WIRE 2MM 650MM/5P
L002651050010S
*
CP306
nsp
CN.WAFER 10P
L101200101020S
CP308
nsp
CN,WAFER 5268-07A 7P ANGLE
L102526800700S
JACK300
963649010300S
CN,PLUG DIP4P BK USBAS-00401B014-G
G480040101410S
JACK301
963646012320S
TER,RCA 3PIN 328H-1-01
G606328H1010YS
JACK303
963643006900M
PHONE (YUQIU) D6.5 9P SILVER PJ-612A
G402PJ612AN0YS
JACK310
963643012350S
JACK,D3.5 EARPHONE
G401323A7000YS
JACK365
262010004005S
MODULE TORX147L
E100147001010S
RMC302
963262012130S
MODULE,REMOCON R34ES9A
E940349003610S
SW301A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW301C
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW302A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW302C
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW303A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW303B
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW303C
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW304A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW304B
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW305A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW305B
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW306A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
151
*
*
Ref. No.
Part No.
Part Name
Remarks
Q'ty
New
SW306B
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW307C
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW308A
00D9630045708
SW,TACT SKHV10920A
G180000270010S
SW309
00D9630045708
SW,TACT SKHV10920A
G180000270010S
VEC302
00D9630387408
SW,ENCODER EC16B24SO
G121162400070S
VEC304
963667012360S
SW,ENCODER EC16B24T01D4ZZZ
G121162400060S
*
FLT301
963172012370S
DISPLAY FLT 18-ST-13GINAK
K530180130020S
*
BRACKET FIP
4010214916000S
nsp
152
PCB VIDEO ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty New
SEMICONDUCTORS GROUP
U1001
00D9630116307 IC LC74781-9013-E
J170747810010S
U1003
232810005504S IC BD7628F-E2
J127762800010S
U2003
235810046603S IC AVDM2000(NJW1327)
J171132700010S
U2004
231310009508S IC PQ033DNA1ZPH
J126033010010S
Q1001
00D9630120704 TR KRA102S(PB)
N1B, N1SG, K1B
J520010200210S
Q1002
00D9600296309 TR KRC111S (NM
N1B, N1SG, K1B
J522011100210S
Q1003
963219010750S TR 2SA KTA1504G
Q1004
00D2730459903 TR KTC2874B
Q1005,1006
00D9630328302 TR 2SC KTC3875G(ALG)
J5201504G0210S
N1B, N1SG, K1B
J502287400010S
J5223875G0210S
Q2001,2002
963219010750S TR 2SA KTA1504G
J5201504G0210S
Q2005
00D9630121606 TR KRC107S (NH)
J522107S00210S
Q2006
00D9630120801 TR 2SC KRC102S (NB)
J522010200210S
Q2009,2010
00D9630120801 TR 2SC KRC102S (NB)
J522010200210S
D1001,1002
00D9630355401 D,SWITCHING KDS4148U
K005041480030S
D1003,1004
963209003510S D,ESD CDS3C05HDMI1
K067030500010S
D2001,2002
00D9630355401 D,SWITCHING KDS4148U
K005041480030S
D2005,2006
00D9630355401 D,SWITCHING KDS4148U
K005041480030S
D2009
00D9630328603 D,SCHOTTKY RB521S-30
K125521300010S
RESISTORS GROUP
R1030
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2001-2004
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2092
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2094
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2096
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2098
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2100,2101
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
R2107-2109
nsp
R,CHIP THICK 75-D,1/16W
C20007501M161S
C1001-1009
nsp
C,CERAMIC 10UF-K/10V
D011106572200S
C1010-1012
nsp
R,THICK 0-J,1/10W
C200000060201S
CAPACITORS GROUP
C1013,1014
00D9630311607 C,ELECT 100UF-M/10V (Pb Free)
D040101082090S
C1015
00D9630234205 C,ELECT 10UF-M/50V
D040100087070S
C1016
00D9630293602 C,ELECT 1UF-M/50V (Pb Free)
D040010087150S
C1017
nsp
C,CERAMIC0.01UF-K/50V
D011103777160S
C1018,1019
nsp
C,CERAMIC 27PF-J/50V
D010270167160S
C1020
nsp
C,CERAMIC COG24PF-J/50V
D010240167160S
C1021
nsp
C,CERAMIC HIK X7R1200PF-K/50V
D011122777160S
C1022
00D9630293602 C,ELECT 1UF-M/50V (Pb Free)
D040010087150S
C1023
nsp
C,CERAMIC COG24PF-J/50V
D010240167160S
C1024
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
nsp
C,ELECT 47UF-M/16V
C1025
C1026
D040470083080S
00D9630311607 C,ELECT 100UF-M/10V (Pb Free)
D040101082090S
C1027,1028
nsp
C,CERAMIC0.01UF-K/50V
D011103777160S
C1029,1030
nsp
C,ELECT 47UF-M/16V
D040470083080S
153
Ref. No.
C2005-2008
Part No.
Part Name
Remarks
00D9639005820 C,ELECT 22UF-M/16V
Q'ty New
D040220083070S
C2019,2020
nsp
C,CERAMIC 0.047UF-K/16V
D011473773160S
C2023
nsp
C,CERAMIC0.01UF-K/50V
D011103777160S
C2024
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2027,2028
nsp
C,CERAMIC 0.047UF-K/16V
D011473773160S
C2031,2032
00D9630293602 C,ELECT 1UF-M/50V (Pb Free)
C2039,2040
C2045,2046
C2047
nsp
C,CERAMIC 0.047UF-K/16V
nsp
C,CERAMIC 0.1UF-K/50V
D040010087150S
D011473773160S
D011104577160S
00D9639005820 C,ELECT 22UF-M/16V
D040220083070S
C2048-2050
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2051
nsp
C,ELECT 47UF-M/16V
D040470083080S
C2052
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2053
nsp
C,CERAMIC 1UF-K/16V
D011105173161S
C2055,2056
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2058
nsp
C,CERAMIC 1UF-K/16V
D011105173161S
C2059
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2061-2064
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2065
nsp
C,ELECT 47UF-M/16V
D040470083080S
C2066,2067
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2070,2071
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C2074
nsp
C,ELECT 47UF-M/16V
D040470083080S
C2079
nsp
C,CERAMIC 220PF-J/50V
D010221167160S
nsp
CN,WIRE 1P
L000800010110S
OTHERS PARTS GROUP
B2001
B2003
nsp
CN,WIRE 1P
L000800010110S
B2005
nsp
CN,WIRE 1P
L000900010110S
K1001
963646012330S TER,RCA 6PIN RCA-603A-54
G603603A0540YS
K1012
963643010900S TER,RCA 3PIN RCA-303A(2)
G606303A0800YS
K1013
963643010900S TER,RCA 3PIN RCA-303A(2)
G606303A0800YS
K2001
963643003460S TER,RCA 4PIN
G602405BE310YS
K2003
963643010880S TER,RCA 2PIN RCA-207B1
G601207B1050YS
L1001
L1002
nsp
COIL INDUCTOR 33UH
D330330700520S
00D9630126504 BEAD,COIL 3550R2F
7610035500030S
N2001
nsp
CN,WAFER 19P C125Z2-19
L109012521910S
N2002
nsp
CN,WAFER 17P C125Z2-17
L109012521710S
N2003
nsp
CN,WAFER 13P C125Z2-13
L109012521310S
N2004
nsp
CN.WAFER 4P 20010WR-04
L101200100420S
X1001
00D9630217400 CRYSTAL 14.31818MHZ
X1002
963141012140M CRYSTAL 17.734476MHZ
E80014R318080S
N1B, N1SG, K1B
154
E80017R734410S
*
PCB AUDIO ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty
SEMICONDUCTORS GROUP
IC3003
235810045600S
IC R2A15220FP
J084152200010S
IC3005,3006
00D2631289900
IC AZ4580M
J121458000020S
IC3007
00D2623727904
IC NJW1194A
J084119400010S
IC3008
00D2631289900
IC AZ4580M
J121458000020S
Q4001
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4002
00D9630121402
TR KRA104S
J520010400210S
Q4003
00D9630120704
TR KRA102S(PB)
J520010200210S
Q4005
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4006
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q4015
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4016
00D9630121402
TR KRA104S
J520010400210S
Q4017
00D9630120704
TR KRA102S(PB)
J520010200210S
Q4019
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4020,4021
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q4023
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4024
00D9630121402
TR KRA104S
J520010400210S
Q4025
00D9630120704
TR KRA102S(PB)
J520010200210S
Q4026
00D9630121402
TR KRA104S
J520010400210S
Q4027
00D9630120704
TR KRA102S(PB)
J520010200210S
Q4029
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4030
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q4032
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4033
00D9630121402
TR KRA104S
J520010400210S
Q4034
00D9630120704
TR KRA102S(PB)
J520010200210S
Q4036
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4037
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q4039
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4040
00D9630121402
TR KRA104S
J520010400210S
Q4041
00D9630120704
TR KRA102S(PB)
J520010200210S
Q4043
00D9630044301
TR KTC2875B(MB)
J5222875B0010S
Q4044
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q4098,4099
963219010760S
TR 2SC KRC231S(NW)
J5220231M0010S
Q4100
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q4101
963219010740S
TR 2SA KRA111S
J520011100210S
Q4102
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
D3023
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D3025
00D9630328409
D,SWITCHING 1N4007
K000400700010S
D4000-4002
00D9630328409
D,SWITCHING 1N4007
K000400700010S
ZD4000
00D2760693962
D,ZENER 0.2W 3.6V UDZ
K06603R64P400S
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
CAPACITORS GROUP
C3001-3012
C3036,3037
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C3038,3039
00D9609009937
C,ELECT 100UF-M/50V
D040101087060S
C3042
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3047,3048
nsp
R,CHIP 0-J, 1/16W
C20000006M160S
155
New
Ref. No.
Part No.
C3049
nsp
C3053
00D9630333203
C3056
nsp
Part Name
Remarks
Q'ty
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 100UF-M/16V
D040101083090S
C,CERAMIC 330PF-J/50V
D010331167160S
C3058
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3059
00D9630333203
C,ELECT 100UF-M/16V
D040101083090S
C3062
963134010710S
C,ELECT 47UF-M/35V
D040470085060S
C3065
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3066,3067
C,ELECT 47UF-M/35V
D040470085060S
C3068
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3072
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 47UF-M/35V
D040470085060S
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 47UF-M/35V
D040470085060S
C3073,3074
963134010710S
963134010710S
C3075
nsp
C3079
963134010710S
C3087,3088
C3090
nsp
00D9630234205
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 10UF-M/50V
D040100087070S
C3091,3092
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C3093
nsp
C,CERAMIC 68PF-J/50V
D010680167160S
C3094
C3095-3097
nsp
00D9630234205
C,CERAMIC 100PF-J/50V
D010101167160S
C,ELECT 10UF-M/50V
D040100087070S
D011332777160S
C3098
nsp
C,CERAMIC 3300PF-K/50V
C3099
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C3100
00D9630294203
C,ELECT 33UF-M/16V
D040330083050S
C3101
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C3102
00D9609010010
C,ELECT 4.7UF-M/50V
D0404R7087250S
C3103
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C3104
00D9630294203
C,ELECT 33UF-M/16V
D040330083050S
C3105
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C3106
00D9609010010
C,ELECT 4.7UF-M/50V
D0404R7087250S
C3107
nsp
C,CERAMIC 0.1UF-K/50V
D011104577160S
C3108
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C3110
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C3111
nsp
C3112
00D9630234205
C,CERAMIC 3300PF-K/50V
D011332777160S
C,ELECT 10UF-M/50V
D040100087070S
C3113,3114
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C3115
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3116
nsp
C,CERAMIC 68PF-J/50V
D010680167160S
C3117
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C3118,3119
nsp
R,CHIP 0-J, 1/16W
C20000006M160S
C3120
nsp
C3121
00D9630234205
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 10UF-M/50V
D040100087070S
C3124
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3135
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3141
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C20000006M160S
C3144,3145
nsp
R,CHIP 0-J, 1/16W
C3146
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C3161,3162
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4000
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C4001,4002
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4003
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4004
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C4005
nsp
C4006
00D9609010023
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 0.47UF-M/50V
D040R47087050S
156
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
C4007,4008
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4017,4018
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4019
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4020
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C4021
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4022
00D9609010023
C,ELECT 0.47UF-M/50V
D040R47087050S
C4023-4026
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4027
nsp
C4028
00D9609010023
C4029
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C,ELECT 0.47UF-M/50V
D040R47087050S
C,CERAMIC 0.01UF-K/50V
D010103777160S
C4030
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4031
00D9609010023
C,ELECT 0.47UF-M/50V
D040R47087050S
C4032-4035
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4036
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4037
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C4038
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4039
00D9609010023
C,ELECT 0.47UF-M/50V
D040R47087050S
C4040-4043
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4044
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4045
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C4046
nsp
C,CERAMIC 330PF-J/50V
D010331167160S
C4047
00D9609010023
C,ELECT 0.47UF-M/50V
D040R47087050S
C4048,4049
00D9630224503
C,ELECT 22UF-M/50V
D040220087060S
C4055
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C4056
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C4057
nsp
C,CERAMIC 0.01UF-K/50V
D010103777160S
C4058
nsp
C,CERAMIC 100PF-J/50V
D010101167160S
C4059
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C4129
00D9630234205
C,ELECT 10UF-M/50V
D040100087070S
C4130
nsp
C,FILM 0.0082UF
N1B,N1SG
D02082206C060S
C4131
nsp
C,FILM 0.0047UF
N1B,N1SG
D02047206C060S
C4134
00D9630234205
C4135
nsp
C,FILM 0.0082UF
N1B,N1SG
D02082206C060S
C4136
nsp
C,FILM 0.0047UF
N1B,N1SG
D02047206C060S
C4137-4141
nsp
C,CERAMIC 100PF-J/50V
U1B
D010101167160S
C4142
nsp
C,CERAMIC 0.1UF-K/50V
U1B
D011104577160S
C4143-4145
nsp
C,CERAMIC 100PF-J/50V
N1B, N1SG, K1B
D010101167160S
C4146
nsp
C,CERAMIC 33PF-J/50V
N1B, N1SG, K1B
D010330167160S
C,CERAMIC 100PF-J/50V
N1B, N1SG, K1B
C4147,4148
nsp
C4149
00D9630234205
C4150
nsp
C,ELECT 10UF-M/50V
New
D040100087070S
D010101167160S
C,ELECT 10UF-M/50V
D040100087070S
C,CERAMIC 4700PF-K/50V
D011472777160S
OTHERS PARTS GROUP
CN3000
nsp
CN.WAFER 33P C125Z2
L109012523310S
CN3001
nsp
CN,WIRE 13P 20010HS-13
L002221132620S
CP4000
nsp
CN,WAFER 25P C125Z1-25
L109012512510S
CP4002
963183012380S
TUNER,FM/AM KST-MW004MV1-S63SV
U1B
E903004100031S
CP4003
963183011320S
TUNER,FM/AM KST-MT104MV1-2
N1B,N1SG
E903104100110S
JK3001,3002
00D9630132103
TER,RCA 4PIN RCA-405B-04
157
G602405B0400YS
*
Ref. No.
Part No.
Part Name
Remarks
Q'ty
JK3003
90M-YT004640R
TER,RCA 2PIN RCA-207AE-02
G601207AE020YS
JK3004
00D9630387505
TER,RCA 4PIN RCA-405B-55
G602405B5500YS
JK3005
00D9630132103
TER,RCA 4PIN RCA-405B-04
G602405B0400YS
JK4001,4002
90M-YT004640R
TER,RCA 2PIN RCA-207AE-02
G601207AE020YS
JK4003
00D9630387505
TER,RCA 4PIN RCA-405B-55
G602405B5500YS
JK4004
00D9630132103
TER,RCA 4PIN RCA-405B-04
G602405B0400YS
L4000
nsp
FILTER, MPX 75J-207CX
L4001
nsp
COIL,BEAD CBW160808U121T
L4002
nsp
FILTER, MPX 75J-207CX
CX3000
nsp
CN,WAFER 19P C125Z2-19
L109012521910S
CX3001
nsp
CN,WAFER 13P C125Z2-13
L109012521310S
CX3002
nsp
CN,WAFER 17P C125Z2-17
L109012521710S
CX3003
nsp
CN,WAFER 15P C125Z2-15
L109012521510S
CX4000
nsp
CN,WAFER 27P C125Z1-27
L109012512710S
CX4001
nsp
CN,WAFER 17P C125Z1-17
L109012511710S
CX4002
nsp
CN,WAFER 15P C125Z1-15
L109012511510S
CX4003
nsp
CN.FPC 19P TWG-P19P-A1
L131019000010S
N1B,N1SG
N1B,N1SG
158
E401010020020S
D340160811210S
E401010020020S
New
PCB HDMI ASS'Y
Ref. No.
Part No.
Part Name
Remarks
Q'ty
New
SEMICONDUCTORS GROUP
U1001
nsp
U1301
00D2622557900
U1302
nsp
IC ADV7840
J040784005510S
IC SN74LV14APWR
J040741400180S
IC ANALOG ABT1030
J080103005510S
NOTE :
When update Firmware,
please confirm a last
version in SDI.
Use the service board
after updating it.
U1303
963244012410S
IC IS42S16400F-6TL
U1501
963239010410S
IC ADV7511BSTZ-225
J001421640060S
U1703
00D2623077900
IC TC74VHCU04FT
U1706
236810062608S
IC LC89058W-E
U1707
963243010640S
IC PAL/PLD
(EPM3032A-TC44)
8952161100040
U1901
nsp
IC ADSP21367KSWZ2A1181
J080213675520S
U1902
246810048609S
IC W9864G2IH-6
J001986460060S
U1903
963248012190M
IC MEMORY FLASH
(EN29LV160BB-70TI)
8952160100030
U2101
236810086505S
IC AK5358BET-E2
J043535805520S
U2102
236810073509S
IC AK4358VQ-L
J042435800010S
U2103
00D2631289900
IC AZ4580M
J121458000020S
U2104
00D2631289900
IC AZ4580M
J121458000020S
U2105
00D2631289900
IC AZ4580M
J121458000020S
U2106
00D2631289900
IC AZ4580M
J121458000020S
U2301
963248012200M
IC MEMORY FLASH
(EN29LV160BT-70TIP)
8952160100040
U2302
963244012410S
IS42S16400F-6TL
J001421640060S
J040751100010S
J040740405580S
J046890580020S
U2303
nsp
IC MFI341S2164
J044341216410S
U2304
963239008830S
IC TCC8600 32BIT
J085860000010S
U2305
236810070500S
IC AK4424ET-E2 TSSOP16
J042442405520S
U2306
00D2790055907
SW,POLY MICROSMD175F
G300017500010S
U2501
nsp
IC EX3AV
J048030030010S
U2502
nsp
IC EX3AV
J048030030010S
U2503
nsp
IC EX3AV
J048030030010S
U2504
231310009508S
IC PQ033DNA1ZPH
J126033010010S
U2505
231310009508S
IC PQ033DNA1ZPH
J126033010010S
U2506
234810015507S
IC BU4248F
J126424800010S
U2901
00MHC007805KZ
IC TC74VHCT125AFT
J040741255580S
U2903
00D2623437906
IC TC74VHCT244AFT
U2904
00D2623410907
IC TC74VHCT08AFT
U1B
J040740800090S
U2905
00D2623444902
IC TC74VHC08FT
U1B,N1B,N1SG
J040740800280S
U3101
963262012150M
MODULE REMOCON R94EV1A
E940941003610S
U3102
963243012300M
IC CPU MICRO PROCESS
(R5F64169DFD )
8952500500010
U3103
246810026500S
IC R1EX24256ASAS0A
J000242565550S
U3301
963243012310M
IC CPU MICRO PROCESS
(R5F3650KNFB)
8952500500020
Q1001
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q1002
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q1003
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q1004
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q1005
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q1006
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q1007
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q1008,1009
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q1301
00D9600285006
TR KRC104S (ND)
J522104S00210S
J040742445540S
159
*
*
Ref. No.
Part No.
Part Name
Remarks
Q'ty
Q1302
00D2750110905
SEMI HN1K02FU
J543102000020S
Q1501
00D9630120704
TR KRA102S(PB)
J520010200210S
Q1502
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q1901
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q1902
00D9630120704
TR KRA102S(PB)
J520010200210S
Q2302,2303
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2304
963219004200S
CHIP FDC608PZ P-CH
J543608000010S
Q2305
00D9630120704
TR KRA102S(PB)
J520010200210S
Q2507-2514
00D9630121402
TR KRA104S
J520010400210S
Q2515-2522
963219004200S
CHIP FDC608PZ P-CH
J543608000010S
Q2523,2524
00D2710326904
TR 2SA 2SA1954
J520195405510S
Q2525
00MHZ2000921Y
CHIP DAN202U 1.2V
K005020200200S
Q2526,2527
00D2710326904
TR 2SA 2SA1954
J520195405510S
Q2528,2529
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2531,2532
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2533
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q2536
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2537
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q2540
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2541
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q2542
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2543
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q2549
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2550
00D9600285006
TR KRC104S (ND)
J522104S00210S
Q2901
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q2902
963219010750S
TR 2SA KTA1504G
J5201504G0210S
Q2903,2904
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q2905
963219010750S
TR 2SA KTA1504G
J5201504G0210S
Q2906-2910
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q3101
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q3104
00D9630120704
TR KRA102S(PB)
J520010200210S
Q3105
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q3106-3109
00D9630121402
TR KRA104S
J520010400210S
Q3110
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q3301
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q3302
00D9630120704
TR KRA102S(PB)
J520010200210S
Q3303
963219002180S
TR 2SD2114KT146W
J5232114K0010S
Q3304
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
Q3305
00D9630328302
TR 2SC KTC3875G(ALG)
J5223875G0210S
Q3306
00D9630120801
TR 2SC KRC102S (NB)
J522010200210S
D2501
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
D2502
00D9630328603
D,SCHOTTKY RB521S-30
K125521300010S
D3105
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
D3106
90M-HI200030R
LED,IR SIR-34ST3F 3PI 2.5MM
K505343000010S
D3112
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
D3301
00D9630355401
D,SWITCHING KDS4148U
K005041480030S
RESISTORS GROUP
R2704
nsp
R,CHIP 10K-J,1/16W
U1B
C20001036M111S
R2704
nsp
R,CHIP THICK 4.7K-J,1/16W
N1B, N1SG, K1B
C20004726M101S
160
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
R2906
nsp
R,CHIP 33-J, 1/16W
N1B,N1SG
R2907
nsp
R,CHIP 33-J, 1/16W
U1B
C20003306M101S
C20003306M101S
R3119
nsp
R,CHIP THICK 10K-J,1/16W-1608REEL
U1B
C20001036M160S
R3122
nsp
R,CHIP THICK 10K-J,1/16W-1608REEL
N1B, N1SG, K1B
C20001036M160S
CAPACITORS GROUP
C1
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1001-1007
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1008
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C1009-1012
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1013,1014
nsp
C,CERAMIC 12PF-J/50V
D011120167101S
C1015,1016
nsp
C,CERAMIC 15PF-J/50V
D011150167101S
C1017
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1018
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C1019
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1022-1029
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1037
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1039,1040
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1041
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1042
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1044,1045
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1047
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1055,1056
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1057
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1058
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1059
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1060
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1061
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1063
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1066-1070
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1073
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1075-1077
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1078
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1079
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1081,1082
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1083
nsp
C,CERAMIC 0.082UF-K/16V
D011823773161S
C1084
nsp
C,CERAMIC0.01UF-K/50V
D011103777160S
C1085
nsp
C,CERAMIC 0.82UF-K/10V
D011824772162S
C1086
nsp
C,CERAMIC X7R0.039UF-K/50V
D011393707160S
C1087
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C1088,1089
nsp
C,CERAMIC 0.1UF-K/50V
D011104177101S
C1090
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1091-1093
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1094
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C1095
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1102,1103
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1105
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1107
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1109
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1122
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1124
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
161
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
C1125
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1127-1130
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1301-1303
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C1304,1305
nsp
C,CERAMIC 9PF-D/50V
D011090117101S
C1306
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C1307
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1308,1309
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1310-1312
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1313,1314
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1315
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1316,1317
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1318-1320
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1321,1322
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C1323-1338
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1339-1341
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C1342
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1343
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1344-1348
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1501
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1502
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1505-1508
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1509
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1512-1515
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1516
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1519,1520
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1521
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C1524-1526
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C1701-1703
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1706,1707
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C1708
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1709-1713
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1714,1715
nsp
C,CERAMIC 10PF-D/50V
D011100117101S
C1716,1717
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1718
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1720
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1721
C1722
nsp
C,CERAMIC 0.022UF-K/25V
D011223777160S
C1723-1728
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1901-1910
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1919-1941
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1942
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1943
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1944
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1945
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1948-1953
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1954
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1955-1960
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1961-1963
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C1965
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C1966,1967
nsp
C,CERAMIC 7PF-C/50V
D011070117101S
C1968-1981
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2101
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
162
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
C2103
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C2104
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2105
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C2106
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C2107,2108
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2109-2128
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C2129,2130
00D9630338606
C,ELECT 10UF-MVG/16V
D050100083470S
C2131
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2132,2133
nsp
C,CERAMIC0.01UF-K/50V
D011103777160S
C2134
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2135
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C2136
963134000450S
C,ELECT 100UF-MVG/16V
D050101083660S
C2137,2138
nsp
C,CERAMIC X7R3900PF-K/50V
D011392777160S
C2139
nsp
C,CERAMIC X7R2700PF-K/50V
D011272777160S
C2140-2142
nsp
C,CERAMIC X7R3900PF-K/50V
D011392777160S
C2143,2144
nsp
C,CERAMIC X7R2700PF-K/50V
D011272777160S
C2145-2160
nsp
C,CERAMIC 470PF-J/50V
D010471167160S
C2161,2162
963134000450S
C,ELECT 100UF-MVG/16V
D050101083660S
C2302
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C2303-2311
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2312
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C2313
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2315
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C2321-2323
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2328
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C2329
nsp
C,CERAMIC 100PF-J/50V
D011101167101S
C2330-2334
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2335
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C2336
nsp
C,CERAMIC 390PF-K/50V
D011391177101S
C2337-2339
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2340
nsp
C,CERAMIC 1200PF-K/50V
D011122177101S
C2341-2343
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2344
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C2348-2350
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2354,2355
nsp
C,CERAMIC 18PF-J/50V
D011180167101S
C2356
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C2358
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2359
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C2360-2363
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C2364,2365
nsp
C,CERAMIC 2200PF-K/50V
D011222777160S
C2366
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2369,2370
nsp
C,CERAMIC 100PF-J/50V
D011101167101S
C2501-2503
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2513-2515
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C2516-2518
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C2519-2521
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2522-2527
nsp
C,CERAMIC 10UF-K/16V
D011106573200S
C2528-2530
nsp
C,CERAMIC 15PF-J/50V
D011150167101S
C2537-2544
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C2545-2552
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2553-2556
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C2559
nsp
C,CERAMIC X7R0.015UF-K/50V
D011153777160S
163
New
Ref. No.
C2560
Part No.
00D9630325402
Part Name
Remarks
Q'ty
C,ELECT 470UF-MVG/6.3V
D050471081200S
C2561,2562
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2564
nsp
C,CERAMIC 1UF-K/10V
D011105772161S
C2565,2566
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2569
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2572
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
C2715-2724
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2725
nsp
C,CERAMIC 470PF-K/50V
D011471177101S
C2726
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2727
nsp
C,CERAMIC 470PF-K/50V
D011471177101S
C2728
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2729,2730
nsp
C,CERAMIC CHIP HIK X7R)
470PF-K/50V-1005REEL
D011471177101S
C2901
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2903
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2906-2908
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2909
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C2910
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C2911-2914
nsp
C,CERAMIC 0.01UF-K/25V
D011103774102S
C3107
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C3109
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C3111-3113
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C3114
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C3120
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C3121
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C3122,3123
nsp
C,CERAMIC 10PF-D/50V
D011100117101S
C3124-3128
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C3301,3302
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C3303,3304
nsp
C,CERAMIC T.C COG10PF-D/50V
D010100117160S
C3305,3306
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C3307
nsp
C,CERAMIC 4.7UF-K/6.3V
D011475571160S
C3315
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C3316
nsp
C,CERAMIC 220PF-K/50V
D011221177101S
C3317
nsp
C,CERAMIC 0.1UF-K/16V
D011104177101S
C9151-9155
nsp
C,CERAMIC 1000PF-K/50V
D011102177101S
L1001
nsp
COIL,BEAD CBW160808U121T
D340160811210S
L1003
nsp
R,THICK 0-J,1/10W
C200000060201S
L1301-1303
nsp
COIL,BEAD CBW160808U121T
D340160811210S
L1501-1503
nsp
COIL,CHIP FLC32C220K
D307322205520S
L2301-2303
nsp
COIL,BEAD BLM21PG221SN1
D340201212210S
L2304,2305
nsp
R,CHIP 0-J, 1/16W
C20000006M160S
L2306
nsp
R,THICK 0-J,1/10W
C200000060201S
L2501-2506
nsp
COIL,BEAD BLM21PG221SN1
D340201212210S
L2513-2515
nsp
COIL,BEAD BLM21PG221SN1
D340201212210S
L2516
nsp
COIL,BEAD CBW160808U121T
D340160811210S
L2714
nsp
R,CHIP 0-J, 1/16W
C20000006M160S
L2766
nsp
R,CHIP 0-J, 1/16W
C20000006M160S
L2825
nsp
R,THICK 0-J,1/10W
C200000060201S
L2830
nsp
R,CHIP 0-J, 1/16W
C20000006M160S
OTHERS PARTS GROUP
164
New
Ref. No.
Part No.
Part Name
Remarks
Q'ty
New
X1001,1002
141810044504S
CRYSTAL CHIP FCX-04(28.63636MHz)
E80528R636380S
X1301
141810045507S
CRYSTAL CHIP FCX-04(27MHz)
E80527R000080S
X1701
141810046500S
CRYSTAL CHIP FCX-04(24.576MHz)
E80524R576080S
X1902
141810047503S
CRYSTAL CHIP FCX-04(20.815MHz)
E80520R815080S
X2302
963141010270S
CRYSTAL CHIP FCX-04C(12MHz)
E80512R000080S
X3102
141810048506S
CRYSTAL CHIP FCX-04(16MHz)
E80516R000080S
X3302
141810048506S
CRYSTAL CHIP FCX-04(16MHz)
E80516R000080S
K1001-1004
644010108608S
HDMI CONNECTOR(YKF45-7074N)
L109100190450S
d
K1501
644010108608S
HDMI CONNECTOR(YKF45-7074N)
L109100190450S
d
K1701
963646012340S
TER,RCA 2PIN 206A-07
G601206A0700YS
K1702
00D9630237503
MODULE JSR1165-C
E100116500040S
K1703
00D9630237503
MODULE JSR1165-C
E100116500040S
K1704
00D9630113009
MODULE JST1165
E100116500030S
K3103
nsp
JACK,D3.5 EARPHONE
G40130802000YS
N1701
nsp
CN.FPC 7P 1.0-16-7PB-2
L130100160730S
N2501
nsp
CN.WAFER 5P SMW250-5P
L102050010040S
N2701
nsp
CN,WAFER 19P C125Z2-19
L109012521910S
N2702
nsp
CN,WAFER 17P C125Z2-17
L109012521710S
N2704
nsp
CN,WAFER 19P C125Z2-19
L109012521910S
N2705
nsp
CN,WAFER 17P C125Z2-17
L109012521710S
N2706
nsp
CN.WAFER 5P 20010-05
L101200100510S
N2707
nsp
CN.WAFER 33P C125Z2
L109012523310S
N2708
nsp
CN,WAFER 25P C125Z2-25
L109012522510S
N2709
nsp
CN,WAFER 11P C125Z2-11
L109012521110S
N2710
nsp
CN.FPC 40P 10022HS-40C
L130100220400S
N3101
nsp
CN.FPC 11P
L130100161130S
N3301
nsp
CN.FPC 11P
L130100161130S
N4401
nsp
CN.WAFER 5P 20010-05
L101200100510S
Z1
nsp
CLAMP STYLE PIN 1P
4330210162000S
Z3101
nsp
SHIELD CASE t0.3 A4
3070210646000S
165
*
Download