Uploaded by 236283222

数字后端各种Cell相关概念汇总

advertisement
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
博客
下载·课程
学习
社区
认证
MyGitHub
云服务
搜索
后端
登录/注册
会员中心
足迹
动态
数字后端各种Cell相关概念汇总
小林陽
已于 2022-04-18 11:52:29 修改
分类专栏: IC-PR
文章标签: 后端
1777
版权
单片机
fpga开发
IC-PR 专栏收录该内容
收藏 98
4 订阅
4 篇文章
订阅专栏
数字后端各种Cell相关概念汇总
数字电路器件
反相器
逻辑门
触发器
锁存器
半加器
全加器
半减器
全减器
数字后端常见Cell相关名词
buffer
repeater
delay cell
endcap cell
tap cell
decap cell
tie cell
filler cell
spare cell
clock gating cell
ICG cell
数字电路器件
反相器
反相器是最基础的MOS器件,英文是inverter,一般缩写为INV。
反相器对信号有驱动作用,但是会使信号反相。
反相器由NMOS和PMOS组成,栅端(G)相连作为输入端,漏断相连作为输出
端,NMOS的源端接地,PMOS的源端接电源VDD.
逻辑门
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
1/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
逻辑门(Logic Gates)是在集成电路(Integrated Circuit)上的基本组件。简单的逻
辑门可由晶体管组成。这些晶体管的组合可以使代表两种信号的高低电平在通过
它们之后产生高电平或者低电平的信号。高、低电平可以分别代表逻辑上的“真”
与“假”或二进制当中的1和0,从而实现逻辑运算。
触发器
触发器类型:RS型、JK型、D型和T型(含T’型)触发器。工作中最常用的是D型
触发器(D-FlipFlop)。
触发器是最基本、最重要的时序单元电路,也是构成时序逻辑电路的基本单元电
路(时序逻辑电路具有记忆功能)
工作原理:
当无时钟脉冲作用(C=0)时,控制电路封锁,无论D为何值,与非门G3、G4输
出均为1,触发器状态保持不变。
当时钟脉冲作用(C=1)时,若D=0,则门G4输出为1,门G3输出为0,触发器状
态被置0;若D=1,则门G4输出为0,门G3输出为1,触发器状态被置1.
锁存器
所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信
号时输入的状态才被保存到输出,直到下一个锁存信号到来时才改变。
由若干个钟控D触发器构成的一次能存储多位二进制代码的时序逻辑电路。数据
有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算
器电路中有时采用锁存器作为数据暂存器。
Latch电路结构
当 E = 1 时,latch直传(transparent),D端信号的变化会即时反应在Q端;
当 E = 0 时,latch关断(closed),Q端保持关断瞬间D端的值。
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
2/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
设计中使用Latch的好处是,相比寄存器的面积更小,功耗更低。
半加器
半加器不考虑低位进位来的进位值,只有两个输入,两个输出。由一个与门和异
或门构成.
真值表/逻辑表达式/门电路图
全加器
当多位数相加时,半加器可用于最低位求和,并给出进位数。第二位的相加有两
个待加数和,还有一个来自前面低位送来的进位数。这三个数相加,得出本位和
数(全加和数)和进位数。这种就是“全加"
真值表/逻辑表达式/门电路图
半减器
半减器用于计算两bitXi和Yi的减法,输出结果Di和向高位的借位Bo(Borrow
output)
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
3/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
真值表/逻辑表达式/门电路图
全减器
全减器不同于半减器在于,全减器输入来自低位的借位Bi(Borrow input),另外
两个输入xi、yi,输出为Di和向高位借位Bo
真值表/逻辑表达式/门电路图
数字后端常见Cell相关名词
buffer
理论上,buffer是由两个完全相同的inverter级联而成,但这不是标准库单元中设
计buffer的做法。为了节省面积,buffer的第一级通常驱动很小,并且离第二级
inverter很近,而第二级 inverter的驱动力更大。
数字电路中的buffer一般有两个作用:
1.提高驱动能力
buffer是一种宽高比很大的mos管,宽高比大意味着电流大,驱动能力高。
在扇出很大的wire中插入buffer可以提高带负载能力,常见于时钟树中。
2.确保信号时序正确
当一条wire很长时,延迟很大(delay正比于长度的平方,设长度为1,delay为
1),这时在中间插入buffer,wire delay变为1/4+1/4=1/2,只要buffer delay小于
1/2,则buffer的插入可以缩短wire delay​。
​
当一条wire的延迟不大时,如果有hold violation(表现为数据到达过快,需要滞
后到达),则插入buffer,利用buffer delay可以修正这个hold violation。
repeater
中继器,原理上buffer/inverter都属于中继器。
eco阶段经常使用ecoAddRepeater修复timing
使用方法:
ecoAddRepeater -loc {x y} -cell BUF_X4B_A9TR40 -net $net_name
delay cell
延迟单元,常用于data path上,相比较与buffer,可以添加更多的delay,用来修
复hold timing。
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
4/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
它可以起到增加更多delay的作用,用来修复hold time的violation。但是驱动很
弱,需要避免让它推动load较大的cell。
endcap cell
boundary cell,也被称为endcap Cell。Endcap是一种特殊的标准单元。
在后端物理设计中,除了与,非,或等一些常见的标准单元外,还有一些特殊的
物理单元(physical cell),它们通常没有逻辑电路,不存在与netlist当中,但是对
整个芯片的运行,稳定却起着举足轻重的作用。那endcap cell就是其中一种,它
俗称为拐角单元,作用是确保每个nwell都是nwell enclosed,类似一个封闭环。
主要加在row的结尾(两边都要加) , 以及memory 或者其他block的周围包边,
如下图所示:
使用方法
create_tap_cells
tap cell
也被称为welltap cell。一种特殊的物理单元。
welltap是只包含well contact的cell,将衬底接到电源和地网络,避免衬底悬浮。
主要防止CMOS器件的寄生闩锁效应(latch-up)
一般tap cell的作用范围是30~40um, 即每隔60um左右放置一个tap cell,具体的数
据要参考工艺商给的document
well tap cell一般交错摆放,类似棋盘分布。如下图所示:
使用方法
create_tap_cells
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
5/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
decap cell
去耦单元,增加电源的电容值。可以降低动态电压降。
在电源电压正常的时候,DECAP可以充电来存储能量,当电源电压较低的时候就
可以放电来起到一定的缓冲作用。
tie cell
tie cell(电压钳位单元),常见的有tie high,tie low两种,分别提供电源地电位。主
要起到ESD保护的功能。通常在placement之后,route之前添加。
上图中,M1的栅极和漏极连接在一起,M1工作在饱和区,起到有源电阻的作
用。A点的电位为高电位,M2始终导通工作在线性区,OUT1输出低电平。这样
M1,M2组成了Tie low。同理,M3,M4组成了Tie high。
filler cell
通常是单元库中与逻辑无关的填充物,可以分为IO filler以及普通的standard cell
filler
IO filler,也叫作pad filler,通常是用来填充I/O 单元与I/O单元之间的空隙。为了
更好的完成power ring(电源环),也就是ESD之间的电源连接。通常是在
Floorplan阶段时添加。
使用方法:
create_io_filler_cells
create_io_filler_cells -reference_cells FILLER
standard cell filler,也是为了填充std cell之间的空隙。主要是把扩散层连接起来
满足DRC规则和设计需求,并形成power rails。这个在route之前,之后加都可
以。
使用方法:
create_stdcell_fillers
create_stdcell_fillers -lib_cells {mylib/FILL_2X mylib/FILL_1X}
spare cell
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
6/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
spare cell就是备用的cell。 简单来说,就是每块地方洒一些类似SDFF,NAND,
AND,XOR,INV等的备用cell, 为以后做function eco和metal eco用。
一般Foundry会提供一种服务,允许客户在量产工艺加工进行到某个阶段的时
候,让部分wafer暂时停止,而部分wafer继续加工。这样的话,客户可以在加工
到poly层时(后面的金属层还没有做),停止大部分wafer的进程,而让少量
wafer继续加工到完成,然后对这些已完成的wafer上的die进行测试,如果发现有
功能或时序上的问题,就可能通过预先布在die上的 Spare cell来解决。只是改动
几层金属层光罩就可以完成standard cell重新连接,而不用改动standard cell的布
局(要改poly层之前的所有光罩)。那些暂停加工的wafer这时就可以用新的金属
层光罩往后加工,于是在silicon和光罩两方面都降低了成本。
使用方法:
add_spare_cells
add_spare_cells -cell_name spare1 -lib_cell {AND2 OR2} -num_instances
250
clock gating cell
时钟门控单元。为了减少时钟网络中的功耗消耗,最直接的办法就是如果不需要
时钟的时候,就把时钟关掉。这种方法就是门控时钟。
更具控制方法的不同,一般可以分与门门控、锁存门控、寄存门控。实际soc设计
用的最多的是锁存结构的门控时钟。
ICG cell
1.什么是ICG?
为了节约动态功耗,最初有个十分简单的想法:在芯片实际工作过程中,有些信
号或者功能并不需要一直开启,那么就可以在它们不用的时候将其时钟信号关
闭。这样一来信号不再翻转,从而能够有效减少动态功耗,这就是Clock
Gating。
可以看到在加入Clock Gating之后,DFF的clock信号前多了一个使能端EN,从而
可以控制该时钟信号的打开与关闭
一般每个ICG会控制一个或多个DFF,通过某个DFF传递过来的控制信号控制ICG
的开启或者关断。
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
7/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
2.clock gate cell 时钟门控单元结构图
latch或reg:用于同步使能信号,防止出现亚稳态和毛刺。
与门:使能信号无效时,关断模块输入时钟。
D触发器:简化的reg。
3.为什么要使用ICG?
在数字IC设计中,时钟树的功耗占比可能高达30%,而使用ICG电路后,可以从
两个方面节省功耗:
1.减少了时钟端的翻转
2.使用ICG的电路资源消耗相对减少
4.如何clk gate?
将一直翻转的时钟变为en有效才翻转的时钟,即将CLK转化为CLK_G。
#以上是从各个网页收集整理,如有侵权请告知。
数字IC后端设计_基础课(含链接)免费
一套IC后端设计基础课,助你快速成为数字后端设计工程师
数字后端基本概念介绍——Standard Cell
Tao_ZT的博客
8603
今天要介绍的数字后端基本概念是Standard cell,中文名标准单元。这是设计中最基本的…
参与评论
您还未登录,请先
登录
后发表或查看评论
数字后端基本概念介绍<Tap Cell>_IC后端小白的博客-CSD...
4-28
今天要介绍的数字后端基本概念是Tap cell, 也被称为welltap cell。这也是一种特殊的物理…
数字后端概念——MIM cell_时钟树上的小猴子的博客
4-1
后端设计中的特殊cell大盘点 最后介绍一下MIMCAP,其中MIM指的是Metal-Insulator-Metal,…
Cell体系结构及其实现
第1页:引言
DigBug的专栏
6185
Cell Broadband Engine(以下简称为Cell BE)处理器是对Cell Broadba…
数字后端流程中各种special cell定义及其插入顺序
qq_36480087的博客
3410
Physical cell物理单元库:和逻辑单元库分类相同,但也包括一些特殊单元,在后端物理实…
数字后端基本概念介绍<Spare cell>_Tao_ZT的博客
6-20
今天要介绍的数字后端基本概念是Spare cell. 像它的名字一样,spare cell就是备用的cell。 …
数字后端基本概念介绍<Cell Spacing Constraint>_Tao_Z...
6-20
今天我们要介绍的数字后端基本概念是cell spacing
constraint(标准单元距离约束)。
该约…
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
8/12
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
2022/7/11 23:24
数字后端知识点扫盲——芯片行业中wafer,die,c… dongdongnihao_的博客
439
芯片行业中wafer,die,cell的概念
数字后端——ECO
沧海一升的博客
2939
对ECO(engineering change order)进行简单介绍
数字后端基本概念介绍<Gcell>_Tao_ZT的博客
4-27
数字后端基本概念介绍<Gcell> 今天我们要介绍的概念是G cell,全称global routing cells。 …
后端设计中的特殊cell大盘点
nuoweishizi的博客
5982
后端设计中的特殊cell大盘点 后端设计中有几种常见的特殊cell类型,它们基本上都是physi…
集成电路专有名词:wafer、die、cell是什么,它们的关… Carol0630的博客
1531
wafer、die、cell是什么,它们的关系和区别? 可能你偶尔会听见硬件工程师,或者芯片设…
Buffer和Cache的区别
leotoneo的blog
214
什么是Cache?什么是Buffer?二者的区别是什么? 缓存(cached)是把读取过的数据保存…
Cortex-M4芯片介绍
ly_asmt的博客
3642
一、Cortex-M系列芯片分类 Cortex-M系列芯片按照内核处理器速度不同可分(ST公司为例)…
数字后端基本概念介绍——Row
IC后端小白的博客
888
今天要介绍的数字后端基本概念是Row。我们知道row是表征Floorplan横向排列的一个重…
数字后端基本概念-合集
IC后端小白的博客
2239
个人将基本概念重新整理 原碎片来源:Tao_ZT 个人空间 https: //blog.csdn.net/Tao_ZT 数…
数字后端知识点扫盲——HVT/SVT/LVT …
最新发布
dongdongnihao_的博客
236
HVT/SVT/LVT cell
数字后端基本概念介绍<Endcap Cell>
IC后端小白的博客
1160
今天要介绍的数字后端基本概念是boundary cell,也被称为endcap Cell。Endcap是一种特…
数字后端基本认识
weixin_41788560的博客
8989
1、数字后端的目的 传统上将布局布线前的工作称之为数字前端(Front End)设计,而将布…
数字后端基本概念介绍<Filler Cell>
Tao_ZT的博客
4698
今天我们要介绍的数字后端概念是Filler cell,通常是单元库中与逻辑无关的填充物,可以…
理解DC中的link_library与target_library
kevindas的博客
2574
下图是DC的工作流程示意图: Translate 当DC把我们的rtl代码读入后,会自动地将代码Tr…
数字后端基础——各种缩写定义
qq_36480087的博客
3194
MSMV : Multi-Supply Multi-Voltage (多电源多电压) PSO:Power Shut Off (电源关断…
数字后端基本概念介绍<Decap Cell>
Tao_ZT的博客
4577
今天要介绍的数字后端基本概念是Decap cell。中文名,去耦单元,这是一种特殊的Filler c…
cell基础概念
hepiaopiao_wemedia的博客
5839
1、spare cell 备用cell,共流片时进行function eco和metal eco使用。 使用方法: add_sp…
clock gate cell
ahr7882的博客
666
clock gate的cell多采用latch的形式,来实现,尽可能避免glitch的产生。 可以的verilog建模…
“相关推荐”对你有帮助么?
非常没帮助
没帮助
一般
有帮助
非常有帮助
©️2022 CSDN 皮肤主题:深蓝海洋 设计师:CSDN官方博客 返回首页
关于我 招贤纳 商务合 寻求报
们
士
作
道
400-6600108
kefu@csdn.net
在线客 工作时间 8:30服
22:00
公安备案号11010502030143 京ICP备19004658号 京网文〔2020〕1039-165号 经营性网站备案信息
北京互联网违法和不良信息举报中心 家长监护 网络110报警服务 中国互联网举报中心 Chrome商店下载
©1999-2022北京创新乐知网络技术有限公司 版权与免责声明 版权申诉 出版物许可证 营业执照
小林陽
码龄2年
13
原创
小林陽
41万+
暂无认证
14万+
1万+
周排名 总排名
关注
访问
等级
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-blo…
9/12
2022/7/11 23:24
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
196
95
39
12
372
积分
粉丝
获赞
评论
收藏
关注
私信
搜博主文章
《LTspice仿真
指南》电子书下载
LTspice仿真指南,ADI设计
师们通过亲身试验总结了在
模拟电路设计中使用LTspice
的优势。
热门文章
如何用CalibredrvMergeGDS
修复setup violation的方法总结
数字后端各种Cell相关概念汇总
2590
2290
1757
innovus Tcl 学习索引:快速上手innovus Tcl
1609
Cadence PVS (Physical Verification
System) rule 语法详解
1249
分类专栏
IC-PA
5篇
IC-PR
4篇
Tools-Redhawk
4篇
IC-PV
6篇
Tools-Calibre
3篇
Tools-Calibredrv
2篇
最新评论
Redhawk解析PAD / IOPAD的实现方法
m0_51495202: 老师,请问能发一下redha
wk的用户手册吗
邮箱 1532557237@qq.co
...
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-bl…
10/12
2022/7/11 23:24
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
innovus Tcl 学习索引:快速上手innovus …
JoiR: 谢谢啦
...
innovus Tcl 学习索引:快速上手innovus …
小林陽: https://mp.weixin.qq.com/s/IlTo6V_
7j0SGg4HbwcGedA
...
innovus Tcl 学习索引:快速上手innovus …
JoiR: 引用「更深入且完整的学习dbGet参
考下面的文档:https://mp.weixin.qq.com/s/
...
STARRC rule 和PEX RC rule转换
lsmdecsdn: 你好我smic40工艺按你这个方
法转了大概12小时,然后rule.C和rule.R是...
您愿意向朋友推荐“博客详情页”吗?
强烈不推荐 不推荐
一般般
推荐
强烈推荐
最新文章
什么是Corner?
ITF转Redhawk tech
什么是in-die variation
2022年 3篇
2021年 13篇
广告
目录
数字后端各种Cell相关概念汇总
数字电路器件
反相器
逻辑门
触发器
锁存器
关注
半加器
小林陽
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-bl…
11/12
2022/7/11 23:24
数字后端各种Cell相关概念汇总_小林陽的博客-CSDN博客
全加器
半减器
全减器
数字后端常见Cell相关名词
buffer
repeater
delay cell
小林陽
关注
5
0
98
https://blog.csdn.net/kobayashiyou/article/details/123053518?spm=1001.2101.3001.6650.11&utm_medium=distribute.pc_relevant.none-task-bl…
12/12
Download