Uploaded by Никита Брызгалин

kursovaya shander

advertisement
ВВЕДЕНИЕ
Цель работы: закрепить полученные знания в теории, на практике. Соответственно,
выполнять операции арифметического умножения и выполнять вычислительные
работы по заданным значениям.
В любой области науки, техники, производства посредством деятельности и
методов
вычислительной
техники,
направленных
на
поощрение
производительности труда человека.
В ходе курсового проекта составим проект логической схемы числового
множителя положительных чисел. Для построения логической схемы используем
цепные и комбинационные цифровые устройства. При взаимном умножении
двоичного числа получаем результат трехчастичного умножения в смешанной
цифровой единице, представленной как конъюнктурная сеть.
Главная проблема, стоящая сегодня перед нашим государством, - это
информатизация общества. Для решения этой задачи необходимо интенсивное
развитие оборудования обработки и передачи информации. Процесс обработки и
передачи информации может осуществляться с использованием цифрового
сигнала, так как способ цифровой передачи имеет высокую стабильность помех,
высокую точность, а также прост в управлении, прост в автоматизации, высокую
гибкость и независимость качества передачи от расстояния.
В любой области науки, техники, производства посредством деятельности и
методов
вычислительной
техники,
направленных
на
поощрение
производительности труда человека.
Благодаря вычислительной технике в настоящее время у каждого человека есть
персональный компьютер, мобильный телефон и многое другое.В данном
курсовом проекте в качестве простого примера конструируемой установки можно
рассмотреть калькулятор, относящийся к вычислительной машинке.
С помощью калькулятора человек может ввести нужные ему цифры и нажать на
кнопку, которая выполнит необходимую операцию, и за короткое время посчитать
более крупные цифры. Это также пример повышения производительности
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
4
человеческого труда.
Также лучше обратить внимание на систему подсчета. В зависимости от типа и
назначения множителя, сфера его применения также разнообразна.
Поэтому в настоящее время любая техника связи: радио и телевидение,
телефонная, телеграфная, многоканальная аппаратура связи, а также контрольноизмерительная и диагностическая аппаратура в основном состоят из различных
микросхем цифровой установки.
В любой области науки, техники,производства посредством деятельности и
методов
вычислительной
техники,
направленных
на
поощрение
производительности труда человека.
Основой функционирования цифровых установок с высокой надежностью
является правильное применение в них интегральных микросхем и осуществление
режимов их эксплуатации: это вызвано, как правило, невыполнением условий,
приводящих к нарушению и невыполнению функций, недостатком знаний об их
технических характеристиках, электрических параметрах и принципах сборки и
работы. Существуют и другие известные нам системы десятичного счета:
двоичная, восьмеричная, шестнадцатеричная
Например, в двоичной системе счисления используются логические 0 и 1. В
вычислительной
технике
эта
система
подсчета
является
наиболее
распространенной, так как сюда передается информация – в виде цепочки данных.
настоящее время очень часто используется ЭВМ-множитель двоичных чисел.
Работоспособность
ЭВМ
определяется
временем
выполнения
операции
умножения. Для реализации программы умножения двух чисел требуется
определенное время на выполнение операции сдвига и сложения. Быстрое действие
множителя выполняется на основе КЛС. Поэтому большое практическое значение
имеет ознакомление с техническими характеристиками, функциональным
составом серий ИМС, особенностями их применения, а также изучение
многообразия и принципов сборки и режимов работы ОПП типовых узлов
цифровых устройств и схем основных элементов.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
5
1 СТРУКТУРНАЯ СХЕМА АЛУ,ВЫПОЛНЯЮЩАЯ ОПЕРАЦИЮ УМНОЖЕНИЯ
НА ДВОИЧНЫЕ ЧИСЛА
1.1 Область применения и назначение
монтируемой установки
При развитии электронной вычислительной техники широкое применение
нашли двоичные, восьмеричные и шестнадцатеричные системы счисления.
Несмотря на то, что десятичная система счисления нашла широкое применение,
ЭВМ создается с помощью двоичных (цифровых) элементов, так как реализация с
выделением элемента для конкретных десяти различных ситуаций была бы очень
сложной. В двоичной системе счисления используются только две цифры 0 и 1.
Двоичная система подсчета очень проста по сравнению со всеми системами
подсчета, и технически ее проще реализовать на компьютере. В компьютерах
используют двоичную систему подсчета, поскольку она имеет ряд преимуществ
при рассмотрении с другими системами.
Имеет двоичные коды, счетчики и регистры памяти: используются в
телеграфной, телефонной связи, кассовом аппарате, калькуляторах, банкоматах и
терминалах.
На практике алгоритм умножения положительных двоичных чисел используется
в вычислительной машине и системе, банкомате и терминалах, микропроцессорной
технике.
А5 А4 А3 А2 А1
B5 B4 В3 В2 В1
(А5В1)(А4В1) (А3В1) (А2В1) (А1В1)
(А5В2) (А4В2) (А3В2) (А2В2) (А1В2)
+
(А5В3) (А4В3) (А3В3) (А2В3) (А1В3)
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
6
(А5В4) (А4В4) (A3B4) (A2B4) (A1B4)
(А5В5) (А4В5) (A3B5) (A2B5) (A1B5)
S9
S8
S7
S6
S5
S4
S3
S2
S1
Рассмотрим в качестве примера процесс умножения четырехразрядных двоичных
чисел, приведенный в задании. Операция умножения выполняется, начиная с
наименьшего разряда множителя: A = 6=0101(10) = 0010(2) B = 7(10) = 0011(2)
Так, в процессе умножения двоичных чисел образуется частичное произведение,
которое записывается сдвигом и суммируется. Сравниваем результаты умножения
числа A и B на двоичную и десятичную СЖ. Для этого преобразуем результат
произведения в двоичную систему счисления:
011100110 показывает, что операция умножения выполнена правильно, если(2) =42
(10).
1.2 Описание работы структурной схемы
установки
Структурная схема установки состоит из следующих элементов: шифратор,
счетчик, комбинационно-логическая схема КЛС1, КЛС2, КЛС3, КЛС4, клс5
сумматор1, сумматор2, регистр.
Положительное число "А" поступает на вход шифратора и преобразуется из
десятичного числа в код 3а+2 в двоичной системе счисления. Полученные сигналы
А1, А2, А3, А4, А5 поступают на вход КЛС1, КЛС2, КЛС3 КЛС4 и КЛС5.
Положительно значное число " В " поступает на вход счетчика и, преобразуясь из
десятичной в двойную, на выходе выдает сигнал В1,В2, В3, В4, В5. Сигнал В1
поступает на вход КЛС1. Снятые с КЛС1 сигналы В1 и сигналы А1, А2, А3, А4, А5
увеличить. А сигнал В2 поступает на вход КЛС2 и воспроизводится на нем
сигналами А1, А2, А3, А4, А5. А сигнал В3 поступает на вход КЛС3 и
воспроизводится на нем сигналами А1, А2, А3, А4, А5. А сигнал В3 поступает на
вход КЛС3 и воспроизводится на нем сигналами А1, А2, А3, А4, А5. На выходе
КЛС1 получаем частичное произведение следующих сигналов: А1В1, А2В1, А3В1,
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
7
А4В1, А5В1. А КЛС2 произведение следующих сигналов: А1В2, А2В2, А3В2,
А4В2, А5В2.
А в КЛС3 произведение следующих сигналов: А1В3, А2В3, А3В3, А4В3, А5В3. А
в КЛС4 произведение следующих сигналов: А1В4, А2В4, А3В4, А4В4, А5В4.
А в КЛС5 произведение следующих сигналов: А1В5, А2В5, А3В5, А4В5, А5В5.
Сигнал А1В1 (S0) сразу поступает в параллельно – последовательный регистр.
Сигнал а2в1 и а1в2 поступает на вход сумматора ЅМ1 (А1 и В1), где накопленное
соединение формирует сигнал S1 на выходе и поступает в регистр. Сигнал а3в1 и
а2в2 поступает на второй вход сумматора ЅМ1 (А2 и В2), С выхода которого
снимается сигнал S2. Сигнал а4в1 и а3в2 поступает на третий вход сумматора ЅМ1
(А3 и В3), С выхода которого снимается сигнал S3. Сигнал а4в2 поступает на
четвертый вход сумматора ЅМ1 (А4 и В4) и включается сигналом «0», так как А4
заземляется из-за зазора, а на выходе получаем сигнал S4.
Сигнал а1в3 и S2 поступает на вход сумматора ЅМ2 (А1 и В1), где на выходе
накопленного соединения получаем сигнал S1. Ну а сигнал а2в3 и S3 поступает на
второй вход сумматора ЅМ2 (А2 и В2), на выходе которого получаем сигнал S2. Ну
а сигнал а3в3 и S4 поступает на третий вход сумматора ЅМ2 (А3 и В3), на выходе
которого получаем сигнал S3. Сигнал а4в3 поступает на четвертый вход сумматора
ЅМ2 (А4 и В4) и включается сигналом «0», так как А4 заземляется из-за зазора, а
на выходе получаем сигнал S4. Сигналы на выходе S1, S2, S3, S4, S5 сумматора
ЅМ2 поступают в параллельно - последовательный регистр и преобразуются в нем
из параллельной формы в последовательную. Итак, на выходе регистра получаем
произведение положительных чисел «А» и «В». Чтобы изобразить этот результат,
используем семисегментный девятиразрядный светодиодный индикатор. Для этого
потребуется преобразователь из кода 3а+2 в код индикатора.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
8
2 ФУНКЦИОНАЛЬНАЯ СХЕМА
УСТРОЙСТВА
2.1 Описание работы принципиальной
схемы установки
Так как в курсовом проекте базис или – нет, то для сборки шифратора я выбрал
микросхему К155ЛА3 (D1.1 – D1.3), к155ла6 (D2.1 – D2.2) или к555ив1, 74147,
74148. На выходе D2.1 и D2.2 получаются двоичные четвертичные числа в коде
8421.
Вместо счетчика выбрана микросхема КР1533ИЕ6 (D4.1), 74191, на вход которой
подается аналоговая сигнализация, на выходе получается четырехтразрядное
двоичное число. Счетчик был сконструирован с помощью JK-триггеров на
микросхеме К555 ТВ6, 7476.
Вместо комбинационной логической схемы была получена микросхема К155ЛИ1
(D5,D6,D7). Входы DD5,DD6 2,3,6,9,11 и 12 включают логические 0, а входы 5 и 8
включают логические 1. На всех выходах DD5 и DD6 имеем логические нули. На
входах DD7 2 и 11 поступают логические единицы, на входах 3,5,6,8,9,12
поступают логические единицы. На выходах 4 и 10 поступают DD7 имеют
логические единицы, на выходах 1 и 13 имеют логические единицы.
Вместо сумматора я выбрал двухразрядную микросхему к555им5, 7482 (D8,D9)
или четырехразрядную микросхему К555ИМ2, 7483 (D8,D9).
На входы
10,11,8,7,3,4,1 и 16 DD8 приходятся логические нули, на выходы 9,6 2 и 15
получаем логические нули. На входы 7 и 4 DD9 приходятся логические единицы,
на входы 10,11,8,3,1,16 идут логические единицы, на выходы 9 и 15 получаем
логические нули, на выходы 6 и 2 получаем логические единицы.
В качестве регистра выбрана микросхема КР1533ИР10 (D15). На входы 1,2,3 и
10 приходят логические нули, на входы 4 и 5 идут логические единицы. На выход
13 регистра получаем 25 чисел.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
9
2.2 Составление шифратора с загадкой
числа А
Шифратор относится к функциональному узлу комбинационного типа, который
использует код" N-1 " для преобразования в двоичный код. На вход шифратора
последовательно выводятся значения десятичных чисел. При передаче активного
(активного) логического сигнала на один из входов шифратора, на его выходе
образуется (образуется) двоичный код, соответствующий номеру активированного
входа (т. е. десятичной).
Шифратор с входом 2П и выходом п называется полным. А если число входов
шифровальщика меньше 2п, то оно называется неполным.
Шифратор иногда называют" кодером " (на английском языке coder), и он
используется для преобразования десятичных чисел, набранных с клавиатуры
кнопочного пульта управления, в двоичные числа. При нажатии любой кнопки
клавиши на соответствующий вход шифратора выводится логический один (лог.1)
подается сигнал, который на выходе преобразуется в двоично-десятичный код.
Кроме того, лог. Сигнал 1 подается только на один вход в каждый момент времени.
Это называется активированным входом. Такие шифраторы с одним входом
активированы
называются
двоичными
шифраторами.
Помимо
двоичного
шифратора, в практике широко используются привилегированные (приоритетные)
шифраторы.
Шифраторы могут применяться в многофункциональных микросхемах СК или в
отдельных микросхемах. Первая буква и третьей группы в маркировке многих
микросхем СС определяет точное устройство данного ИМС. Микросхемы
шифратора обозначаются Ив. Изображение шифратора обозначается английской
буквой (см. рис.1.1) CD (coder). Шифратор в виде микросхемы выпускается в двух
видах:
1) при преобразовании любого десятичного числа в двоично-десятичный код
«3а+2» используется шифратор 10x5 (10 входов и 5 выходов). Так как десятичные
числа состоят из числа от 0 до 15, то в зависимости от указанного числа шифраторы
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
10
также состоят из шестнадцати входов. Поскольку Код пятиразрядный «3а+2 " (см.
табл.1.1), выход шифраторов для данного кода равен 5. Примером может служить
микросхема К555ИВЗ (рис.1.1), аналом которой является микросхема SN74LS147N
фирмы «Texas Instruments». Его расходы обозначены буквами У1, У2, У3, У4, У5
для кода» 3а+2". Они используются при вводе цифровой информации с помощью
клавиатуры.
2) при преобразовании первых восьми десятичных чисел от 0 до 7 в трехразрядный
двоичный код используется шифратор 8x3. Они часто используются в управлении
работой других СК. Примером может служить микросхема К555ИВ1 (рис.1.1),
представляющая собой микросхему SN74LS148N фирмы» Texas Instruments". Он
0... Для чисел 7 имеется восемь входов и три выхода для трехразрядного двоичного
кода АО, А1, А2.
Рисунок 1. микросхема шифратора к555ив3 и 74148
К555ив3 (рис. 1.1) микросхема предпочтительного шифратора. Его кодируемый
сигнал тревоги имеет 1 – 9 отрицательных входов и четыре 1 – 2 – 4 – 8 имеет
отрицательный исходящий код. В текущем случае все входы и выходы имеют
логическую 1. Когда одному из любых входов присваивается логический 0, этот же
сигнал соответствует количеству передаваемых входов 1 – 2 – 4 – 8 на выходе
формируется отрицательный код. Если на несколько информационных входов
микросхемы сразу подается логический сигнал 0, то код вывода формируется в
соответствии с наибольшим числом входов.
Принцип работы шифратора очень прост: если активный сигнал подается на вход
в виде какого-то десятичного числа, то на выходе формируется двоичный код,
соответствующий этому числу. В соответствии с заданным числом код называется
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
11
адресом этого числа. Поэтому в микросхеме КР1533ИВ3 значения для кода
обозначаются буквой А (от англ.address - адрес). Если требуется шифратор, не
выпускаемый в виде готовой микросхемы, то они встраиваются в состав
многофункциональной СК или создаются в виде логического элемента
микросхемы.
В этом проекте я рассмотрю построение шифратора в двоично-десятичном
преобразовании десятичных чисел в код «8421". Выбор кода в данном случае имеет
две причины: определение структуры соответствующего микросхемы к555ивз
(аналогового микросхемы SN74LS147N фирмы«Texas Instruments»), показанного
на рис. 1.1;
1) Определение количества и активности входов и выходов: такой шифратор
всего 0...Состоит из шестнадцати входов для десятичных чисел до 15 и 5 выходов
для 5-разрядного кода «8421".
2) составим таблицу истинности шифратора (табл. 1.1). Используя таблицу 1.1,
запишу код «8421» на каждое десятичное число. Кодовые условные обозначения
обозначаем как микросхемы КР1533ИВЗ.
3) запишем уравнение СДНФ для каждого базиса шифратора или-не:
У1= Х1 v X3 v X5 v X7 v X9
Y2= X0 v X3 v X4 v X7 v X8
Y3= X2 v X4 v X6 v X7 v X9
Y4= X2 v X3 v X4 v X8 v X9
Y5= X5 v X6 v X7 v X8 v X9
4) переходим в базис или-не, для этого дважды отрицаю, затем применяю закон
Де-Моргана, затем определяю число соответствующего логического элемента;
У1= Х1 X3  X5  X7  X9
Остальные логические значения находятся в такой структуре, или - не-базисный
процесс обмена точно такой же. Поэтому мы можем записать полученное решение.
Y2= X0  X3  X4  X7  X8
Y3= X2  X4  X6  X7  X9
Y4= X2  X3  X4  X8  X9
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
12
Y5= X5  X6  X7  X8  X9
Для построения логической схемы шифратора необходимо: 4 элемента 8 или-не.
Запись такого логического значения можно рассматривать с другой стороны,
например, если активный сигнал подается последовательно от входа числа 8 до
входа числа 15, то на выходе «У4» формируется сигнал 1 (см. табл.1.1).
4) Выбор микросхемы: для сборки шифратора в коде 8421 или в базисе-не
необходимо получить четыре микросхемы КМ155ЛН1.
Таблица 1 таблица истинности кода 3а+2
Входы десятичного
числа
X
0
1
2
3
4
5
6
7
8
9
«8421» выход кода
У5
0
0
0
0
0
1
1
1
1
1
У4
0
0
1
1
1
0
0
0
1
1
У3
0
1
0
0
1
0
1
1
0
1
У2
1
0
0
1
1
0
0
1
1
0
У0
0
1
0
1
0
1
0
1
0
1
Необходимо отрицать все входные сигналы логических значений. Для выполнения
данной операции не вводим в схему логические элементы: считаем все входы
шифратора (микросхемы к555ивз) отрицательными (см. рис.1.1).
6) Выбор микросхемы: выбрал микросхему КМ155ЛН1, так как в ней находится 1
элемент, выполняющий функцию 8 входов или-не. Его можно наблюдать на рис.
1
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
13
Рисунок 2 ШГБ и расположение элемента Шеффера в микросхеме КМ155ЛН1,
К155ЛЛ1 и КМ155ЛЛ1.
7) нарисую логическую схему шифратора на базисе или-не и для составления
электрической схемы на основе этого логического уравнения необходимо выбрать
микросхему КР531ЛА3.
8) составляю список микросхем КМ155ЛЛ1, необходимых для данной схемы, т. е.
моей схеме нужно 5 штук, что указано в спецификациях.
2.3 Синтез интегрального счетчика
В данном курсовом проекте номер первого соединителя а передается от
шифратора к десятично-двоичному интегральному счетчику. Считывательустройство, которое при каждом соответствующем отклонении импульсов
изменяет число в ряду вместе. В зависимости от направления изменения числа в
составе существуют прямые, отрицательные и универсальные счетчики. Счетчики
создаются на основе Т-триггеров.
Ксч-число внутренних состояний цифрового автомата. Возможно, Ксч =2K,
где k – целое число, тогда счетчик называется двоичным. Может Ксч = 10к-тогда
десятичный счетчик.
Самый простой счетчик-триггер. Его Ксч =2, так как он может находиться в
обоих состояниях. В зависимости от момента включения триггеров счетчики
делятся на синхронные и асинхронные. В момент асинхронного включения
переход триггеров из одного состояния в другое происходит последовательно, а
при синхронном переключении триггеры переключаются в один и тот же момент.
Рисунок 2- Триггер JK на микросхеме К555ТВ6. Переписчики будут добавлять и
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
14
вычитать. Наряду с добавляющими и вычитающими счетчиками применяются
реверсивные счетчики. (+, -). В этом разделе мы синтезируем счетчика. Для синтеза
любого счетчика, как и для любой цифровой установки, важна таблица истинности.
В данном случае-это таблица в коде 3а+2 (табл. 1.1). Для построения счетчика
можно использовать Т-триггеры, D-триггеры и JK-триггеры, из которых выбираем
JK-триггер. Причина, по которой мы выбрали JK - триггер, заключается в том, что
мы можем построить t-триггер, последовательно соединяя входы J и K через этот
триггер.
Микросхема К555ТВ6 состоит из сдвоенного JK-триггера. Каждый триггер
состоит из: С-тактовый вход передачи импульса, J и K – вход передачи
информации, R-вход очистки. Преимущество R - входа в том, что при спуске на
него логического 0 на триггерах устанавливается условие 0, т. е. на прямом выходе
триггера лог 0, а на отрицательном выходе лог 1. При подаче лога 1 на вход R
записывается информация на входе J и K. При уменьшении положительного
полярного импульса на входе С триггеры переключаются. Предельная частота
работы триггеров микросхемы к555тв6 30мГц. Для составления сводной (табл.2.2)
таблицы состояний счетчика заполняю с помощью таблицы переключения JKтриггера (табл. 2.1).
Таблица 2. таблица переключения триггера JK.
Положение переключения на
J
K
триггере
0
00
1
01
1
10
0
11
В таблице истинности анализируются последовательные переходы триггеров из
одного положения в другое, начиная с первого столбца у1, совпадающие с таблицей
переключений переписчика, заполняются полные сводные таблицы состояний
переписчика, заполняя графы J1, K1, затем графы у1 , у2, у3, J2, K2, K3, J4, K4, J5,
K5 в соответствии с графами я могу.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
15
Рисунок 3- Порядок заполнения диаграммы Вейча и схема выходного триггера
запись в соответствии с параметрами
Таблица 1- Сводная таблица состояния счетчика.
Х
0
1
2
3
4
5
6
7
8
9
J1
0
1
0
1
0
1
0
1
*
*
K1
*
*
*
*
*
*
*
*
0
1
J2
0
1
0
1
*
*
*
*
0
1
K2
*
*
0
1
*
*
0
1
*
*
J3
0
1
*
*
0
1
*
*
0
1
K3
*
*
0
1
*
*
0
1
*
*
J4
1
*
1
*
1
*
1
*
1
*
K4
*
1
*
1
*
1
*
1
*
1
Метод минимизации, используемый картой Вейча, является визуальным и простым
типом минимизации. И в этом случае используется графический метод
отображения АЛФ.
Карта Вейча представляет квадрат 2n прямоугольным, где n-число переменных
булевой функции, необходимых для графического представления. при n-четном
каждая сторона прямоугольника состоит из ячейки, а при n-нечетном одна сторона
прямоугольника состоит из ячейки, а другая-из ячейки.
Любые две диаграммы АЛФ, зависящие от аргумента n, используют диаграмму
АЛФ, зависящую от аргумента n + 1.
Каждой ячейке на диаграмме ставится соответствующая элементарная
конъюнкция (набор аргументов), и в этом случае соседние конъюнкции совпадают.
Ячейки в двух крайних противоположных рядах и столбцах также считаются
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
16
соседними соединениями (можно представить, что диаграмма находится в сетке).
Карты Вейча, заполненные таблицей состояния счетчика, приведены на рисунке
2.2. Взяв уравнение логической функции, необходимой для соединения входов и
выходов JK – триггеров, образующих счетчик, путем группового объединения
логических одно - (1) расположенных сеток на диаграмме Вейча 2, 4, 8, 16, тем
самым синтезирую счетчика.
J1
1
*
1
K1
1
0
0
*
0
*
0
1
*
1
J2
*
1
*
J3
*
1
1
*
*
*
*
*
*
0
*
1
0
0
*
*
*
*
1
0
0
*
*
*
*
1
1
1
*
*
*
K2
1
*
0
*
*
0
0
1
*
*
K3
*
1
0
*
*
0
0
0
*
*
J4
*
*
K4
*
*
1
1
1
1
1
*
1
1
1
Рисунок 4- Диаграмма Вейча, заполненная в соответствии с таблицей состояния
счетчика.
В результате получаем уравнение следующих логических функций:
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
17
J1= Q1vQ̅3; K1=Q̅2
J2= Q1vQ̅2 ; K2= Q1
J3= (Q1˅Q̅3)v Q̅2 ; K3= Q1
J4= Q̅1 ˅ (Q̅2 ˅ Q4 ) ˅(Q̅3 ˅ Q̅3 ); K4= (Q1˅ Q̅3 ˅ Q̅3) (Q̅2 ˅ Q4 )
Приведена логическая схема счетчика, синтезированного с помощью JK –
триггера в четырех экземплярах, а на рисунке 2.3 показано условное графическое
обозначение этого счетчика и составлено на микросхеме К155ИЕ6.
Рисунок 4 СГБ счетчика на микросхеме КР1533ИЕ6 и 74191
Микросхема реверсивного двоичного счетчика КР1533ИЕ6, которая работает
в коде 1-2-4-8 и производит подсчет до 10. Проведем анализ микросхемы ИЕ6. На
входы + 1 и -1 подаются тактовые импульсы. При подаче импульса на вход +1
производится отсчет в прямом направлении, а при подаче на вход -1-в обратном.
R-вход служит для приведения счетчика в положение 0, а L – вход служит для
предварительной записи информации, поступающей на вход D1-D8 счетчика. При
подаче на вход R логической 1 на триггерах счетчика устанавливается условие 0, в
этот момент на входе L также имеется логическая 1.
Для предварительной записи любого числа 0 – 9 счетчику необходимо подать
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
18
отрицательный полярный импульс на вход D1-D8 (D1 - малый, D8 - большой
разряд), при этом логорифм на входе R должен быть 0.
2.4 Синтез преобразователя кода
Преобразователь кода (code converter) - цифровая установка, выполняющая
преобразование одного кода в другой. Преобразователь кода по стандарту
маркируется буквой ПР, некоторые виды маркируются буквой ПП. Изображение
такой установки задается условием Х / У.
В этом и в соответствии с этим в микросхемах других серий маркируется ИД
и обозначается DC, так как они все время работают вместе с сегментным цифровым
индикатором. Набор заданных четных микросхем преобразует код» 3а+2 " в
десятичное число, указанное на индикаторе (как и дешифратор).
1,2,4,8-для двоично-десятичного кода «3а+2». А, В, С, D, E, F, G - расходы
включаются в соответствующие входы индикатора.
Приведем
пример
структуры
преобразователя
кода:
методология
принципиальной электрической схемы преобразователя в код "3а+2".
Определяем входные и выходные числа 1: 4 входа для четырехразрядного кода
«3а+2 " и 7 выходов для четырехразрядного кода.
2 составим таблицу истинности преобразователя (табл.3.3.1). В этой таблице,
используя таблицу 3.3.1, мы покажем значения кода «3а+2» для каждого
десятичного числа.
Таблица 3. таблица истинности полного сумматора
Десятичные цифры
Х3
0
0
0
0
0
0
0
0
1
1
0
1
2
3
4
5
6
7
8
9
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
8421
Грей
Х2 Х1 Х0 Х3 Х2 Х1 Х0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
0
1
0
0
0
1
1
0
1
1
0
0
1
0
1
0
0
0
1
1
0
1
0
1
0
1
1
1
1
1
0
0
1
0
1
1
1
1
0
1
0
0
0
0
0
1
1
0
0
0
0
1
1
1
0
1
ПКРЭС.1306093.008-14ТЗ
Лист
19
Примечание: пустая ячейка карты соответствует группе переменных, которых
нет на входе кода» 3а+2«, поэтому нам все равно, какой сигнал будет в этих ячейках
(знак» х").
3 заполняем Вейч-карту на весь выход преобразователя .
Примечание: обратите внимание на карту У2, где два раза, возвращаясь в
цилиндр (одновременно по горизонтали и вертикали), объединяются 4-х угловые
ячейки. Эта ситуация не является полной, поэтому следует помнить, что 4-х
угловые ячейки на карте должны объединять все в одну область.
1
1
0
0
*
1
*
*
1
1
0
*
0
*
*
0
*
*
0
0
0
*
*
1
1
1
4
запишем
*
*
*
*
*
0
*
1
*
1
0
0
*
*
*
*
*
*
*
*
МДНФ
на
весь
1
*
выход
*
*
0
*
1
*
0
0
*
*
1
1
1
1
*
преобразователя
1
*
и
0
0
*
*
*
определим
соответствующее количество элементов (расчет элементов на данном этапе
выполняется только при построении из базисов схемы или - не).
МКНФ:
A=X2˄ X̅4
B= X̅1
C=X1˄( X2˄X3)( X̅2 ˅ X̅1)
D=(͞ X̅3˅X1) ˄ (͞X2˅X̅3) ˄(X̅1˅X3) ˄(X̅2˅X4) ˅(X2 ˅X3 ˅ X̅1) ˅(X1 ˅X3 ˅ X̅2)
E=(͞ X̅1˅ X̅3 )˅͞(X2˅ X3 ˅X̅1)
F=(͞X̅2 ˅X4) ˄(X1˅͞X3)˄ (X1˅͞ X̅2˅X3)
G=( X̅3˅X1)˄(X2˅͞ X̅3) ˄ (͞X̅2˅X3˅X1) ˄(͞X̅1˅X3)
̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
A= ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
X2˄
X4 ˅ (͞X3˅X1)˄(X̅ 1˅ X̅ 3)
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
20
̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
B= ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
X̅ 3˄(͞X1˅X2)˄(X̅ 1˅X2˅X3)
̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
C= ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
X1˄( X2˄X3)( X̅ 2 ˅ X̅ 1)
̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
D=̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(͞ X̅ 3˅X1) ˄ (͞X2˅X̅ 3) ˄(X̅ 1˅X3) ˄(X̅ 2˅X4) ˅(X2 ˅X3 ˅ X̅ 1) ˅(X1 ˅X3 ˅ X̅ 2)
E= ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅͞
X̅ 1˅ X̅ 3 )˅͞(X2˅ X3 ˅X̅ 1)
F= ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅͞
X̅2 ˅X4) ˄(X1˅͞X3)˄ (X1˅͞ X̅ 2˅X3)
G=̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
X̅ 3˅X1)˄(X2˅͞ X̅ 3) ˄ (͞X̅2˅X3˅X1) ˄(͞X̅1˅X3)
A= X2↓ X4 ↓ (͞X3↓ X1) ↓ (X̅1↓ X̅3)
B= X̅3↓ (͞X1↓ X2) ↓ (X̅1↓ X2↓ X3)
C= X1↓ ( X2↓ X3↓ )( X̅2↓ X̅1)
D=(͞ X̅3↓ X1) ↓ (͞X2↓ X̅3) ↓ (X̅1↓ X3) ↓ (X̅2↓ X4) ↓ (X2↓ X3↓ X̅1) ↓ (X1↓ X3↓ X̅2 )
E=(͞ X̅1↓ X̅3 ) ↓ (X2↓ X3↓ X̅1)
F=(͞X̅2↓ X4) ↓ (X1↓ X3) ↓ (X1↓ X̅2↓ X3)
G=( X̅3↓ X1 ) ↓ (X2↓ X̅3) ↓ (͞X̅2↓ X3↓ X1) ↓ (͞X̅1↓ X3)
Принцип работы любого преобразователя кода очень прост: например, если на
вход преобразователя подается код 01111 (это число 4 в коде «3а+2»), то на выходе
формируется код 1100110 (это тоже число 4, но сегмент в виде семидразрядного
кода с управлением индикатором). При передаче такого же кода на вход
индикатора (а при установке пассивного сигнала на входы ВІ, RBI и LT) загорается
сегмент, показывающий число 4.
Примечание: если устройство имеет несколько выходов, то помимо учета
возможности повторения операции, необходимо учитывать и другие.
В этом случае операция Х3Х0 (пунктирная прямая) повторяется дважды: для
значений У0 и У2. Поэтому при распределении операции Х3Х0 не учитывается 2
или элемент рядом со значением У2, так как этот элемент предварительно
расположен на фрагменте Схемы Для У0.
Аналогичная ситуация существует и для операции Х3Х0 (подчеркивается
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
21
двумя линиями), т. е. повторяется дважды при значениях У1 и У3.
5. Выбираем микросхему: К155ле1-6 шт., К155ЛЕ4-4 шт.
6. на базисе или-не нарисуем схему преобразователя кода
Примечание: микросхема D2 полностью подчеркнута, т. е. это не позволяет
проводам пересекаться при чтении данной схемы. Если микросхема D3, стоящая в
каждом месте, подчеркивается, то она препятствует пересечению проводов и
считыванию схемы.
2.5 Выбор ИМС для управляющего
устройства
При освоении электрической схемы полной структуры множителя положительных чисел учитывалось соединение первого множителя с помощью синтезированной схемы шифратора, вводящего число А, состоящее из четырех разрядов,
и условного обозначения счетчика, передающего число в из четырех разрядов.
Шифровальщик реализован в курсовом проекте микросхемами Dd1 - Dd8.
микросхемы выводятся на выходе преобразованными в двоичный код 3а+2(6(10)
= 11110(2), Код Шифратора-3а+2). С выхода счетчика, реализованного на микросхеме К155ле, преобразует десятичное число в код 3а+2(5(10) = 11111(2)). Далее
умножение схем производится операцией Клс1, Клс2, Клс3, Клс4, Клс5, выполненной на микросхеме К155ла3.
Шифратор иногда называют" Кодером " (на английском языке Coder), и он используется для преобразования десятичных чисел, набранных с клавиатуры кнопочного пульта управления, в двоичные числа. При нажатии любой Кнопки клавиши на соответствующий вход шифратора выводится логический один (Лог.1) подается сигнал, который на выходе преобразуется в двоично-десятичный код. Кроме
того, лог. Сигнал 1 подается только на один вход в каждый момент времени. Это
называется активированным входом. Такие шифраторы с одним входом активированы называются двоичными шифраторами.
Каждое частичное произведение, начиная со второй строки, сдвигается влево
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
22
на один разряд –эту операцию осуществляем с помощью трех параллельных регистров марки К555ир11. Полученные полупродукции постепенно суммируют с помощью трех четырехразрядных сумматоров на микросхеме К155им3, получая значение полного произведения, и полученные результаты сразу передаются в параллельно – последовательный, смещающий вправо регистр на последней микросхеме
Кр1533ие6. В нем значения полного произведения последовательно, начиная с малого разряда и заканчивая большим - конечным разрядом, смещаются вправо.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
23
3 ВЫБОР ИМС ДЛЯ ОПЕРАЦИОННОГО
УСТРОЙСТВА число операционное
3.1 Выбор ИМС параллельного регистра
Регистр-устройство для хранения многоразрядных двоичных чисел. Они
строятся на основе триггеров (например, D-триггеров). Конечно, число триггеров в
регистре определяется числом триггеров в регистре. В зависимости от порядка
ввода числа в регистр, они имеют так называемый последовательный или
параллельный регистр.
В последовательном регистре выход каждого триггера подключен к входу
следующего
триггера.
Разрядные
значения
слова
в
виде
нескольких
последовательных двоичных чисел вводятся один за другим в первый триггер при
соответствующем отклонении тактового сигнала, при этом прежние введенные
значения разрядов в триггерах сдвигаются с одного триггера на следующий.
Конечно, для ввода всего слова потребуется столько тактового сигнала, сколько у
него разрядов.
На
информационные
входы
триггеров
параллельного
регистра
последовательно подаются значения разрядов слова, которые вводятся в регистр по
одному тактовому сигналу.
- Существуют также универсальные регистры, включающие два разных
способа ввода. В качестве примера такого универсального регистра приведено
схематическое обозначение четырехтразрядного регистра 74194 наименований
Рисунок 5- Микросхема КР531ТМ8 и ШГБ
Функция его выводов (т. е. сигналов, подаваемых на них):
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
24
- VCC, GND - соединительные выходы источника питания и земли;
- А, В, С, D, Е-выходы параллельного ввода информации;
- QA, QB, QC , QD, QЕ-расходы регистра;
- SR-ввод информации при смещении влево;
- SL-выход ввода информации при движении вправо;
- S1, S0-выходы сигналов, определяющих режимы работы регистра:
S1 = 0, S0 = 0 - режим хранения; S1 = 0, S0 = 1 - режим сдвига влево; S1 = 1,
S0 = 0-режим сдвига вправо; S1= 1, S0= 1 - режим параллельного ввода;
- CLK-вывод тактового сигнала;
- CLR' - выход сигнала очистки.
Микросхема КР531ТМ8 – регистр, используемый для хранения информации,
составленной из шестисинхронных D-триггеров.При подаче лог 0 на вход R
осуществляется очистка триггеров, а при уменьшении отрицательного полярного
импульса на входе С - Процесс записи.Информация на входе D1-D6, может
изменяться при поступлении на вход с лог 0 или лог 1, на входе С имеет значение
только при непосредственном изменении сигнала от лог 0 до лог 1. Микросхема
КР531ТМ8 имеет прямой выход 1,2,3,4.
В последовательном регистре выход каждого триггера подключен к входу
следующего триггера.
Комбинаций нулей и единиц установка для их приема, хранения передачи
называется.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
25
Рисунок 6- Электрическая схема регистра
На
информационные
входы
триггеров
параллельного
регистра
последовательно подаются значения разрядов слова, которые вводятся в регистр по
одному тактовому сигналу.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
26
3.2 Выбор ИМС сумматора
Двоичная сумма (Binary adder)
- числовая единица, выполняющая
арифметическую сумму двух двоичных кодов. Позже мы назовем это суммой
(сумматором). Они представляют собой состав микросхемы микропроцессора и
извлечения(см. тему 3.21) и являются своего рода значениями.
Арифметическая сумма двоичного кода использует следующие несложные
отношения:0 + 0 = 0; 0 + 1 = 1; 1+0 = 1;
1 + 1=1 0(2часа в виде двоичного кода).
Три предыдущих случая подходят для любой арифметики, а последний случай
является уникальным. Два
а арифметическая сумма 1 + 1 = 10(два в двоичном коде). Поэтому логическую
сумму не следует путать с арифметической суммой (которая использует элементИЛИ-НЕ) (которая использует сумму-сумматор).Арифметическая сумма двух
многоразрядных двоичных кодов дает еще один вариант: 1+1+1=11 (число З в виде
двоичного кода).
Если в арифметической задаче решение двух чисел представляет собой разряд,
состоящий из двух чисел, то в ответ используется меньшее число, а большее число
записывается на следующую сумму разрядов. Последний называется переходным
или обменным (perenos) от заданного разряда к следующему и обозначается как P,
SNI или CR(от английского «carry»). В арифметической задаче 10 (1 + 1) будет
0сигнальный ответ (пишем«ноль»), а 1сигнальный перейдет в следующий разряд
(«один в уме»). Арифметическая сумма одного отдельно взятого разряда дает
числовое значение, называемое одноразрядной суммой (сумматором)
Рисунок 7-Сумматор на одноразрядной микросхеме К155ИМ3
Микросхема К555ИМ3 состоит из двух полных, одноразрядных суматоров,
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
27
каждый из которых имеет три входа ( для передачи чисел а и века, для передачи
тасмала от предыдущего разряда С) и два выхода (S - суммарный, Р - переносной).
В указанном (любом) разряде выполняется арифметическая сумма трех
чисел:А - число первого разрядника,В - число второго разрядника,Р число,перешедшее из предыдущего разряда, одно разрядная сумма (сумматор)
должна иметь три соответствующих входа.В решении формируются два числа: Sчисло суммы, Р' - число, переходящее в следующий разряд.
Поэтому одноразрядная сумма (сумматор) имеет два соответствующих
выхода. Рассмотрим структуру одноразрядной суммы (сумматора). Для этого
сначала формируем таблицу истинности, используя правило арифметического
сложения двоичного кода, указанного выше.
На входе курсового проекта числа множителей А и В преобразуются
шифратором в код «на 3 больше» в двоичной системе счисления. Заданное по
заданию число а т. е. первый множитель преобразуется шифратором в
интегральный счетчик . А число В, т. е. второй множитель, передается в регистр.
Интегральный счетчик компилируется с помощью JK-триггера в коде "более 3".
Параллельный регистр скомпилирован с помощью D-триггера и по определению
выберем микросхему. Все установки должны работать только на коде "более 3" в
процессе проектирования.
Далее цифры А-6, В-5 передаются интегральному сумматору. В процессе
умножения перенос выполняется последовательно от нижнего разряда к высшему.
В результате включения дополнительного (GRP)устройства ИСАС (К5) для
ускорения работы сумматора перенос производится параллельно.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
28
3.3 Техническая характеристика работы
устройства
В первой тактовой сигнализации y0 устанавливается логический уровень «0»
(исходное положение) состояния всех компонентов разработанного устройства.
Команда У1 записывает в регистр R1 А = 111102 (множитель) и за этот тактический
период записывает в регистр R2 число Б = 101002. У3-записывает в счетчике число
17 (в двоичном коде 1000), т. е. исходное положение счетчика.
В последующие тактовые промежутки времени производится перенос состава R2 в
регистр R3’. В третий промежуток времени сигналы х1 и х2 выполняют операцию
умножения.
За допуск операции или алгоритм последовательности операций отвечают команды
x1 и x2. 1 см. таблицу. Последняя цифра умножителя и меньшего разряда
определяет, что произойдет в следующий момент, то есть определяет, что и как
будет выполняться устройством. Если счетчику выпадает значение «1», но X1: ST
= 0 неверно, и операция продолжается по алгоритму. Логический уровень «1 "
приходится на 4-й блок, где выпавшее выражение х2: R2 [0] = 1 верно и подсчет
ведется по дальнейшему алгоритму.
В следующем такте производит запись результата на выходе Y5-сумматора в
регистр R3.
Y6-смещает число на выходе сумматора в один разряд и записывает его в регистр
R2.
Y7-перевод числа из регистра R2 в регистр R3 с сохранением результата
суммирования и смещение вправо выполняется включительно.
Y8-смещение числа, полученного из регистра R3, вправо.
Y9-число на складе счетчика вычитается на одну единицу, пока оно не
освободится. Команды от Y0 до Y9 формируются на выходе управляющего
устройства.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
29
4 ВЫЧИСЛИТЕЛЬНЫЙ РАЗДЕЛ
Таблица 7. Перечень элементов в составе устройства
Позиционное обозначение
Наименован
ие
Количес
тво
Примечание
Устройство 1
К155ИВ1
1
5,G выход заземления
Устройство 2
Устройство 3
К155ИВ3
К155ИЕ6
1
3
Устройство 4
К155ИМ3
3
Устройство 5
К155ТВ6
2
Устройство 6
КР531ТМ8
1
D.1.1-D.1.2- D.1.3
К155ЛЕ4
3
D.2.1
K155ЛЕ1
1
D.3.1- D.3.2
K555ТВ6
2
D.4.1- D.4.2
K155ЛА4
2
D.5.1
K155ЛН1
1
D.6.1-D.6.4
K155ЛЕ1
4
D.7.1
K155ЛЕ4
1
D.8.1.-D.8.3.
K155ЛЕ4
3
D.9.2 -D.9.2
K155ЛЕ1
2
2 элемент А4 выход
заземления
1 элемент не
применяется
3 элемент не
применяется
2элемент не
применяется
D.8.3–1 элмент не
применяется
3 элемент не
применяется
Расход шифратора
3
3
3
4
W1 = ∗ 2 + = 4.35
(1)
Время задержки сигнала
𝑇1 = 4 ∗ 7нс = 22нс
(2)
Расход счетчика
3
1
4
3
W2 = + = 2
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
(3)
ПКРЭС.1306093.008-14ТЗ
Лист
30
Время задержки сигнала
T2 = 2 ∗ 6нс = 12нс
(4)
Расход преобразователя кода
4
3
3
2
4
1
4
3
5
4
4
4
W3 = ∗ 4 + + ∗ 2 + + + = 7.3
(5)
Время задержки сигнала
T3 = 11 ∗ 9нс = 9нс
(6)
T3 - Время задержки сигнала.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
31
ЗАКЛЮЧЕНИЕ
В ходе данного курсового проекта мы проектировали и ознакомились с
принципом работы установки, выполняющей сложение положительных двоичных
чисел, т. е. числовых сумм.
Самый простой счетчик-это триггер, который может находиться в обоих
состояниях.Затем синтезируем счетчика. Для синтеза любого счетчика важное
значение имеет таблица истинности. В данном случае-это составляем таблицу в
коде 3а+2. Я выбрал JK-триггер для сборки счетчика. Причина, по которой я
выбрал JK - триггер, заключается в том, что мы можем построить t-триггер,
последовательно соединяя входы J и K через этот триггер.
Далее с помощью карты Вейча вычисляются функции для формирования
счетчика. Для удобства пополнения карты Вейча существует свой порядок
заполнения.
Пользовался маркой К155ИЕ6. Микросхема К155ИЕ6 представляет собой
асинхронный двоичный счетчик. Он состоит из Т-триггеров и имеет два R-входа,
приведенных в 0.
Далее для вывода полного результата суммы на экран я использовал регистр
к155ир10 с параллельной последовательностью.
В курсовом проекте я собрал все микросхемы, составленные для соединения
чисел А и В, и составил электрическую схему курсового проекта. Устройства,
необходимые для составления этой схемы: Шифратор, счетчик и регистр, я
планировал зафиксировать и запомнить полученный результат и передать один
разряд сумматору.
Соединили параллельно-последовательный регистр сдвига
вправо, постепенно выводя полное значение суммы.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
32
СПИСОК ИСПОЛЬЗОВАННОЙ
ЛИТЕРАТУРЫ
1 Бирюков С. А. Применение интегральных микросхем серии ТТЛ. - М.:
Патриот, 1992. - 120 С.
2 Г. А. Айгараева» цифровые устройства и микропроцессорные системы "
Астана -2010
3 Ж. З. Журинтаев "Схемотехника" Алматы -2011
4 Ермаганбетов К. Т.» цифровая электроника " Караганда Кар МУ - 2001
5 Шанаев О. Т. "цифровые устройства и микропроцессоры" Алматинский РЭС
- 2009
6 м. Нурманов "основы Микросхемотехники" Фолиант Астана -2008
7 Калабеков Б. А. " Цифровые устройства и микропроцессорные системы»
8 Белов А. В "Самоучитель по микропроцессорной технике"
9 Безуглов Д. А., Калиенко И. В. Цифровые устройства и микропроцессоры.
Учебник для ВУЗов-Ростов-на-Дону: Енкс, 2006. - 480 с
10 Жилхайдаров Е. К.» цифровые устройства и микропроцессорные системы "
МПК -2012 учебное пособие.
Изм.
Лист
№ Документа
Подпись
Дата
Датаа
ПКРЭС.1306093.008-14ТЗ
Лист
33
Download