Uploaded by Lin YANG

12

advertisement
PROCEEDINGS OF SPIE
SPIEDigitalLibrary.org/conference-proceedings-of-spie
Benchmarking of advanced CDSEMs against the new unified
specification for sub-0.18-um
lithography
Deleporte, Alain, Allgair, John, Archie, Charles, Banke, G.,
Postek, Michael, et al.
Alain G. Deleporte, John A. Allgair, Charles N. Archie, G. William Banke Jr.,
Michael T. Postek Jr., Jerry E. Schlesinger, Andras E. Vladar, Arnold W. Yanof,
"Benchmarking of advanced CD-SEMs against the new unified specification
for sub-0.18-um lithography," Proc. SPIE 3998, Metrology, Inspection, and
Process Control for Microlithography XIV, (2 June 2000); doi:
10.1117/12.386524
Event: Microlithography 2000, 2000, Santa Clara, CA, United States
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Benchmarking of Advanced CD-SEMs against the New Unified
Specification for sub-0.18 Micrometer Lithography
Alain G. Deleporte', John Allgair2, Charles Archie3, G. William Banke4, Michael T. Postek5,
Jerry Schlesinger6, András E. Vladár5, Arnold Yanof7
'International SEMATECH, Austin, TX 78741 2Motorola Corporation, Austin, TX 78721 3IBM Corporation,
Hopewell Junction, NY 12533 4IBM Corporation, Essex Junction, VT 05452, 5NIST Gaithersburg, MD 20899,
6Texas Instruments Inc., Dallas, TX 75243 7Motorola Corporation, Chandler, AZ 85224
ABSTRACT
The Advanced Metrology Advisory Group (AMAG) comprised of representatives from
International SEMATECH consortium member companies and the National Institute of
Standards and Technology have joined to develop a new unified specification for an advanced
scanning electron microscope critical dimension measurement instrument (CD-SEM). (Ailgair,
et al., 1998) This paper describes the results of an effort to benchmark six CD-SEM
instruments according to this specification.
The consensus among the AMAG metrologists was that many critical areas of performance of
CD-SEMs required improvement. Following this assessment this specification for
benchmarking was developed. The advanced CD-SEM specification addresses several critical
areas for improvement, each with its own a separate section. The critical areas covered are:
precision, accuracy, charging and contamination, performance matching, pattern recognition
and stage navigation accuracy, throughput, and instrumentation outputs. Each section of the
specification contains a concise definition of the respective performance parameter, and
wherever appropriate refers to ISO definitions. The test methodology is described, complete
with the relevant statistical analysis. Many parameters (including precision, matching, and
magnification accuracy) are numerically specified to be consistent with the International
Technology Roadmap for Semiconductors (ITRS, 1999). Other parameters, such as charging
and linewidth accuracy, are targeted with guidelines for improvement. The test wafers
developed for determining the level of compliance with the specification are also discussed.
The AMAG circulated this report among the metrology instrument suppliers and conferred
with them. Certain components of the specification have already been adopted by some of the
manufacturers in their newer metrology instruments. International SEMATECH fabricated the
AMAG test wafers described herein. Measurements on six state-of-the-art metrology
instruments using the AMAG test wafers have been carried out and the results were processed
according to this specification. A review of the results is presented in this paper.
Key words: CD-SEM, lithography, metrology, accuracy, linewidth, specification,
benchmarking
Contribution of the National Institute of Standards and Technology not subject to copyright.
12
In Metrology, Inspection, and Process Control for Microlithography XIV, Neal T. Sullivan, Editor,
Proceedings of SPIE Vol. 3998 (2000) • 0277-786X/0O/$1 5.00
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
1.0 INTRODUCTION
The Advanced Metrology Advisory Group (AMAG) comprised of representatives from: the
International SEMATECH consortium member companies; the National Institute of Standards
and Technology; and International SEMATECH assignees have joined to develop a unified
specification for an advanced scanning electron microscope critical dimension measurement
instrument (CD—SEM). This instrument has been targeted for the sub-0. 1 8 pm manufacturing
technology that will be in place by the year 2000. Below 180 nm, the technologies for imaging
and process development include CD-SEM, atomic force microscopy (AFM), and focused ion
beam (FIB). For CD control, the technologies include CD-SEM, AFM and optical techniques
such as optical critical dimension (OCD) and scatterometry. Even for the current lithographic
technology, these options have demonstrated deficiencies and are lacking adequate
performance in significant areas. Conversely, each of these technologies has also demonstrated
the potential capabilities and advantages (with the SEM ahead in automation) to move into this
regime.
The Advanced CD—SEM Specification (Aligair, et al., 1998) is composed of several sections
devoted to SEM improvements. The first section outlines improvements in instrument
precision. The second section outlines improvements that will enhance measurement
accuracy and instrument performance. The third section addresses the issues of
contamination and charging. The fourth section relates to improvements in instrument
performance matching. The fifth section relates to pattern recognition/stage navigation
accuracy. The sixth section relates to throughput and finally, the seventh and final section
outlines instrumentation outputs currently not available on contemporary instrumentation
that should be available on advanced instrumentation. The complete document (the March
2000 version) is now available on International SEMATECH public web site
(www.SEMATECH.org).
This specification was used to benchmark six state-of-the-art dimensional metrology scanned
beam instruments. A set of test wafers, labeled AMAG wafers, was built and characterized by
SEMATECH to be used in this benchmarking. The most novel aspects of these test wafers and
their characterization are described in Section 2. Some benchmarking results are given in
Section 3. Space constraints prevent publication of the full scope of measurements and
analysis. These will be forthcoming in future publications. This paper emphasizes the most
significant, novel, or surprising results.
Figure 1 illustrates the issues and problems that need to be improved for SEM-based
dimensional metrology for instruments used in semiconductor production. The main
performance metrics are at the top, some of them are linked: accuracy, precision, matching and
throughput. This linkage represents the fact that measurement condition variables can affect
multiple metrics hence the specification requires an instrument be evaluated for these under the
same system and algorithm settings. Multi-dimensional metrology is an emerging key metric.
Tool diagnostic metrics are placed below the line and play a supporting role to understand how
a tool performs on the main performance metrics. The specification is an evolving document
and this figure represents this by the shaded circles.
13
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Figure 1. CD-SEM Metrics
The evaluation tests, based on the specification, were performed at the vendors' demonstration
facilities except for one SEM that was tested at SEMATECII. The same SFMATECH
evaluator observed all testing. As required by the specification, the testing ol precision.
accuracy, matching, and throughput were made tinder similar conditions of measurement
algorithm and SEM configuration with the AMAG wafers.
2.0 AMAG TEST WAFERS
One of the most unique, and perhaps novel, aspects of the evaluations used iii this work is the
extensive use of focus- and dose-varied matrix waftrs. Process engineers realized that the
model of capturing standard processed wafers from their fabricators to evaluate ('F)
measurement tool performance was flawed. The model is fundamentally liawed for two
reasons: 1) product pulled in this manner represents only a snapshot of the process. or product,
variations. 2) the product was never evaluated by a reference measurement system.
The new model used in this henchiiiarking work is to identify the nlain process variables
thought to produce product variations that will provide challenging measurement situations for
CD SEMs. These test wafers enable the measureilient of the response of CD-SEMs under a
much broader set of process variations than those from a manufacturing pcess encountered
OVCF a short period. The primary variables identified for this work are exposure tool variables
of locus and dose, materials of primary features and substrates, and feature type. The effect of
some of these variables is shown in Figure 2. This figure illustrates a small selection of results
out of the entire matrix. These three sets of focus and dose values (far right data) demonstrate
how these parameters affect the shape of nested lines. The first column on the far left is
composed of AFM linescans, the second column is top-down CD—SEM images. the third
coluiim represents linescans associated with the top—down images and the fourth column shows
the cross sectional SEM views.
14
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
J1j' 37mJ. Focus -0.3
1"I
:1AL
____
•
34mJ, Focus +0. I
43mJ, Focus +0.6
Figure 2. Example of three sets of focus and dose values (far right data) demonstrating
how these parameters affect the shape of nested lines.
Another new aspect presented in this paper is feature characterization. A significant effort
vent into the characterization of the feature size and shape. Doing this enables a unique R)ok
into characteristics of accuracy. It is also important to point out that this type of test product is
equally important in evaluating precision. It is a far easier test (and much less meaningful to
the process engineers) to evaluate precision at a single stepper locus and dose.
This overview lays the foundation for the test wafer build and metrology characterization with
the Reference Measurement System (RMS).(Lauchlan et al. 1997) The characterized wafers
are called reference wafers. See section 2.3 for grades "A" and "C" definitions.
2.1 Wafer Description
The AMAG test wafers were fabricated with 13 rows and I 3 coluiiins of square dies
representing an array of focus and dose settings ranging from 25 to 43 nii/cni2 of dose and
from —0.4 to +0.8 om in focus. This is called a Focus and Fxposure Matrix (FEM) wafer. All
the test wafer artifacts were produced with a Micrascati III' at SEMATECII. The reticle was
provided by Advanced Micro Devices (AMD). The mask was designed with adequate isolated
and nested lines of various nominal widths. The features were designed to facilitate easy cross—
sectioning. The resist wafers were made on a polysilicon gate stack and the etched features
were in the same polysilicon gate stack. The resist used was UV6 (800 nnn on DIJV ARC. '[he
('ertam conimerciat equipment is identified in this report to adequately describe tire experuriental procedure.
Such identihication does not rnpty recommendation or endorsement by the National Institute ot Standards arid
1 echnolog, nor does it imply that the equipment identihed is necessarily the best available or the purpose.
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
resulting resist and etched polysilicon lines widths used for testing were 165-285 nm, as
determined by the reference measurement system (RMS).
2.2 Preliminary Characterization
To ensure wafer uniformity, all the resist wafers from the lot were measured non-destructively
with a SEMATECH CD-SEM. This was a preliminary check to see if the wafers needed to be
reworked before final characterization by reference measurement system, or etched in the case
of the etched polysilicon test artifacts. The wafer-to-wafer CD variation was estimated to be 8
nm (3 sigma) by a sampling of 12 wafers at 10 sites per wafer. In addition, the within-wafer
variation of the linewidth was also determined by the reference measurement system.
2.3 Reference Measurement System
The Reference Measurement system (RMS) used in this study was the Veeco SXM 200 atomic
force microscope at SEMATECH. In this paper, this instrument is referred to as the AFM. This
instrument was operated in a non-contact mode. For this work, it was advantageous to use the
AFM as a reference instrument in characterization of the features. First, a feature located at a
certain location can be specified and measured by the AFM without imposing probe damage
and the same feature can then be measured by the CD-SEM under test. Secondly, the AFM
provides cross section information at multiple locations along the feature. This through
averaging minimizes the uncertainty due to line edge roughness.
Still, it is important to compare the AFM cross-section images and the CD data to cross-section
SEM measurements. This can minimize misinterpretations of the results of AFM
measurements. For example, if a boot shaped AFM tip does not extend laterally enough, the tip
will miss severe re-entrant sidewalls and the measurement will report a shape that is not
representative of the real shape of the feature. The SEM cross-section measurements were done
on a Hitachi S-4700 at SEMATECH. The verifications were done on the UV6 resist features
and the etched polysilicon features. The first and the fourth column of images in Figure 2
shows the qualitative comparison of the AFM and SEM cross-section images of the UV6 resist
features, respectively, at the 3 different focus and dose combinations. The second and third
columns of images are top views taken by the non-destructive SEMATECH CD-SEM in full
scan mode and linescan mode, respectively.
2.4 Characterization Prior to Equipment Tests
Two types, or grades, of test wafers were defined by their amount of characterization. The
grade does not mean quality of the wafer. All the wafers within the feature material types were
taken from the same fully characterized lot of wafers. The grades were attributed according to
the number of sites measured by the AFM. Grade "C" and "A" wafers were characterized.
The grade "C" wafers had 6 sites scanned by AFM, which included isolated and nested lines at
each of 3 different dose fields. These wafers were shipped to the SEM suppliers several months
in advance of the test to help them prepare for the AMAG tests.
16
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
'Ilie grade "A'' w t'ers had I sites scanned by the AFM , which iid uded i st dated and nested
luies at each of' 0 different focus and dose fields. 'I'he grade "A'' wafers were bibricated hot ii
with 1 v± resist and with etched polvsi I icon. These test waters were mat led to cacti of' the
participating ('D—SFM suppliers, one week prior to testing.
'l'here was a third group ot waters processed at best focus and (lose for t lie eval nat it m of
contaniriation, charine and stage accuracy.
3.0 BE NCHMARKIN(; RESULTS
3.1 (,'orrcctcd Precision
Hie lileasureinent ot corrected precision and its deft nit ion is descri bed iii t he speci beat ion. I
tins test. 00 sites were measured on two grade "('' waters at cacti manufacturer's site, tour
feature types were measured, polvsilicon isolated and nested tines and resist isolated and
nested lines. 'these tests were conducted over a three—day period using a I ,at in Square I )esi gil
for the sampIin.
ltunatelv each site was visited 3 times. ftc tiii'ee-signia pi'ecislOn was
calculated for each feature type and then these values were corrected by the slope from t tie
accuracy section to obtain the corrected precision. ligure 3 shows how the ( instruments
pertorined. One challenge of tilts test was that it as done using FEM waters to slum (ate
process variation. The nietrology tool was, therefore tested with known varying line shape. 'the
Latin Square experimental design is a balanced 3—wav analysis of variance ( A\OV/\ ) that
lends itself to this analysis for the FEM wal'ers. 'I'he factors that are mci tided are stepper locus.
stepper exposure and time intervals on the inetrologv tool, 'l'lns anal \sis can pit wide
iii lorination on tile et'bct of' these parameters n nleasureilient precision.
o i NI
• . NI
NI'
IA
• .1 III'
o .1 NL
NI "I.', I. 'I N
Figure 3. Corrected Precision (3 sigma values
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
E 300
C
E
I
w
280
.
220
C)
C)
200 —
200
220
240
260
280
300
System under Test [nm]
Figure 4. Typical Measurement Comparison Between RMS and System under Test
3.2 Accuracy
The tests and metrics for accuracy recognize the lack of relevant SEM linewidth standards As
a substitute, a single reference measurement system was used to measure all the wafers. In the
future, when traceable linewidth standards become available this same procedure can provide
traceable measurements for the AMAG test procedures. The accuracy metrics are associated
with performing a linear calibration exercise using these characterized artifacts: slope, average
offset, and non-linearity (a "lack" of fit measure). As described below, the offset metric does
not result from the linear regression analysis, but is part of the linear calibration exercise.
Two grade "A" wafers were used at each vendor: one etched polysilicon, and one resist. Two
feature types were measured on each wafer: an isolated line and a nested line. Nine sites were
measured for each feature and wafer. To minimize the contribution from line edge roughness,
the instrument under test carefully duplicated the measurement location and extent of the AFM
measurement.
An example of the data collected is shown in Figure 4. These data show an interesting data
point significantly off a linear trend. In some situations, such a data point would be classified
as a flyer and removed. In this work, metrology tool evaluation, this cannot be done. It is
necessary to understand why that point missed the trend.
In 1964, John Mandel of NIST (Mandel, 1964) first introduced a least-square linear fitting
technique that handles the case when both regression variables are subject to error. By using
the measurement precision of both instruments, this technique allows us to determine whether
18
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
a linear relationship is a valid assumption for the two sets of measurements. The non-linearity
metric is the ratio of the regression residual to the pure error. This ratio of variances is the
classic F statistic. The F test can be used to say whether there is a statistically significant
difference in the scatter around the best-fit line compared to the precision of the individual
measurements. (Banke and Archie, 1999)
In Figure 5 the Mandel results of slope and average offset for several of the tested instruments
are shown for the four feature types. Each instrument is represented by a different color. There
are 3 target goals for this test:
.
.
.
Preferred slope is 1
Ideal offset is 0 (offset is average value of deltas between x, y of 9 pairs)
All responses of four feature types clustered as tightly as possible.
The most important property is a tight cluster since this indicates the instrument has small
nested/isolated bias as well as measurement insensitivity to material.
As previously mentioned, these metrics come from a calibration exercise. This is rarely done in
manufacturing, but if it were, precision determinations would be quoted in units based on the
calibration. To provide an apples-to-apples comparison of random measurement uncertainty,
the specification calls for the corrected precision to be determined. Corrected precision (by this
definition) is a measurement result that would be given by a calibrated instrument. Given the
way the Mandel method is applied in this work, this means the raw precision determined from
measurements on the uncalibrated instrument should be multiplied by the slope of the Mandel
fit to give the corrected precision.
Figure 6 shows two combined graphs where corrected precision and non-linearity are linked
metrics. Again, each instrument is represented by a different color. These graphs represent a
significant synthesis of all the data from the precision and accuracy sections of the
specification. First, the corrected precision metric gives a precision estimate for equal
sensitivities to actual product variation. Secondly, the non-linearity metric indicates whether
the instrument is measuring or missing critical information.
19
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
1.4 —---
.
1.3
—
I .2
1.1
21.0
Cl)
.
0.9
0.8
%aa
. Etched Isolated Line
Etched Nested Line
0.7
0.6
-60
S
I
-40 -20 0 20 40 60
S
Average Offset (nm]
ResistlsolatedLine
Resist Nested Line
Figure 5. Instrument Performance in theAccuracy Metric Space of' Slope and Offset.
20
—
20 _______________________
- -—
18
'
r16.
14
0
A
12
.
C
16
.
0
12
A
10 •
: ••
8A a..
0.10
A
4.
:
0
18
5
ala
I
10
15
20
Nonlinearity
24,. S
0
j
0
5
10
15
Nonlinearity
Figure 6. Non-linearity versus Corrected Precision with (Left) and without (Right)
Reentrant Feaures.
20
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
20
There is a general trend worth noting: an instrument with large (bad) precision will generally
show better (smaller) non-linearity than one with smaller precision. This is common sense. An
inexpensive gauge with poor precision does not need to be as accurate as a more precise one,
i.e., the non-linear property is hidden in the measurement noise. This specification and analysis
emphasizes that as a CD instrument improves corrected precision as needed by the metrology
roadmap (ITRS, 1999), the linearity of the instrument must also improve.
The two graphs of Figure 6 shows that the major cause of non-linearity in the instruments'
responses was associated with reentrant (recursive) structures: upon removing such structures
as determined by AFM, the non-linearity noticeably improves across the instrument set. The
message is clear: today's CD-SEMs cannot measure reentrant structures accurately and even
with the elimination of the reentrant structures, significant non-linearity remains even in the
most precise instruments. Making an instrument more precise requires making it more accurate
as well. In these examples, the superior tool may be the one with slightly poorer precision but
superior non-linearity. The AMAG conclusion is that a good tool must perform well on both
metrics.
3.3 Matching
System matching refers to measurement output across several machines. The objective is to
monitor the matching performance of multiple tools as part of a program of statistical process
control when multiple tools must be used to achieve production capacity. The philosophy of
multi-tool management is to take corrective action upon the CD—SEM (at a system level) only
in an out-of-control situation or as part of preventative maintenance.
Recipe level corrections will be unacceptable for matching. The traditional method of tool
matching by slope and offset correction is not consistent with file server recipe download to
multiple tools. Such methods also incur extensive documentation control to preserve
traceability of time, tool, and layer dependent corrections. Nor is this intensity of engineering
attention acceptable. Dedicated tools are of course a costly method of matching error control
and therefore undesirable.
Executing the matching tests from the specification was unsuccessful. This was partially due to
a lack of appreciation by many of the suppliers of the importance of this metric. Only one
manufacturer provided access to two instruments of the same generation. Heterogeneous
testing (different generations of CD-SEMs) occurred in two other cases, one being at a
customer site instead of the vendor's. None of the instruments met the specification.
3.4 Contamination — Beam Damage
Contamination, beam damage, and charging are among the most important problems remaining
in SEM—based IC metrology because they essentially modify the sample. Typically, charging
is evident as soon as the electron beam hits the wafer. Contamination and beam damage, on the
other hand, tend to affect the measurements somewhat more slowly. These phenomena act
21
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
together by altering the number, trajectory, and energy of the electrons sampled by the detector
making it difficult to perform good quality, repeatable measurements. These effects can be so
pervasive that they affect the results even within the duration of one measurement.
The change of measured linewidth values due to contamination and material removal is a
variable but significant parameter of the CD—SEMs. This parameter is not the same for all tools
since the rate of contamination depends on the current, accelerating voltage, and spot size of
the primary electron beam as well as the cleanliness of the vacuum. In additional, the
composition of the sample itself, even if it is "clean" plays a role in the process. As a result, the
contamination measurements must be done on several types of wafers used in the fabrication
process.
A limited set of results is presented here to illustrate the difference measurement performance
observed on the various CD-SEMs. For these tests we used "best focus and dose" wafers. Both
isolated and nested resist lines were measured. The vendors were asked to use the same beam
setting parameters, which were also used in the precision and accuracy tests, and to make sure
that the electron beam was correctly focused. Each site was aligned once followed by 50
measurements. The auto-focus was run between each measurement. The results along with
additional data, such as electron dose, were logged into the database. Although some of the
parameters were tool-dependent, the manufacturers were asked to set the parameters to the
best, recommended values.
Figure 7 shows the results obtained with 3 different tools. All four graphs show a 20 nm span
of linewidth, although the actual linewidths are different. Tool A on nested lines (upper left
chart) had a steep downward trend initially, which may have been due to removal of material
from the resist line. Subsequently, the results had little change until contamination build-up
started with commensurate growth in the linewidth. The same tool on isolated lines (lower left
chart) only showed linewidth shrinking. CD-SEM E, on the other hand, induced little
noticeable change of the measured linewidth value (upper right chart). This tool permitted
several repetitive measurements before changes in the linewidth values were no longer random
and a small increase was observed. The third tool showed no sign of resist removal although
changes due to contamination build-up caused linewidth to grow after only a few
measurements (lower right chart).
These results clearly demonstrate that contamination and beam damage must be carefully
measured and controlled to achieve good quality, repeatable measurements while maintaining
sample integrity.
22
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
05
- — — —--.
I
—-
— —--J
(U
-
E 280
E 3J1
(1)
283
8)
(U,II.
-
I
-
-
i4
Number of measurements
Number of measurements
330
3213
E 28
- 324
8)
(U,.
> 320
.1::
(U
C
-J
Number of measurements
Number of measurements
Figure 7. Linewidth change trends for various
3.5 Stage
('I) SIM
;ccuracy
the goal ot this test was to evaluate onie components ot the navigation capability. those
eoiiuni. from the stage placement. This test was made {irsl at the vat'er diai iietei' scale (long
ranre then at a shorter range of 100 micrometers. locus—exposure iliatri x wafers are not.
appo (pruite here because the process variation may induce apparent X ,Y errors. The third
group ol wafers processed at best LOCUS and dose discussed in Section 2.4 was used hr this
test in
3.5.1
\ ii'e
Long Range
iiiicroiueier square feature of the central die was captured and drocu to the center oh the
tield of viev Ft )V ). This feature was selected os dli sharp corners. ( )ne C ruer v as set at
iclereiice position X.Y) — (ft0. 'Ihen, using the na igation layout, the stigo nioetl to oilier
dies and measured the X. Y errors.
otal long range stage error is square 10(11 4' (\-i \) if
stage is not tilted. An important disparity among the instriunents was obser ed ligiire below
I )ata was collected through 24 dies
shio s the results of the best and \ orsi stages.
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
errors versus a 360nm pitch
1/2 0 - 180 rim
I
181.360nm
.1-720 nm
4 •.73-1.44 microns
1.45-2.0 microns
Tool F = worst stage
Tool A = best stage
> 2 microns
Figure 8. Best and worst stages / Long-range stage accuracy
Though Tool A demonstrates the best stage accuracy through a range of 130 mm, the stage
performance is not sufficient by itself to meet the half pitch requirement. This test is diagnostic
in nature in that it doesn't tell the whole story with respect to the balance of navigation and
throughput.
3.5.2 Short Range
In this test, a five-micrometer square feature of the central die was captured and driven to the
center of the FOV. This feature was selected with sharp corners. One corner was set at
reference position (X,Y) = (0,0). Stage positions were changed by +1-10 to +/-50 micrometers
on both axis in the same die, then X,Y errors were measured at every return to home position.
The goal here was to evaluate how far from the measurement site the stage was able to go for
pattern recognition and return accurately to the measurement site. Accuracy better than the
half-pitch is necessary to measure the desired line within a group of nested lines. An important
disparity among the instruments was observed in this case, as well.. Figure 9 below shows the
results of the best and worst stages.
24
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
t errors versus a 360nm pitchi
i—P 1!2Jj 0 1 nm
r-I1T _
I
. F:
-i::i
-1-
IITool A : best stage
•••••••
•••I:'_U •1•8 1-3 60 r;T1
-
2
••••' iF
••
61 720 nm
L±+1
11 •Huge disparity in
stage accuracy
UH
:E
Tool E: worst stage
•Need to locate
within a half pitch
Figure 9. Best and worst stages I Short range stage accuracy
Tool A results show that it can meet the half pitch specification while the worst tool (E) is not
able to perform nearly as well.
4.0
DISCUSSION
As mentioned in Section 3, some obstacles were encountered while preparing for and running
this benchmarking series of tests. These include:
.
I
.
.
The preparation and characterization of the artifacts was more difficult than expected.
Some of the SEM suppliers were reluctant to participate and had to be convinced that they
would benefit from this work.
Some of the SEM suppliers did not take the tests as seriously as others, for example the
grade "C" wafers were not used for practicing and prior testing.
Access to a second tool was not provided for matching test.
The most novel or surprising results are those of accuracy and contamination tests. A wide
spread in tool performance was clearly observed. The issue of instrument matching is also an
area where stronger cooperation is needed. In addition to the reported data, throughput was
measured but did not show surprising results. Furthermore, several diagnostic tests outlined in
the AMAG document were also conducted (i.e., apparent beam width, asymmetry, and beam
steering). Results will be reported at a later time.
25
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Recently, the authors invited the participant suppliers to share in a detailed review of the
results. Their feedback was encouraging: they expressed interest future testing, they confirmed
that these tests were fair to all participants, and some even admitted that their eyes were opened
to important issues for improvement. This effort has clearly heightened supplier awareness of
issues considered important to the SEMATECH member companies.
This effort is continuing. A new iteration of CD metrology tools benchmarking will be
conducted in the next few months to continue to help the manufacturers and the semiconductor
industry. Additions to the specification are planned to streamline some of the existing metrics
and procedures and to provide new metrics and tests.
5.0
CONCLUSIONS
A Unified Advanced CD-SEM Specification was recently updated for sub-0. 18 micrometer
lithography. It is published on the International SEMATECH public web site
(www.SEMATECH.org). This paper reports on the first CD-SEM benchmarking against this
version of the specification. Six state-of-the-art dimensional measurement tools were tested,
using test wafers built and characterized by International SEMATECH.
These tests demonstrated areas of strength and weakness in overall tool performance.
Historically, the suppliers were principally pushed to improve precision by use of the SIA
Roadmap and they responded very well. However, this parameter is no longer enough.
Further work is expected since individual suppliers may want to work with International
SEMATECH to better understand some specific results and balance their effort on all
parameters. The ability to perform accurate measurements is gaining importance throughout
the semiconductor community and not just for R&D facilities. The new accuracy metrics tested
in this benchmarking document are a good beginning. The results clearly demonstrate serious
deficiencies in the measurement capabilities of nearly all the CD-SEMs. Reliable 3-D
information on the CD test features is also needed to achieve process control at 130 nm node
and below.
This group has concluded that currently there is no single CD measurement instrument or
technology that will provide process engineers in the near future with the tools that they require
to provide lithographic and etch process control for sub-0. 18 pm manufacturing technology.
6.0 ACKNOWLEDGEMENTS
The authors would like to thank International SEMATECH engineering and characterization
teams for their contribution in preparing the artifacts for the tests, and the 6 participant
suppliers for their great cooperation.
The AMAG group is a rather fluid entity and thus a number of individuals have contributed to
the CD-SEM specification since its inception. Contributors to earlier versions of this document
include: Joseph Griffith, AT&T Bell Laboratories, Herschel Marchman, Texas Instruments
(currently IBM), Lumdas Saraf, International SEMATECH and IBM Corp., Neal Sullivan,
Digital Equipment Corporation (currently Schiumberger Automated Test Equipment), John
26
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
McIntosh and Lee Trimble, Lucent Technologies. They are all acknowledged for their efforts.
Daniel Watson of Texas Instruments, Inc. is also acknowledged and thanked for the statistical
support he provided for this work.
7.0 REFERENCES
Ailgair, J., Archie, C., Banke, G., Bogardus, H., Griffith, J., Marchman, H., Postek, M. T.
Saraf, L., Schlessenger, J., Singh, B., Sullivan, N., Trimble, L., Viadar, A., and Yanof, A.
1998 . "Toward a unified CD-SEM specification for sub—0. 18 im technology ", Metrology,
Inspection, and Process Control for Microlithography XII, B. Singh, Editor, Proceedings of
SPIE, Vol. 3332, pp. 138—150, 1998. The complete updated specification can be viewed at
www.SEMATECH.org
Banke, W. and Archie, C., "Characteristics of accuracy for CD metrology", Metrology,
Inspection, and Process Control for Microlithography XIII, B. Singh, Editor, Proceedings of
SPIE, Vol. 3677, pp. 291—308, 1999.
for
International
Roadmap
Technology
http://www.itrs.net/l999_SIA_Roadmap/F1ome.htm
Semiconductors,
1999
Edition,
Lauchian, L., Nyyssonen, D., Sullivan, N., "Metrology Methods in Photolithography",
Handbook of Microlithography, Micromachining, and Microfabrication, Vol. 1:
Microlithography, P. Ray Rai-Choudhury, Editor, pp. 475-595, SPIE Optical Engineering
Press, Bellingham, WA, 1997.
Mandel, J., "Fitting Straight Lines When Both Variables Are Subject to Error", Journal of
Quality Technology, Vol 16, No. 1, pp. 1—14, January 1984.
Mandel, J., Evaluation and Control of Measurements, Marcel Dekker, Inc., New York, 1991.
Mandel, J., The Statistical Analysis of Experimental Data, Interscience Publishers, John Wiley
& Sons, New York, 1964.
27
Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 23 May 2021
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Download