Uploaded by Mostafa Ehab

Solution Manual for Fabrication Engineering at the Micro- and Nanoscale (3rd Edition)

advertisement
Fabrication Engineering at the Micro and Nanoscale
S. A. Campbell
Solutions Manual Version 1.1b – Third Edition
2.1) The nearest neighbor Ga atoms are at
(-a/4, a/4, -a/4), (-a/4, -a/4, a/4), (a/4, -a/4, -a/4), and (a/4, a/4, a/4).
The distance 31/2 a/4 = 0.254 nm.
The ionic lengths are given as rGa+1 ~ 0.081 nm and rAs-3 ~ 0.22 nm. Then the sum of the
ionic distances is slightly larger than the a spacing in the crystal.
2.2) For the Ga atom at (a/4, a/4, a/4), the for nearest neighbors are at:
(0, 0, 0), (a/2, a/2, 0), (a/2, 0, a/2) and (0, a/2, a/2).
They are the As atoms on the faces of the unit cell.
2.3a) Referring to the phase diagram for GeSi, at 1100 oC, the equilibrium concentration
in the melt is given as 15%.
b) The entire charge melts at 1190 oC.
c) If the material is in equilibrium, about 50% of the solid is silicon.
2.4) According to the phase diagram for GaAs, and excess Ga will tend to precipitate out
as a liquid (pure Ga) if the temperature is above 29.8 oC. Since typical growth
temperatures are much higher than this, droplets will form on the surface. When the
material is then lowered to room temperature, these droplets should be slowly absorbed
back into the stoichiometric GaAs where they solidify.
2.5) Solid solubility is an equilibrium value. It is possible, and in fact is often desirable,
to incorporate an impurity concentration well above the solid solubility. Such a mixture
will tend to precipitate over time, but at room temperature the time scales involved may
be so long as to preclude any detectable amount of precipitation.
2.6 According to Equation 2.1,
N Vo = 5 *10 22 cm −3 e −2.6 eV / kT = 2 *1010 cm −3
Then
2.6eV
5 *10 22
= ln
= 28.5
kT
2 *1010
Solving
2.6eV
T=
= 1058K = 785o C
28.5 * 8.62 *10 −5 eV / K
One can use this temperature to solve the problem as
p +
NV+ = 2 *1010 cm − 3 e( Ev − Ei ) / kT
ni
18
-3
From Fig 3.4, ni=2*10 cm . Since NBoron<<ni, p= ni. Then
1
EV+ − Ei = kT * ln
109
= −0.28eV
2 *1010
2.7) The temperature is unchanged since NVo is unchanged. Thus, T=785 oC. Since
NA>>ni, p=NA and
⎡ 109 5 *1017 ⎤
+
EV − Ei = kT * ln ⎢
= −0.17eV
10
19 ⎥
⎣ 2 * 10 2 * 10 ⎦
2.8) Using Eq. 2.9,
t=
(10−3 cm) 2
1
* −1.2eV / kT = 0.28 sec
2
0.091cm / sec e
According to Eq. 2.8,
Cox = 2 *1021 cm −3e −1.032 / kT = 3.3 *1017 cm −3 = 6.5 ppm
2.9) From Eq. 2.11,
⎡ k dT ⎤
Vmax = ⎢ *
⎥
⎣ ρL dx ⎦ int erface
Note that k here is the thermal conductivity, not Boltzman’s constant and is a function of
temperature. The value in Appendix II corresponds to room temperature. It is better
therefore to use the value given in Table 2.2.
⎡
⎤
0.24W / cm − o C
Vmax = ⎢
* 100o C / cm⎥ = 0.0071cm / sec = 25.6cm / hr
3
⎣ 2.4 gm / cm * 340cal / gm * 4.14 J / cal
⎦
2.11) From the chapter
C ( x) = kCo (1 − x) k −1
For boron, k=0.8. At x=0,
C ( x = 0) = 0.8 * Co (1) −0.2 = 0.8 * Co = 3 *1015 cm−3
Solving, Co=3.75*1015 cm-3. Then
C ( x = 0.9) = 3.5 *1015 cm −3 (0.1) −0.2 = 4.75 *1015 cm −3
2.12) Initially the melt concentration is
Co = 0.01 / 1000 = 10−5
For arsenic, k=0.3, so using Eq. 2.13
10 18 cm −3
C ( x) =
= 0.3 *10 −5 (1 − X ) − 0.7
−3
22
5 *10 cm
6.67 = (1 − x) − 0.7
x = 0.933
Or 93.3% of the boule is usable.
2.13a) If the boule is quenched, one might exceed the solid solubility. From Fig. 2.4, at
1400 oC, the solid solubility is approximately 6*1020 cm-3.
2
2.13b) 6*1020 cm-3 corresponds to approximately 1.2 atomic percent (6*1020/5*1022 )
impurity. Then
1.2% = 0.8 * 0.5%(1 − X ) −0.2
x = 0.996
20
-3
2.13c) Since CS=6*10 cm , CL= CS /k=6*1020 cm-3/0.8 = 7.5*1020 cm-3.
2.14) From the chapter
C ( x) = kCo (1 − x) k −1
For phosphorus, k=0.35. For this problem Co is 10-3. Then
C ( x) = 3.5 *10−4 (1 − x) −0.65
Inserting different values of x,
x
C
N (cm-3)
-4
0.1
3.7*10
1.9*1019
0.5
5.5*10-4
2.8*1019
0.9
1.6*10-3
7.8*1019
2.15a) C ( x = 0) = kCo = 0.35 * 0.01% = 3.5 * 10−5% = 1.75 * 1018 cm −3
2.15b)
C ( x) = 2kCo = kCo (1 − x) k −1
2 = (1 − x) k −1 = (1 − x) −0.65
2−1 / 0.65 = 1 − x
Therefore x=0.66. Since the boule is 1 m long, the doping concentration is double 0.66
m from the top.
2.15c) At x=0, (kCo)Ga=(kCo)P.
At x=0.5,
(kCo )Ga (1 − 0.5) k −1 = 2(kCo ) P (1 − 0.5) −0.65
(0.5) k −1 = 2(0.5) − 0.65 = 3.14
Solving this would require a k of -0.65 which is not physical.
2.16) In Bridgeman growth, the boule is in contact with the crucible for an extended
period of time and goes through several melt/solidification cycles.
3
3.1) One would need to measure the diffusivity as a function of temperature, then plot the
data as an Arrhenius function (log D vs 1/T). Ideally this should be done with various
doping concentrations to extract charge effects. If D is concentration dependent, the
Boltzman-Matano method can be used (see J. Appl. Phys. 8 p. 109, 1933). Then
1 dN
D( N ) = − *
2t dx
N
∫ xdN
0
3.2)
4
3.3a) In this case, N=1015 cm-3, and from Fig. 3.4 ni=1019 cm-3. Then n~ni, and
cm 2 − 3.44 eV / kT
cm 2 − 4.05eV / kT
+ 1 *12
D = 0.066
e
e
sec
sec
cm 2
cm 2
cm 2
= 1.60 *10−15
+ 1.12 *10−15
= 2.72 *10−15
sec
sec
sec
21
-3
3.3b) For N=10 cm , n is limited by the maximum electrically active concentration.
From Eq. 3.23, n = Cmax = 1.9e+22 * exp(-0.45/kT) = 3.1e+20 cm-3. Then:
cm 2 −3.44 eV / kT
cm 2 3.1*10 20 − 4.05eV / kT
+ *12
D = 0.066
e
e
sec
sec 1019
cm 2
cm 2
cm 2
= 1.60 *10 −15
+ 3.48 *10 −14
= 3.6 *10 −14
sec
sec
sec
3.4) The much larger As atom strains the silicon lattice where it is incorporated at high
concentration. This strain increases the point defect concentration. The increase in
vacancies can increase the diffusivity due to vacancy exchange.
3.5a) The situation is as shown at right. The gate prevents all out diffusion so this is a
drive-in if the δ-layer is sufficiently thin. Let’s
assume this for now. QT=1.5*1015 cm-2. Then,
Gate
C ( x, t ) =
1 .5 * 10 15 cm −2
D = 7 * 10 − 6
πDt
δ-Layer
* e−x
2
/ 4 Dt
, where
cm 2
cm 2
* e −1.2 eV / 1073 K = 1 .6 * 10 −11
sec
sec
GaAs
and
⎡
⎤
QT
4 Dt * ln ⎢
⎥ = 4 .2 μm The junction is much thinner than the δ-layer.
⎣ C sub πDt ⎦
3.5b) The surface concentration is given as
1 .5 * 10 15 cm −3
CS =
= 8 .6 * 10 18 cm −3
πDt
3.5c) The effect of enhanced diffusion is to flatten the profile, making it deeper than the
standard and with a lower surface concentration. Enhanced diffusion occurs due to heavy
doping effects such as: 1) Internal fields, 2) Strain, 3) The increased concentration of
charged vacancies.
xJ =
3.6a) This is a drive-in diffusion with a dose of 1018 cm-3 * 2*10-8 cm = 2*1011 cm-2
2
QT
* e − x / 4 Dt , where
C ( x, t ) =
πDt
D = 0 .037
cm 2
cm 2
cm 2
* e −3.46 eV / 1273 k + 0 .41
* e −3.46 eV / 1273 k = 9 .7 * 10 −15
sec
sec
sec
5
At the surface of the wafer, x=0
C ( 0, t ) =
QT
= 2 .7 * 10 16 cm −3
πDt
3.6b)
xJ =
⎡
QT
4 Dt * ln ⎢
⎢⎣ C sub πDt
⎤
⎥ = 0 .15 μm
⎥⎦
3.7) This is also a drive-in diffusion. Ignoring heavy doping effects,
2
QT
* e − x / 4 Dt , where
C ( x, t ) =
πDt
cm 2
cm 2
cm 2
− 3 .46 eV / 1273 K
+ 0 .41
*e
* e − 3.46 eV / 1273 K = 9 .0 * 10 −15
D = 0 .037
sec
sec
sec
3.7a)
⎡
⎤
QT
4 Dt * ln ⎢
⎥ = 0 .019 μm
C
π
Dt
⎣ sub
⎦
At the surface of the wafer, x=0
QT
C ( 0, t ) =
= 1 .9 * 10 21 cm − 3
πDt
xJ =
3.8) This is a drive in diffusion since the nitride prevents out-diffusion. We can
approximate the 1 nm thick initial region as a δ-layer.
QT = 10 18 cm −3 * 10 −7 cm = 10 11 cm −2
D = 0.019
cm 2 − 2.6 eV / kT
cm 2
e
= 3.7 *10 −13
sec
sec
cm 2
− z 2 / 4*3.7*10 −13
*3600 sec
2
2
1011 cm −2
sec
C ( z) =
e
= 1.5 *1015 cm −3 e − z / 0.53 μm
2
cm
* 3600 sec
π * 3.7 *10 −13
sec
To find the depth, set C(z)=1014, then
2
1014 cm −3 = C ( z ) = 1.5 *1015 cm −3 e − z / 0.53 μm
z 2 = 0.53μm 2 * ln[0.067]
z = 1.20μm
3.9) According to Fig. 2.4, the solid solubility limit for P in Si at 1000 oC is 1021 cm-3.
The predeposition diffusion provides a dose as
2
QT =
C (0, t ) Dt
π
For intrinsic diffusion, n=ni, so
6
D = 3 .9
cm 2
cm 2
cm 2
cm 2
* e −3.66 eV / kT + 4 .4
* e − 4.0 eV / kT + 44
* e − 4.37 eV / kT = 1 .39 * 10 −14
sec
sec
sec
sec
Then
QT = 4 .6 * 10 15 cm − 2
The drive-in produces a junction depth of
xJ =
Dt =
⎡
QT
4 Dt * ln ⎢
⎣ C sub πDt
x J2
=
⎤
⎥ , so
⎦
4 * 10 −8 cm 2
⎡ 0 .026 cm ⎤
ln ⎢
⎥
Dt ⎦
⎣
⎤
⎡
QT
4 ln ⎢
⎥
⎣ C sub πDt ⎦
This can be solved iteratively by guessing a value of Dt and inserting it into the right
hand side to calculate a revised value of Dt. For example, guess (Dt)1/2=10-4 cm. Solving
gives (Dt)1/2=8.5x10-5 cm. Inserting this again gives (Dt)1/2=8.4x10-5 cm, which we take
to be converged. At 1100 oC, D=1.56*10-13 cm2/sec, so t=4.6*104 sec = 12.9 hours.
Finally, C(0,t)=QT/(πDt)1/2=3.1*1019 cm-3.
3.10a) From the figure, CS=C(x=0)=2*1020 cm-3. From Fig. 3.4, ni=1019 cm-3. From
Table 3.2,
cm 2
cm 2 n
cm 2
n
cm 2
D = 0 .066
* e −3.44 eV / kT + 12
* e − 4.05 eV / kT = 1 .6 * 10 −15
+ * 1 .1 * 10 −15
ni
sec
sec ni
sec
sec
For : x = 0
cm 2
sec
3.10b) For intrinsic diffusion, n=ni, so D=2.7*10-15 cm2/sec.
3.10c) This is a predeposition since the surface concentration is fixed.
D = 2 .4 * 10 −14
3.12a) At 1100 oC the solid solubility of As in Si is about 2*1021 cm-3. According to Eq.
3.23,
Cmax = 1.9 *10 22 cm −3e −0.453 / kT = 3.06 *10 20 cm −3
at 1000 oC.
3.12b) According to the phase diagram, the solubility of As in Si does not change
dramatically between 900 and 1100 oC, going from about 2% to about 3.5%. The
maximum carrier concentration is much less than the solubility.
3.12c) This difference means that for high concentrations some As may be dissolved in
the Si but is electrically inactive. It may reside, for example, in interstitial sites.
3.13) If the wafer is uniformly doped in the depletion region (i.e. reverse bias, but less
than breakdown), the capacitance varies as (V+Vbi)-1/2.
3.14) Due to the large difference of boron diffusivity in Si and SiO2, an exact solution
must be done numerically. To obtain a rough estimate, one can assume that the
7
diffusivity is the same in both materials. Then, if we ignore heavy doping effects,
D=3.2*10-18 cm2/sec and (Dt)1/2=2.2*10-7 cm.
∞
∞
t oc
x
x
⎡
⎤
⎡
⎤
Q = C ( x)dx = 10 erfc ⎢
dx = QT − 10 21 erfc ⎢
dx
−7 ⎥
−7 ⎥
4.3 *10 ⎦
4.3 *10 ⎦
⎣
⎣
t
t
0
∫
∫
oc
oc
21
∫
Using the erfc expansion given in the appendix of this book,
2
⎡ 10 − 6 ⎤ ⎤ ⎤
t oc
⎡
⎡
−6
−6
−⎢
⎥
⎡ 10
⎤ 1 ⎢
x
10
⎡
⎤
4.3*10 − 7 ⎥⎦ ⎥ ⎥
Q = QT − 10 21 erfc ⎢
dx = QT − 10 21 ⎢⎢
erfc ⎢
1 − e ⎢⎣
+
−7 ⎥
−7
−7 ⎥
⎥⎥
4.3 *10
π ⎢
⎣ 4.3 *10 ⎦
⎣ 4.3 *10 ⎦
0
⎢⎣
⎥⎦ ⎥⎦
⎢⎣
Solving,
Q~6.2*1010 cm-2. The actual dose would be larger since the diffusivity in Si is larger and
so the concentration gradient across the oxide would be larger.
∫
8
4.1) At 1000 oC in dry O2, A=0.165 μm, B=0.0117 μm2/hr, and τ=0.37 μm.
Then
2
t ox + At ox = B(t + τ )
2
t=
t ox + At ox
−τ
B
In this case, t=2.26-0.37=1.89 hours.
Since A~tox, this process in not in either the linear or the parabolic regime.
4.2) For a wet ambient, A=0.226 μm and B=0.287 μm2/hr. Then
2
t ox + At ox (0.1μm) 2 + 0.1μm * .226μm
t=
=
= 0.114hr = 6.8 min
B
.287 μm 2 / hr
This solution is closer to linear than parabolic, but is still in the transition regime.
4.3) For the first oxidation,
(0.05μm) 2 + 0.165μm * .05μm
t=
− 0.37 hr = 0.55hr = 33 min
.0117 μm 2 / hr
For the second oxidation,
(0.05μm) 2 + 0.165μm * .05μm
t=
− (0.55hr + 0.37 hr ) = 1.34hr
.0117 μm 2 / hr
Note that, as one would expect, the sum of the answers to the two parts (0.55+1.34) is the
same as doing the full oxidation in a single step as in problem 4.1.
4.4) From Fig. 4.2, B/A=0.226 μm/hr and B=0.4 μm2/hr, so A=0.17 μm. A is
independent of pressure while B is proportional to pressure. Then B(5 atm)=2.0 μm2/hr,
and B(20 atm) = 8 μm2/hr. Solving the Deal-Grove equations with these parameters,
P (atm)
t (hr)
B (μm2/hr)
1
0.4
2.93
5
2.0
0.59
20
8.0
0.15
4.5a) From Table 4.1, A=0.5 μm, B=0.203 μm2/hr.
Then using the Deal-Grove equation with τ=0,
(0.003μm) 2 + 0.5μm * .003μm
t=
= 7.43 *10 −3 hr = 26.8 sec
2
.203μm / hr
4.5b) Since B is proportional to Pg and t is inversely proportional to B,
640torr
t = 26.8 sec*
= 225 sec
76torr
4.6a) Since there is no rapid growth regime, from Eq. 4.10,
Hk s Pg
Hk s Pg
dt ox
=
≈
dt
N1 [1 + k s / h + k s t ox / D ] N1 [1 + k s t ox / D ]
9
4.6b) For short oxidation times, tox~(B/A)(t+τ), so
dt ox B
= = Ke − E A / kT
dt
A
where K is some constant. From the problem, and taking a ratio to eliminate K,
1.0nm / sec e − E A / 1273k
=
=2
0.5nm / sec e − E A / 1173k
Solving, EA=0.89 eV, and K=3320 nm/sec, so
dt ox
= (3320nm / sec)e − 0.89eV / 1073k = 0.22nm / sec
dt
4.7a) This is clearly in the linear regime so
B
0.287 1
t ox = t =
= 0.042μm = 42nm
A
0.226 30
4.7b) If τ is not zero,
t
0.06
(t + τ ) = ox =
= 0.047 hr = 2.83 min
B / A 0.287 / 0.226
Then τ is 0.83 min.
4.8) Ignoring rapid growth
2
t ox + At ox
0.04 2 + 0.165 * 0.04
=t =
= 0.7hr
0.0117
B
Including rapid growth
2
t ox + At ox
0.04 2 + 0.165 * 0.04
−τ = t =
− 0.37 = 0.33hr
0.0117
B
4.9) In this case we are diluting the oxygen and so changing B (see Eq. 4.12). Then
2
t ox + At ox
.002 2 + 0.165 * 0.002
=B=
= 2.0 *10 −3 μm 2 / hr
t
10 / 60
The pressure is proportional to the ratio of the B parameters
0.002
P = 1atm
= 0.17atm
0.0117
4.9b) At one atm of oxygen and 1000 oC, dtox/dt is about 5 nm/min from Eq. 4.6. To get
2 nm would require 2/5 min or 24 sec.
4.10) At 1100 oC in dry O2, A=0.090 μm, B=0.027 μm2/hr, and τ=0.076 μm.
Then
2
t ox + At ox = B(t + τ )
t ox =
− A + A 2 + 4 B (t + τ ) − 0.09 + 0.09 2 + 4 * 0.027(1.076)
=
= 0.131μm = 131nm
2
2
10
4.11) From Eq. 4.12,
AO − ≈
2
2 DHPg
2 D AO2
=
; BO − =
≈ 2 BO
2
2
RS
5
N1
From the table in the chapter, A(O2-)=0.033 μm, and B(O2-)=0.0234 μm2/hr.
Then for an oxide of 100 nm at 1000 oC,
(0.1μm) 2 + 0.1μm * .033μm
t=
− τ = 0.57 hr − τ
.0234 μm 2 / hr
The value of τ is unknown. If one guesses that it is unchanged, t=0.2 hr.
4.12) It is preferred to grow the oxide at higher temperature to minimize the fixed oxide
charge, the interface state density, and the interface roughness. This produces the highest
inversion layer mobility for the MOSFET. It is likely that these oxides are more robust
(i.e. more resistant to damage) than lower temperature thermal oxides.
4.13) Since B is proportional to Pg, at 1000 oC and ignoring rapid growth effects,
A=0.165 μm and B=0.0117 μm2/hr. Then
(0.01μm) 2 + 0.01μm * .165μm
t=
= 1.5hr = 90 min
.00117 μm 2 / hr
4.14) From Equation 4.16, when C2~0,
dt ox B
= + C 2 e −tox / L2
dt
A
Then
tox
t
dt
∫−τ dt = ∫0 B + Coxe −tox / L2
2
A
⎡ B + C2 e −tox / L2 ⎤
A A
⎥
t + τ = tox + L2 ln ⎢ A
B B
⎢ B + C2 ⎥
A
⎦
⎣
−
t
L
/
ox
2 ⎤
⎤
⎡ B
A ⎢ ⎡ A + C2 e
−tox / L2 ⎥
⎥
⎢
τ
ln
ln
t + = L2
− e
⎥
B ⎢ ⎢ B + C2 ⎥
A
⎦
⎦
⎣ ⎣
⎡ B etox / L2 + C2 ⎤
⎡ B + C2 e −tox / L2 ⎤ A
A
A
⎥
⎥
⎢
= L2 ln ⎢ A
t + τ = L2 ln
B
⎢ B + C2 ⎥
⎢ B + C2 e −tox / L2 ⎥ B
A
⎦
⎣
⎦
⎣ A
Note that as L2 goes to infinity, C2 goes to zero as expected and the equation reverts to
Deal-Grove. Inverting to solve for tox
[
[
]
]
[
]
11
e
B t +τ
A L2
⎡ B e tox / L2 + C 2 ⎤
⎥
=⎢ A
⎢ B + C2 ⎥
A
⎣
⎦
[
[B A + C ]e
2
]
B t +τ
A L2
− C 2 = B e tox / L2
A
B t +τ
⎡1 + AC 2 + C ⎤ e A L2 − AC 2 = e tox / L2
2⎥
B
⎢⎣
⎦
B
B ( t +τ )
⎡ AC ⎡
−
⎤⎤
B
AL2
2
⎢
t ox = (t + τ ) + L2 ln 1 +
⎢1 − e
⎥⎥
A
B ⎣⎢
⎢⎣
⎦⎥ ⎥⎦
The equation for τ can be readily found from the second equation by setting tox=t0 at t=0
⎡ B + C2 e −t0 / L2 ⎤ A
⎡ B et0 / L2 + C2 ⎤
A A
A
⎢
⎥
⎥
= L2 ln ⎢ A
τ = t0 + L2 ln
B B
⎢ B + C2 ⎥ B
⎢ B + C2 ⎥
A
A
⎣
⎦
⎣
⎦
4.15) From Eq. 4.17, and using 5 nm/min = 0.3 μm/hr,
⎡ 0.0117
⎡ B e tox / L2 + C 2 ⎤ 0.165
e100 / 70 + 0.007 ⎤
A
0
.
165
A
⎥
⎥=
* 0.007 ln ⎢
t + τ = L2 ln ⎢
0.0117
B
⎢
⎥
⎢ B + C 2 ⎥ 0.0117
+ 0.3
A
⎣
⎦
0.165
⎣
⎦
Solving, t-τ=0.046 hours. Presumably, τ=0 since the added terms eliminate the need for
the rapid growth term, τ, and the wafer is bare.
[
[
]
]
4.16) Now B=0.00117. Inserting into Eq. 4.17,
⎡ 0.00117
e100 / 70 + 0.007 ⎤
0.165
0
.
165
⎢
⎥ = 0.07 hr = 4.2 min
t +τ =
* 0.007 ln
0.00117
0.00117
⎢
⎥
+ 0.3
0.165
⎣
⎦
[
]
4.17) a) The film is not stoichiometric SiO2. This would affect both the dielectric
constant and the refractive index. This is very unusual for thermal oxide which is almost
always very close to perfectly stoichiometric.
b) If the oxide is very thin, one must take into account the finite accumulation layer
thickness in the silicon, and in the gate (unless one uses a metal gate electrode). The
substrate depletion layer typically adds about 0.4 nm to the measured oxide thickness.
c) If the oxide is thin, it may be leaky. Leaky oxides often make for erroneous
capacitance measurements.
d) There may be an error in the area of the capacitor.
e) There may be a poor substrate contact.
4.18) The question does not indicate if this shift is from as-received to +bias or from –
bias to +bias. For now assume that latter. In the as-received state, one can assume a
random distribution of charge. Then the shift in threshold is
12
2
Q t
1 QMI t ox
ΔVT 1 = −
= MI ox
ε SiO2 t ox 2
2ε SiO2
For a positive bias the charge is a delta function at the silicon/oxide interface. This
produces a threshold shift that is exactly twice that of the random charge distribution.
For a negative bias the charge is a delta function at the gate/oxide interface. This
produces no threshold shift. Then the shift between +bias and –bias corresponds to
Q t
QMI 2.5 *10 −6 cm
ΔVT 2 = − MI ox = −0.015V = −
− 3.9 * 8.84 *10 −14 F / cm
ε SiO2
QMI = 2.3 *10 −9 Coul / cm 2 , so
N = 1.4 *1010 Ions / cm 2
For the first case, one gets the same threshold shift so the density of ions must be twice as
much.
13
5.1a) From Fig 5.9, RP=100 nm and ΔRP=38 nm. The dose is 1012 cm-2.
5.1b) At the peak concentration the depth, x=RP so
N ( x = RP ) =
φ
= 1.05 *1017 cm −3
2π ΔRP
5.1c) At x=300 nm,
2
2
N ( x = 300nm) = 1.05 *1017 cm −3 e − ( 300 nm−100 nm ) / 2*(38 nm ) = 1.0 *1011 cm −3
This is essentially zero for every practical device.
5.1d) A channeling tail exists, increasing the concentration for the deep portion of the
profile.
5.2) From Fig 5.9, if RP=300 nm, the energy is about 90 keV. Then ΔRP=68 nm. The
dose can be found from the peak concentration as
N ( x = RP ) = 1017 cm −3 =
φ
, then
2π ΔRP
φ = 2π * 6.8 *10 −6 cm *1017 cm −3 = 1.7 *1012 cm −2
If the bulk is 1015cm-3,
⎡1015 ⎤ − ( x − RP ) 2
ln ⎢ 17 ⎥ =
2
2ΔRP
⎣10 ⎦
Solving, x = RP +/- 206 nm = 94 nm or 506 nm.
5.3a) From Fig 5.9, RP=180 nm and ΔRP=50 nm. At the peak concentration the depth,
x=RP so
N ( x = RP ) =
φ
= 8 *1019 cm −3
2π ΔRP
5.3b) To find the position,
2
2
N ( x) = 1016 cm −3 = 8 *1019 cm −3 e − ( x −180 nm ) / 2*(50 nm )
⎡1016 cm −3 ⎤
ln ⎢
= −( x − 180nm) 2 / 2 * (50nm) 2
19 ⎥
⎣ 8 *10 ⎦
Solving x=392 nm = 0.39 μm.
5.4a) From Fig 5.9, RP=340 nm and ΔRP=72 nm for boron and RP=60 nm and ΔRP=12
nm for arsenic. If we set the two concentrations equal, and recognizing that the doses are
equal,
⎡
⎡
2 ⎤
2 ⎤
2
2
φ
φ
=⎢
e − ( x − RP ) / 2 ΔRP ⎥
e − ( x − RP ) / 2 ΔRP ⎥
⎢
⎣⎢ 2π ΔR P
⎦⎥ Boron ⎣⎢ 2π ΔR P
⎦⎥ Arsenic
14
ΔRPB
ΔRPAs
=
e − ( x − RPB )
2
/ 2 ΔRPB 2
e −( x − RPAs )
2
/ 2 ΔRPAs 2
( x − RPB ) 2
2ΔRPB
2
2
⎡ ΔRPB ⎤
( x − RAs ) 2
−
= ln ⎢
⎥
2
2ΔRPAs
⎣⎢ ΔRPAs ⎦⎥
2
2
2
2
2
2
(ΔRPAs − ΔRPB ) * x 2 − 2 x( RPB ΔRPAs − RPAs ΔRPB ) + ΔRPAs * RPB − ΔRPB * RPAs
2
⎡ ΔRPB ⎤
2
2
= 2ΔRPB ΔRPAs ln ⎢
⎥
⎣⎢ ΔRPAs ⎦⎥
5040nm 2 * x 2 − 524000nm3 * x + 4691000nm 4 = 0
Solving
524000 + / − 524000 2 − 4 * 5040 * 4691000
x=
= 9.9nm,94nm
10080
The other root is negative and so is not physical.
5.5a) To get an implant peak at 0.2 μm requires an energy of about 60keV
5.5b) At 60 keV the maximum concentration is
φ
2π ΔRP
N ( x = RP ) =
φ = 2π * N ( x = RP ) * ΔRP = 2.5 *1012 cm −2
5.6) From Fig 5.9, RP=100 nm and ΔRP=30 nm. Since most of the implant will be in
silicon, we will take this to be the implant parameters for the entire profile. Then
15
QSiO2
QT
QSiO2
QT
QSiO2
QT
QSiO2
QT
=
=
φ
e −( x − R
2π ΔRP
∫
0
P)
2
/ 2 ΔRP 2
φ
1
π
(15− RP ) / 2ΔRP
∫
2
e −u du
− RP / 2ΔRP
− RP / 2ΔRP
⎡(15− RP ) / 2ΔR2P
⎤
2
1 ⎢
−u
=
e du −
e −u du ⎥
⎥
π ⎢
0
0
⎣
⎦
1 ⎡ ⎡15 − 100 ⎤
⎡ − 100 ⎤ ⎤
=
⎢erc ⎢
⎥ − erc ⎢
⎥ ⎥ ≈ 0.19%
π ⎣ ⎣ 2 * 30 ⎦
⎣ 2 * 30 ⎦ ⎦
∫
∫
15
5.7)
kg
2 * 28amu *1.67 *10 −27
* 20000V
2mVext
m
amu
=
= 0.36T
B= V =
φ
qr
1.6 *10 −19 coul * (0.3m) 2
where T is the MKS unit Tesla. Since N2 has a mass that is twice that of atomic nitrogen
(14 amu), it would be hard to distinguish it from silicon which is also 28 amu. Of course,
the vapor pressure of silicon is very low so one does not expect to see high concentrations
of mass 28, even if one uses silane (SiH4) as a gaseous source.
5.8a) From Fig 5.9, RP=75 nm and ΔRP=40 nm.
The concentration is a maximum at x=75 nm.
5.8b) At that position,
N ( x = RP ) =
φ
= 1018 cm −3
2π ΔRP
5.8c) Since the peak position is in the GaAs, most of the implant will be in the GaAs.
One can picture the profile as a conventional Gaussian, where the peak is at 75 nm, while
the AlGaAs only extends 50 nm
5.9a) From the problem, RP=200 nm and ΔRP=50 nm.
From Eq. 3.1, J = -D dC/dx = -D dN/dx At x=RP, dC/dx=0, so there is no net flux. There
is an equal flux to the left and the right.
5.9b) At some other position,
−4
−4
x − RP ⎡
1015 cm −2
dC
− ( 0.3− 0.2 ) 2 / 2*0.52 ) ⎤ 0.2 *10 cm − 0.3 *10 cm
*
=
= − N ( x) *
e
⎥
⎢
−6
dx
(0.05 *10 −4 cm) 2
ΔRP2
⎦
⎣ 2π * 5 *10 cm
= −4.3 *10 24 cm −4
The flux moves in the direction of decreasing concentration (i.e. away from the peak). In
this case it is away from the surface of the wafer.
The concentration (bracket term) is 1.1*1019 cm-3, compared to an intrinsic carrier
concentration, ni=1019 cm-3. Following the calculations given in Example 3.1,
n=1.6*1019 cm-3. Using Table 3.2,
cm 2
D = 44
sec
2
⎡n⎤
cm 2
− 4 .37 eV / kT
+ 4 .4
⎢ ⎥ *e
sec
⎣ ni ⎦
⎡ n ⎤ − 4.0 eV / kT
cm 2
+ 3 .9
* e − 3.66 eV / kT
⎢ ⎥*e
sec
⎣ ni ⎦
2
cm 2 ⎡1 .6 ⎤
cm 2 ⎡1 .6 ⎤ − 4.0 eV / kT
cm 2
− 4 .37 eV / kT
+ 4 .4
+ 3 .9
D = 44
*e
*e
* e − 3.66 eV / kT
⎢
⎥
⎢
⎥
sec ⎣1 .0 ⎦
sec ⎣1 .0 ⎦
sec
cm 2
cm 2
cm 2
cm 2
+ 1 .04 * 10 −15
+ 1 .28 * 10 −14
= 1 .44 * 10 −14
D = 5 .7 * 10 −16
sec
sec
sec
sec
10
-2
-1
Then J=6.18*10 cm sec
5.10a) From the problem, RP=100 nm and ΔRP=30 nm.
16
2
2 ⎤
⎡ 4 *1014 cm −2
N =⎢
e −( 0.13−0.10 ) / 2*0.03 ) ⎥ = 3.2 *1019 cm −3
−6
⎣ 2π * 3 *10 cm
⎦
18
5.10b) The intrinsic carrier concentration, ni is 7*10 cm-3, so n~N. Using Table 3.2,
cm 2
cm 2 n
cm 2
cm 2
+ 2 .2 * 10 −16
D = 0 .066
* e −3.44 eV / kT + 12
* e − 4.05 eV / kT = 1 .1 * 10 −16
sec
sec ni
sec
sec
cm 2
sec
5.10c) From Eq. 3.1, J = -D dC/dx = -D dN/dx .
2
x − RP ⎡
0.13 *10 −4 cm − 0.10 *10 −4 cm
−16 cm ⎤
19
−3
3
.
3
*
10
*
3
.
2
*
10
*
=
cm
J = D * N ( x) *
⎥
⎢
sec ⎦
(0.03 *10 −4 cm) 2
ΔRP2
⎣
D = 3 .3 * 10 −16
[
]
= 3.5 *10 9 cm −2 sec −1
The flux moves in the direction of decreasing concentration (i.e. away from the peak). In
this case it is away from the surface of the wafer.
5.11) Since
φ * Area =
I ion
* time,
q
φ * Area * q
1018 cm −2 * π * (7.5cm) 2 *1.6 *10 −19 Coul
=
= 1.4 *10 4 sec
time =
−3
I ion
2 *10 Coul / sec
This corresponds to just under four wafers per hour.
5.12) ke increases sharply as zi increases. Se is therefore dominant for implanting light
species like Boron.
5.13) Referring back to Fig. 5.9, both As
and Sb can be implanted with shallow
(<100 nm) project ranges at modest
energies. This is not the case with boron.
Thus shallow N+/p junctions are easier to
fabricate. For P+/n junctions gallium
cannot be used due to its low solubility.
For projected ranges well below 100 nm,
boron must be implanted at very low
energies. Recall that the junction depth is
much larger than the projected range.
Referring to equation for the implant
profile, one can readily show that
N ( x J ) = N sub =
φ
e −( x
2π ΔRP
J
− RP ) 2 / 2 ΔRP 2
= N ( RP )e −( xJ − RP )
2
/ 2 ΔRP 2
; then
⎡ N
⎤
x J = RP + 2ΔRP * ln ⎢ sub ⎥
⎣ N ( RP ) ⎦
17
Typically the ratio of the concentrations is about 1000:1, so xJ is typically 2x to 3x RP.
Furthermore, this is only the junction as-implanted. The junction will get deeper after the
activation anneal. Thus one needs extremely small values of project range for deeply
scaled devices.
5.14a) If the molecular energy is 2 keV, the atomic energy of the boron atoms is
2000*11/124 = 177 eV (assuming all of the boron in the molecule is mass 11). Then the
projected range is 0.57 nm and the straggle is 0.21 nm. The peak occurs at 0.57 nm.
5.14b)
N ( x = RP ) =
φ
= 1.9 * 10 22 cm −3
2π ΔR P
This is close to the atomic silicon concentration and clearly the assumptions made for
implantation are not valid.
5.14c) Using the equation derived in problem 5.13,
⎡ N
⎤
x J = RP + 2ΔRP * ln ⎢ sub ⎥ = 0.57 nm + 2 * 0.21nm * 3.5 = 1.0nm
⎣ N ( RP ) ⎦
18
6.1) Assume that the wafer is transparent for λ>1.0 mm. Also assume that εlamp and εwafer
are not functions of wavelength. Then the fraction of light absorbed is
1μm
εk
F=
∫ λ (e
5
0
∞
k
∫ λ (e
5
1μm
C1
C2 / λT
− 1)
C1
C 2 / λT
0
− 1)
dλ
ε
=
∫ λ (e
5
0
C
1
C2 / λT
− 1)
dλ
σT 4
dλ
where k is the geometry (view) factor. For T=2000K,
F=
1μm
εC1
W
9.07 *10 2
m
5
∫ λ (e
5
1
C 2 / λT
0
1μm
F = ε * 4.09 *10
− 22
m
4
∫ λ (e
5
0
− 1)
dλ
1
C 2 / λT
− 1)
dλ
Over the range of interest, the factor of one in the denominator can be neglected. Then
the integral can be evaluated as
1μm
F = ε * 4.09 *10
− 22
m
4
∫
0
e −C 2 / λT
λ5
dλ
let.x = 1 / λ
∞
F = ε * 409 μm
4
∫x e
3 −7.2 x
dx
1
⎡
⎡
⎤⎤
x3
x2
x
F = 409 μm * 3!*⎢e −7.2 x ⎢
−
−
− ...⎥ ⎥ = 5.7%
3
2
⎣ 3!*(−7.2) 2!*(−7.2) 1!*(−7.2)
⎦⎦
⎣
Therefore 94.3% is has wavelengths above 1 micron.
The short wavelength radiation can either be reflected or absorbed. Assuming an
absorptivity of 0.7, the amount absorbed is 3.9%. The amount reflected is 1.7%.
The long wavelength light can be reflected or transmitted. The amount transmitted is
0.7*94.3%=66%. The amount reflected is 28%. So, the total reflected is 30%,
transmitted is 66%, and absorbed is ~4%.
6.2) If the absorptivity were constant and the heat capacity
temperature independent, the temperature would increase
linearly with time. If the wafer is nearly transparent at low
temperature, however, the heating rate would be slow initially.
As the temperature increased, the absorptivity would increase
and so the heating rate would rise until the wafer is nearly
opaque to the radiation.
19
6.3) Slip occurs because the thermoplastic stress exceeds the yield strength. (Actually
one has to resolve the stress into its components along the crystal planes since the wafer
yields along these directions.) Slip lines nucleate at the edges of the wafer because stress
is largest there and because it is easiest to nucleate slip at the edge of the wafer.
If temperature ramping causes slip, the radiation pattern may have been fixed in such a
way as to make the temperature uniform when the wafer temperature is fixed. Since
ramping requires a different heating pattern, the wafer will be stressed during the ramp.
6.4) Many software packages are not set up to model transient annealing effects, or they
handle it in a very empirical manner. Due to the short anneal times in RTP, transient
effects are likely to be the dominant factor in the anneal redistribution.
6.5a) We know that
P
= εσT 4
A
A = 2π (10cm) 2 = 200πcm 2 , so
P = 0.7 * 200πcm 2 * 5.67 *10 −12
W
* (1223K ) 4 = 5.6kW
4
cm − K
2
where the two in the second equation is used to take into account both surfaces of the
wafer.
6.5b) To ramp at 100 C/sec,
o
dT
gm
J
C
P = Vol * Cp * ρ *
= 100πcm 2 * 0.07cm * 2.33 3 * 0.7
*
100
o
dt
sec
cm
gm − C
P = 3.58kW
This is in addition to the power being radiated.
6.6) Little work was done on wet RTO because RTO is intended to grow gate oxides and
silicon oxidizes more rapidly in a wet ambient. Furthermore, it has been generally
assumed that wet oxides are lower quality since they incorporate hydroxyls (OH groups)
leading to a lower bridging density. It has been shown that wet RTO can actually
improve oxide integrity in some cases. This is due to the ability of water vapor to
volatize any nitride residue left on the edges of the field regions during a LOCOS process
(see chapter 15). If the LOCOS is done properly, however, this is not a problem and thin
wet oxides are not generally desired.
6.7) Multizone heating is used to provide a more uniform temperature across the wafer.
It allows one to compensate for the fact that different process conditions require different
radiant profiles. It is particularly essential for large diameter wafers where temperature
differences can be large enough to create slip.
20
7.1) According to Eq. 6.5,
0.2898cm − K
T
2.898 *10 −6 nm − K
T=
= 14490 K
200nm
The internal energy of the gas is 3/2*kT*N, where N is the number of electrons (which is
the same as the number of ions) in the discharge.
atoms 0.1L
N = 6.02 *1023
= 2.64 *1021 electrons
L
mole 22.8
mole
Then the energy is 791 J.
7.1 (revised)
2.898 *10−6 nm − K
T=
= 9660 K
300nm
The internal energy of the gas is 3/2*kT*N, where N is the number of electrons (which is
the same as the number of ions) in the discharge. .
atoms 0.1L
N = 6.02 *1023
*10−4 = 2.64 *1017 atoms
mole 22.8 L
mole
Then the energy of the electrons is 53 mJ. Most of the energy in the tube is in the heated
compressed gas, even though the energy of the individual atoms and ions is small.
λmax =
2
2
⎡ ⎡ 2πxW ⎤ ⎤ ⎡ ⎡ 2πyL ⎤ ⎤
2 ⎢ sin ⎢
⎥ ⎥ ⎢ sin ⎢
⎥⎥
⎡ 2W * 2 L ⎤ ⎢ ⎣ λ * g ⎦ ⎥ ⎢ ⎣ λ * g ⎦ ⎥
7.2) I ( x, y ) = ε o (0) ⎢
⎥
⎣ λ * g ⎦ ⎢ ⎡ 2πxW ⎤ ⎥ ⎢ ⎡ 2πyL ⎤ ⎥
⎢ ⎢
⎥ ⎥ ⎢ ⎢
⎥ ⎥
⎣ ⎣ λ * g ⎦ ⎦ ⎣ ⎣λ *g ⎦ ⎦
When x<<λg/W, the argument of the sin function is small. In that case, sin(z)~z, so the
middle term on the right is unity. Thus I(x,y) is approximately independent of x.
⎡ ⎡ 2πyL ⎤ ⎤
2 ⎢ sin ⎢
⎥⎥
⎡ 2W * 2 L ⎤ ⎢ ⎣ λ * g ⎦ ⎥
I ( x, y ) ≈ ε o (0) ⎢
⎥
⎣ λ * g ⎦ ⎢ ⎡ 2πyL ⎤ ⎥
⎢ ⎢
⎥ ⎥
⎣ ⎣λ * g ⎦ ⎦
2
Thus, near the center of the pattern, the intensity is nearly uniform in x.
21
7.3)
7.4a) The resist and the developer are probably poorly suited to the new wavelength.
Also, the masks may have to be replaced to ensure that they will work at 193 nm. The
22
same is true for any optics remaining in the aligner.
7.4b) Wmin ≈ kλg = 0.8 * 0.193μm *1μm = 0.39 μm
7.4c) To get 0.1 μm features,
(0.1μm) 2
= 0.065μm = 65nm
g ≈ t resist ≈
0.8 * 0.193μm
The resist may be too thin to provide an adequate masking capability for the etch.
7.5)
λ (nm)
100
200
300
400
500
Wmin (NA=0.26)
0.29
0.58
0.87
1.15
1.44
Wmin (NA=0.41)
0.18
0.37
0.55
0.73
0.92
In this case one must use either wavelengths less than 173 nm (NA=0.26) or 273 nm
(NA=0.41). The depth of focus for the two cases are 2.56 μm and 1.63 μm, respectively.
The shorter wavelength source is clearly preferable from a DOF standpoint, if suitable
optics, masks, and resists can be found. The higher NA system allows the use of fused
silica and so is, practically speaking, the only real option.
7.6) According to Eq. 7.5,
W 2 >> λ g 2 + r 2
In this case, the lines and spaces are 1.0 μm wide so for the central line, r=0.5 μm. Since
r<<g, the Fresnel criterion reduces to W2>>λg, or W>>( λg)1/2=3.3 μm. Thus the Fresnel
criterion is not met.
The minimum feature size depends on the value of k that is assumed. For k~1, the
criteria are the same.
7.7) For an i-line source (365 nm), W>>3.02 μm. For an ArF laser, the criteria changes
to 2.2 μm. Thus the criteria is not even met for the laser source.
7.8) For an i-line source,
NA
= 1.8μm −1
0.61* λ
According to Fig. 7.22, for S=0.5 and MTF=0.3, the normalized spatial frequency is
0.59νo = 1.06 μm-1. Then Γ=1/ν=0.94 μm. The minimum feature size is Γ /2=0.47 μm.
νo =
23
8.1) From Eq. 8.6,
CMTF =
101 / γ − 1
101 / γ + 1
Then
γ
νo (μm-1)
Wmin(μm)
0.7
0.93
2.64
1.11
248
3.4
0.33
2.10
0.43
313
3.6
0.31
1.80
0.47
365
3.6
0.31
1.50
0.56
436
For NA=0.4, one calculates νo as shown above. Then for S=0.5, the normalized spatial
frequencies corresponding to the CMTF’s are found as given in the table. Finally the
minimum feature sizes are determined. Note that, as expected, as the wavelength is
reduced from g-line, the minimum feature size shrinks until 248 nm, when the loss in
resist contrast leads to poor resolution. Obviously, one needs a better resist at this
wavelength.
CMTF
8.2a)
γ=
1
1
=
= 3.05
⎡ D100 ⎤
⎡ 85 ⎤
log ⎢
⎥ log ⎢⎣ 40 ⎥⎦
⎣ D0 ⎦
8.2b)
101 / γ − 1
CMTF = 1 / γ
= 0.36
10 + 1
8.2c) Since
1
β + αTR
One can solve for the parameters. With a bit of math, α=0.28 μm-1 and β=0.16. Then the
highest possible contrast corresponds to the resist thickness going to zero. In that case
the contrast is just the inverse of beta or 6.3.
γ=
8.3)The contrast decreases as short wavelength because the photon energy is sufficient to
drive other reactions than the PAC decomposition. In particular, for DQN, a great deal of
the energy is absorbed by the matrix material at short wavelength.
8.4)
D100 − D0
, and
D100 + D0
1
γ=
⎡D ⎤
log ⎢ 100 ⎥
⎣ D0 ⎦
CMTF =
From the second equation,
D100 = D0 *101 / γ
24
Inserting this into the first equation,
CMTF =
D0 *101 / γ − D0 101 / γ − 1
=
D0 *101 / γ + D0 101 / γ + 1
8.5a)
γ=
D
1
, so 100 = 101 / γ
D0
⎡D ⎤
log ⎢ 100 ⎥
⎣ D0 ⎦
Then
mJ 1 / 7
mJ
10 = 13.9 2
2
cm
cm
8.5b) Lower dose exposures tend to give more rounded features – See Fig. 8.8 for an
example.
D100 = D0 101 / γ = 10
8.6) Bleaching is highly desirable because it allows a more complete and uniform
exposure of the resist. If there is no bleaching, the exposure is much higher in the top of
the resist than the bottom since the intensity falls off as e-αz where α is the inverse
absorption length.
8.7) If the lower level material is spun on like photoresist, and if the film thickness is at
least as large as the step height, the lower level will significantly planarize the features, at
least locally. This leads to a more uniform imaging (upper) resist layer and therefore
better pattern transfer. If the surface of the wafer is reflective, the planarizing layer could
also be made of an absorbing material to prevent surface reflections or a thin additional
antireflective layer can be added to the stack.
8.8) For γ=3.5,
101 / γ − 1 101 / 3.5 − 1
=
= 0.32
101 / γ + 1 101 / 3.5 + 1
Referring to Fig 7.22, and interpolating between the S=1 and S=0.5 lines, ν/νo = 0.58.
Then
NA
pairs
0.6
νo =
=
= 3.97
μm
0.61λ 0.61* 0.248μm
Then ν=2.30 line pairs per micron, and Wmin=0.22 μm.
CMTF =
8.9) In this case,
MTF =
I max − I min 55 − 20
=
= 0.47
I max + I min 55 + 20
For γ=3.0,
101 / γ − 1 101 / 3 − 1
=
CMTF = 1 / γ
= 0.37
10 + 1 101 / 3 + 1
Since MTF>CMTF, the image can be resolved.
The smallest γ will occur when CMTF=MTF. Then
25
101 / γ − 1
CMTF = 1 / γ
10 + 1
1/ γ
0.47 * (10 + 1) = 101 / γ − 1
1.47
= 101 / γ
0.53
2.26 = γ
8.10) If Wmin=0.8 μm, the spatial frequency, given by Eq. 7.21, is ν=0.63 line pairs per
micron. For this machine,
NA
pairs
0.4
νo =
=
= 1.50
μm
0.61λ 0.61* 0.436μm
The ν / νo = 0.42. Referring to Fig 7.22, for S=0.5, CMTF=0.6. Solving
101 / γ − 1
0.6 = 1 / γ
10 + 1
0.6 * (101 / γ + 1) = 101 / γ − 1
1.6
= 101 / γ
0.4
1.7 = γ
8.11)
νo =
pairs
NA
0.5
=
= 2.2
μm
0.61λ 0.61 * 0.365μm
101 / γ − 1 101 / 4.1 − 1
=
= 0.27
101 / γ + 1 10 4.1 + 1
Referring to Fig 7.22, ν/νo = 0.59, so ν=1.3 μm−1 and Wmin=0.39 μm.
Then
0.365
λ
σ=
=
= 1.46μm
2
NA
0.5 2
CMTF =
8.12a) From the figure,
MTF =
I max − I min 120 − 20
=
= 0.71
I max + I min 120 + 20
8.12b)
Dose (mJ/cm2)
Intensity (mW/cm2)
Position (μm)
0.0
20
20
0.1
55
55
0.2
120
120
8.12c) This will produce a pattern that looks like:
TR/To
0.55
0.2
0.0
26
x=-1/2
x=0
x=+1/2
8.13a) The MTF is (100-20)/100+20)=0.67.
8.13b) The line ends at the point where the dose is 80 mJ/cm2 or D100. For a one second
exposure, this corresponds to the point where I is 80 mW/cm2 or +/- 1 μm. Thus the line
width is 2 μm. For a 2 second exposure, the required intensity is 40 mW/cm2 or +/- 0.52
μm. (LW=1.04 μm). For a 3 second exposure, the required intensity is 27 mW/cm2 or
+/- 0.46 μm. (LW=0.92 μm). For a 4 second exposure, the required intensity is 25
mW/cm2 or +/- 0.45 μm. (LW=0.90 μm).
8.14a) See 8.10, CMTF=0.6.
8.14b) For 0.5 μm lines, ν=1 line pairs per micron, so ν/νο=0.59. From Fig 7.22,
CMTF~0.36. Then 101/γ=1.36/0.64=2.1, so γ=3.1.
8.15)
⎡ ⎡ 2πx ⎤ ⎤
2 ⎢ sin ⎢
⎥⎥
⎤ ⎢ ⎣ 4.36 μm ⎦ ⎥
mW ⎡
2
I ( x, y ) = 100 2 ⎢
cm ⎣ 0.436μm * 10μm ⎥⎦ ⎢ ⎡ 2πx ⎤ ⎥
⎢ ⎢
⎥ ⎥
⎣ ⎣ 4.36μm ⎦ ⎦
⎡ ⎡ 2πx ⎤ ⎤
⎢ sin ⎢
⎥⎥
mW ⎢ ⎣ 4.36μm ⎦ ⎥
I ( x, y ) = 21 2
cm ⎢ ⎡ 2πx ⎤ ⎥
⎢ ⎢
⎥ ⎥
⎣ ⎣ 4.36μm ⎦ ⎦
2
2
This intensity can be plotted using Excel or any commercially available graphing
program. The resist remaining is given by
⎡ Dose ⎤
TR = 1.0 μm − γ * log ⎢
⎥
⎣ Do ⎦
for values of dose such that 0<TR<1 μm. Then (see figures).
27
28
9.1)
Source
g-line
i-line
ArF
1 nm photons
10 keV electrons
λ (nm)
436
365
193
1
0.012
Wmin (nm)
2090
1910
1390
100
11
E (eV)
2.84
3.40
6.42
1240
10,000
(Using E=hc/λ)
9.2) Scattering through a large angle depends on the mass of the incident species. Since
electrons are mush less massive than typical implanted species, they bloom (scatter at
large angles) much more readily.
9.3) The intensity appears to have fallen by a factor of 12 in the forward scattered beam
at x=+/-1.0 μm. Then
1
1
= 12 / 2α 2
12 e
Solving, α=0.45 μm.
The backscatter curve falls off by approximately a factor of 2 at x=+/-1.5 μm. Then
1
1
= 1.52 / 2 β 2
2 e
Solving, β=1.27 μm.
The amplitude of the backscatter peak is approximately ¼’th of the forward scatter peak
at r=0, therefore
2
2
2
2
I ≈ I o e r / 2( 0.45 μm ) + 0.25 * e r / 2 (1.27 μm )
[
]
9.4) Since radiation occurs when charged carriers (electrons in this case) are accelerated
or decelerated, one would expect to see significant radiation near the magnets when the
bending of the electron beam is large. One also expects radiation near the filament where
the electrons are accelerated and near the wafer where they are decelerated. Finally one
would expect radiation near beam stops and apertures where electrons are rapidly
decelerated. Most of the radiation occurs 90o from the force vector.
9.5) Thermal expansion s given by
Δx
= CTE * ΔT
x
where CTE is the coefficient of thermal expansion. For stoichiometric Si3N4,
CTE=2.7*10-6 K-1. (In reality nonstoichiometric films may be used to reduce the stress.
These films will have a different CTE.) The field size is 2.5 cm, so
Δx = 2.5cm * 2.7 *10 −6 K −1 *10 K = 0.68μm
If the minimum feature size is 100 nm, and the maximum allowable distortion is 25 nm,
29
the maximum allowable temperature rise is
1
2.5 *10 −6 cm
ΔT =
*
= 0.37 K
2.5cm
2.7 *10 −6 K −1
Since this assumes that all of the allowable distortion is due to thermal expansion, the is
an overly optimistic estimate of the allowable temperature rise. Often temperatures must
be held to better than 0.1 K.
9.6a) Thin film masks that are used for x-ray proximity printing would be destroyed by
contact printed. They are not mechanically strong enough to be put into contact and then
removed from contact.
9.6b) Thermionic sources produce a large electron flux, but a broad distribution, both in
energy and spatially. Field emission sources produce fewer electrons, but are closer to
ideal point sources with a narrow energy distribution. This allows them to be focused to
smaller spots than thermionic sources.
9.6c) A dense pattern receives additional exposure from adjacent features. The lines in a
dense pattern should therefore receive a reduced exposure time to compensate.
9.7)
I = I o e −α z
From Table 9.2,
I
= 0.5 = e −α *2.3 μm
Io
α =−
1
* ln[0.5] = 0.30 μm −1
2.3μm
To get 90% transmission,
−1
I
= 0.9 = e −0.3 μm *z
Io
z=−
1
* ln[0.9] = 0.35μm
0.3μm
9.8) If the aperture is reduce, the amount of light reaching the wafer is reduced. This
increases the exposure times. If the gap is reduced, the concern is the potential for
contact between the mask and the wafer. This is especially a problem for membrane
masks which are likely to be destroyed by such contact.
30
10.1) Since the pressure is still well within the viscous flow regime, Equations 10.1-10.1
are still valid. According to Eq. 10.1, the probability function is independent of pressure
in this regime. The reduction in temperature, however, will shift the curve toward lower
velocities. According to Eq. 10.9, however, λαT/P.
Assuming a sea level temperature of 27 oC,
λsealevel 300 K 0.5atm
=
= 1.53
λ18000 ft 230 K 1atm
10.2) Assuming that Equation 10.9 is valid, at room temperature:
kT
λ=
2πd 2 P
J
1.38 *10 −23 * 300 K
K
P=
= 1.04 Pa = 7.8mtorr
2π (3 *10 −10 m) 2 *10 −2 m
10.3) From Table 10.1, Eq. 10.2, and Eq. 10.9
C
kT
kT
k th = CV cλ / 3 = V
2 2
2
πm
3 2πd P
Since CV=1.5kN (=3P/2T) for ideal monotonic gasses or 2.5kN (=5P/2T) for ideal
diatomic gasses,
k
kT
k th = A 2
, where A=3/2 or 5/2
πd πm
For example, for He
3 1.38 *10 −23
1.38 *10 −23 * 300
J
= 0.083
−10
2
− 27
2 π (1.5 *10 m) π * 4 *1.67 *10 kg
m − sec− K
Repeating for the other gasses,
Measured (J/m-sec-K)
Estimated (J/m-sec-K)
Hydrogen
0.17
0.11
He
0.14
0.083
Nitrogen
0.024
0.019
k th =
This is not too bad considering the values of d were just very crudely estimated. One
could reverse the process and use the measured kth to calculate better estimates of d. I
leave that to the reader.
10.4) For a 3 mm mean free path,
kT
P=
=
2πd 2λ
For a 3 cm mean free path,
J
* 473K
K
= 3.27 Pa = 25mtorr
2π (3 *10 −10 m) 2 * 0.005m
1.38 *10−23
31
P=
kT
=
2πd 2λ
J
* 473K
K
= 0.55Pa = 4.1mtorr
2π (3 *10 −10 m) 2 * 0.03m
1.38 *10 −23
10.5) According to Table 10.1,
CV
kT
kT
2 2
2
πm
3 2πd P
So, for a given gas, kth varies as 1/P. Since H2 and He are very small gasses, d is small
and so kth is large.
k th = CV cλ / 3 =
10.6a) If the pump is directly attached to the chamber, the pump inlet pressure is the
chamber pressure.
Q
S=
=
Pinlet
torr − L
min = 760 L
1torr
min
760
10.6b) Now
Q Q
+
S C
torr − L
760
min +
=
S
1torr =
760
torr − L
min
4
1
⎛
⎞ (2cm)
−3 L ⎞ ⎛
5
*
1
.
8
*
10
⎜ 0.5 *10
⎟
⎜
⎟
cm3 ⎠ ⎝
torr − sec ⎠ 100cm
⎝
torr − L ⎤
⎡
⎢ 760 min ⎥
⎢1 +
⎥
S
⎢
⎥
⎣
⎦
torr − L ⎤ ⎡
torr − L ⎤
torr − L
⎡
760
⎢ 760 min ⎥ ⎢ 760 min ⎥
min
⎥=
⎢1 −
⎥ * ⎢1 +
4
L
1
S
S
⎛
⎞
⎛
⎞ (2cm)
5
⎥ ⎜ 0.5 *10 −3
⎢
⎥ ⎢
*
1
.
8
*
10
⎟
⎜
⎟
⎣
⎦ ⎣
⎦ ⎝
cm3 ⎠ ⎝
torr − sec ⎠ 100cm
2
torr − L ⎤
⎡
⎢ 760 min ⎥
2
1− ⎢
⎥ = 0.88torr
S
⎢
⎥
⎣
⎦
Solving, S = 2170 liters per minute.
10.7a) Boiling water problem:
32
J=
10.7b)
P2
=
2πkTm
(105 Pa) 2
J
* 373K *18 *1.67 *10−27 kg
K
atoms
atoms
= 3.2 *1023 2
J = 3.2 *10 27 2
m − sec
cm − sec
λ=
kT
=
2πd 2 P
2π *1.38 *10 −23
J
* 373K
K
= 2.9 *10 −7 m = 0.29 μm
−10
2
5
2π (2 *10 m) *10 Pa
1.38 *10 −23
10.8a) From the chapter
Q
C
The lowest possible chamber pressure would occur if one used an infinitely large pump
so that the inlet pressure is zero. Then
cm 3 1min
1000
760torr
Q
Q
min 60 sec
=
Pchamber = =
C
(5cm) 4 Pchamber
(5cm) 4 Pchamber
1.8 *10 5 torr −1 sec −1
1.8 *10 5 torr −1 sec −1
300cm 2
300cm 2
Pchamber = Pinlet +
Pchamber = 0.068torr 2 = 0.26torr = 34.6 Pa
10.9) For this type of problem,
Q
C
When can use the figure in the chapter to find the inlet pressure, so
Q
Pchamber = Pinlet +
(5cm) 4 Pchamber + Pinlet
1.8 *10 5 torr −1 sec −1
300cm
2
cm 3 1 min
1000
760torr
2
2
min
60
sec
[Pchamber − Pinlet ]* [Pchamber + Pinlet ] = Pchamber − Pinlet =
= 0.0676torr 2
4
(
5
cm
)
0.5 *1.8 *10 5 torr −1 sec −1
300cm
Pchamber = 1.033torr
This gas flow is low enough that this is little pressure drop through the connections.
Pchamber = Pinlet +
10.10) For this problem, the inlet pressure is unknown, so
Q Q Q
Pchamber = Pinlet + = +
C S C
Then,
33
Q=
Putting in the correct values,
C = 1.8 *10 5 torr −1 sec −1
4
(5cm)
300cm
Pchamber +
2
Pchamber
1 1
+
S C
Q
S = 3375
Q⎤
Q⎤
L
⎡
⎡
Pchamber + ⎥ = K ⎢ Pchamber + ⎥
⎢
S⎦
S⎦
torr − min ⎣
⎣
Inserting this last form into the previous equation and doing a bit of algebra,
S2
2
Q2 +
Q − Pchamber S 2 = 0
K
Solving the quadratic, Q=1.96 slm.
One can verify, Pinlet=Q/S=0.75 torr, and Q/C = 0.25 torr.
10.11) For a D65 pump without ballast at a Q of 2.5 slm (1900 torr liter/min or 31.7 torr
liter/sec), from the figure, Pinlet~2.2 torr. Then
Pchamber = Pinlet +
Q
(5cm) 4 Pchamber + Pinlet
1.8 *10 5 torr −1 sec −1
200cm
2
[Pchamber − Pinlet ]* [Pchamber + Pinlet ] = Pchamber 2 − Pinlet 2 =
31.7
torr − liter
sec
(5cm) 4
0.5 *1.8 *10 5 torr −1 sec −1
300cm
= 0.11torr 2
Pchamber = (2.2torr ) 2 + 0,11torr 2 = 2.22torr
10.11b) Control the conductance by inserting a variable conductance valve between the
pump and the chamber.
10.12) At UHV the power transfer is limited by gray body radiation and heat conduction
down the leads, not conduction through the gas. The temperature is therefore
independent of the gas pressure.
10.13) When pumping toxic gasses, entrainment pumps represent a safety hazard since
they accumulate the gas that they are pumping. If these entrained gasses are suddenly
released (for example by a power failure or a mechanical failure of the pump), it could
lead to high levels of toxic gasses in the system or even in the surrounding environment.
10.14) The light radiated by a plasma includes significant emission at discrete
wavelengths corresponding to the electronic transitions of the gasses in the plasma. You
can review the Hg arc lamp in Chapter 7 for a comparison. My measuring the emitted
spectrum, one can infer the gas composition in the glow discharge region of the plasma.
10.15) Ion bombardment is maximized by placing the wafer on the powered electrode.
The grounded electrode has a larger area and therefore a smaller voltage difference
34
between the electrode and the plasma.
10.16) Magnetic confinement should increase the emission of the plasma since it
increases the ion flux to the target. The deceleration of the ions in the target is a source
of x-rays.
10.17) From the figure in the text, an inlet pressure of 0.2 torr corresponds to a
throughput of ~2 torr-liter/sec = 2000 torr-cm3/sec = 158 sccm.
10.18) From Figure 10.6a, SRV~28 cfm. From Eq. 10.18,
20
L
= 147cfm = 4160
200 + 20 * 28
min
L
torr − L
Q = P * S = 0.2torr * 4160
= 832
= 1.09slm = 1090sccm
min
min
E eff = 200cfm * 28cmf *
35
11.1) According to Figure 11.4, a mixture of
4 parts of 70% HNO3,
4 parts of 49% HF, and
2 parts of HC2H3O2
produces an etch rate of approximately 75 μm/min.
To achieve an etch rate of 10 μm/min, one could use
7 parts of 70% HNO3,
1 parts of 49% HF, and
2 parts of HC2H3O2
11.2a) From Fig. 11.4, the etch rate for
6 parts of 70% HNO3,
2 parts of 49% HF, and
2 parts of HC2H3O2
is about 38 μm/min.
11.2b) Problem 1 – Etchant depletion. For this etch, the nitric acid is abundant, so
depletion of the HF in the solution could be the problem. One could buffer the solution
to get the HF concentration constant or use a spray etch system.
Problem 2 – Bubble formation – add a surfactant or agitate the solution to reduce the
effect.
Problem 3 – Flow limited etching – Stir the tank continuously or use a spray etch system.
11.3a) According to the figure, between 2 and 3 μm/min.
11.3b) This often the result of species depletion in the etch bath. It could also be due to
an accumulation of etch byproduct making it more difficult for etchants to reach the
surface of the wafer or for etch byproducts to diffuse away from the surface of the wafer.
It may also be caused by a change in the temperature of the etch bath. This can occur is
the etch reaction is endo or exothermic.
11.3c) Since it includes an strong oxidant (H2O2) that creates an amorphous oxide, one
would expect the etch to be isotropic, unless the oxidation process is highly anisotropic.
While possible, this is unlikely.
11.4) Using Eq. 10.10, the flux of HF molecules is about
105 Pa
100torr *
2
P
760torr
=
J=
2πkTm
J⎞
⎛
2π * ⎜1.38 *10 −23 ⎟ * 295K * 20 * 1.67 *10 −27 kg
K⎠
⎝
molecules
molecules
J = 4.5 *1026 2
= 4.5 *10 22
m − sec
cm 2 − sec
(
)
Then the etch rate is give by
36
J
cm
μm
= 2.2
= 22000
sec
sec
⎛
22 atoms ⎞⎛ molecules ⎞
4
⎟
⎜ 5 *10
3 ⎟⎜
cm ⎠⎝ Si − atom ⎠
⎝
11.4b) Since the observed etch rate is many orders of magnitude less than this, the arrival
of the HF molecules is not the rate limiting step in the etch process (actually the vapor
pressure of 49% HF is only about 30 torr at room temperature, but the arrival rate is still
very large compared to the etch rate). Since heating the wafer increases the etch rate, it
may be limited by a chemical reaction rate on the surface or by the desorption rate of
something on the surface. This could be the etch byproducts or water vapor, since the HF
is diluted in water.
Rate =
11.5a) In this case undercut is not important since the capacitors are much larger laterally
than the film thickness, but since the oxide is so thin selectivity is very important. The
high pressure plasma etch system may be the better choice.
11.5b) Since residual damage must be minimized, the ion mill is a poor choice unless it
can be run at very low energies and still produce a usable etch rate. Typically one wold
prefer the plasma etcher here.
11.5c) Since selectivity is unimportant and it is very difficult to volatize some of the
elements in the material to be etched, the preferred method will be the ion mill.
11.6) If the ion flux density J is 35 mA/m2, this is the same as 2.2*1013 ions/cm2-sec.
Then
J *S
Rate =
N
Where S is the sputter yield and N is the number density of the substrate. From Fig.
12.13, at 500 eV S=0.5, so
ions
atoms
2.2 *1013 2
* 0.5
cm − sec
ion = 2.2 * 10−10 cm = 0.132 nm
Rate =
atoms
sec
min
5 *1022
cm3
11.7) The electrode area AE is 2π(6”)2=226 in2. The area of the chamber AC is
2π(9”)2+2π*6”*9”=848 in2. Then
4
V1 ⎡ 226 ⎤
=⎢
= 6.8 * 10 − 4
⎥
V2 ⎣ 226 + 848 ⎦
Then V2=510*V1 and V2-V1=20. Solving the two equations, V1=0.039 V and V2=20.039
V. Note that this assumes that the plasma is uniform and in contact will all surfaces.
This is unlikely. For example, there is no reason for the plasma to exist between the
grounded electrode and the grounded chamber. Furthermore, the factor of 4 is not
accurate for area ratios this large.
37
11.8)
Wafers on:
Pressure:
Advantages:
High Pressure Plasma
Grounded electrode
>100 mtorr
High etch rates
Low substrate damage
May have good selectivity
RIE
Powered electrode
<50 mtorr
May be anisotropic
May have high etch rate
11.9) In CAIBE processes a reactive species is ionized and accelerated toward the wafer.
In ion assisted chemical etch processes, neutral ions strike the wafer. The chemical
species diffuse to the wafer where they absorb.
38
12.1) The arrival rate of the atoms in an evaporator is given by
ρ
1
Pe A
J dep = Rd =
m
2πkm T 4πr 2
Setting this rate equal to the arrival rate of the water vapor,
1
1
Pe
4.8 *1018 2
=
* 9.8 *10 − 4
J
m − sec
2π * 1.38 *10 − 23 * 27 *1.67 *10 − 27 kg T
K
Solving,
Pe
Pa
torr
= 9.68 * 10− 3
= 7.26 * 10− 5
K
K
T
Solve this iteratively
Guess T=1000 oC, then
torr
* 1273K = 2.59 * 10− 3 torr
Pe = 7.26 *10 − 5
K
From the vapor pressure curve, this corresponds to 1120 oC = 1393 K. Then
torr
* 1393K = 2.7 * 10− 3 torr
Pe = 7.26 *10 − 5
K
From the vapor pressure curve, this corresponds to 1125 oC. This is converged.
Then,
m 2 − sec− K
kg
9.5 *10 − 3
* 9.8 *10 − 4
Rd = 8.45 * 10
2
kg
m − sec − K
m
nm
= 0.079
Rd = 7.87 * 10 −11
sec
sec
−6
12.2) From Figure 12.2, at 1600 oC, Pe(Ni) = 0.023 torr or 3.1 Pa. Then, from Eq. 12.8
m
Pe A
Rd =
2
2πkρ T 4πr 2
From Example12.2, the third term is 9.8*10-4, so
Rd =
58.7 *1.67 *10 −27 kg
2π *1.38 *10 −23
J
K
kg ⎤
⎡
⎢⎣8900 m3 ⎥⎦
2
3.1Pa
9.8 *10 −4
1873K
Rd = 3.77 *10 −6 * 7.2 *10 −2 * 9.8 *10 −4 = 2.7 *10 −10
m
nm
= 16
min
sec
12.3) For gold, the first term of the evaporation equation is
−6
197 *1.67 *10− 27 kg
2π *1.38 *10− 23
J
K
kg ⎤
⎡
18890
⎢⎣
m3 ⎥⎦
2
= 3.26 *10
sec− m 2 − K
kg
The third term is
39
A
5cm 2
=
= 4.42 * 10 − 4
2
2
4πr
4π (30cm)
Then
Rd = 3.3 * 10− 6
sec− m 2 − K Pe
sec− m 2 − K Pe
m
* 4.4 * 10 − 4 = 1.44 * 10− 9
= 10−10
kg
kg
sec
T
T
Pa
T
K
Solve iteratively. Start by guessing 1000 oC = 1273 K,
Pa
Pe = 0.069
1273 = 2.5 Pa
K
From the vapor pressure curves, this corresponds to 1450 oC, so
Pa
Pe = 0.069
1723 = 2.88 Pa
K
This corresponds to about 1500 oC, which I take to be converged.
Pe = 0.069
12.4) If we assume that all of the arriving metal atoms stick, we want the atomic fluxes of
the two species to be equal. The shutter rotates at 10 rpm or 1/6’th of a revolution per
second.
( Rd ) Au =
197 *1.67 * 10−27 kg
2π *1.38 *10− 23
J
K
kg ⎤
⎡
⎢⎣18890 m3 ⎥⎦
2
Pe A
m
= 10−10
2
sec
T 4πr
sec− m 2 − K Pe
m − K Pe
m
* 3.2 * 10− 4 = 1.04 * 10− 9
= 10−10
sec− Pa T
sec
kg
T
o
Solving iteratively (see previous problems) TAu=1510 C. For Al,
( Rd ) Au = 3.3 *10− 6
( Rd ) Al =
27 *1.67 * 10−27 kg
2π *1.38 *10− 23
J
K
kg ⎤
⎡
⎢⎣2700 m3 ⎥⎦
2
Pe A
m
= 10−10
2
sec
T 4πr
sec− m 2 − K Pe
m − K Pe
m
* 3.2 * 10− 4 = 2.7 * 10− 9
= 10−10
sec− Pa T
sec
kg
T
o
Solving iteratively, TAl=1250 C.
( Rd ) Au = 8.5 *10− 6
12.5) If one chooses to deposit at high equilibrium vapor pressure possible problems
include:
a) Droplet formation above the crucible leading to hillocks in the film;
b) Decreased uniformity due to the formation of a virtual source above the surface of the
crucible;
c) Spaulling of the source;
d) Reduced thickness control.
12.6) According to the figure, at 1100 oC, (Pe)Ga ~ 0.015 torr and (Pe)Al ~ 0.0008 torr.
Then the ratio of the two fluxes is
40
( Pe )Ga * m Al
0.015torr
27 gm
J Ga
=
=
= 11.7.
( Pe ) Al * mGa 0.0008torr 69.7 gm
J Al
This corresponds to a flux that is 8.5% Al and 91.5% Ga.
A
α
φ
Since the Ga is evaporating much faster than the Al, the melt will
become Al-rich. The deposit will therefore shift toward lower gallium
concentrations.
R
2r
θ
12.7) The deposition rate is proportional to the view factor, k, where
cosθ * cos φ
k=
πR 2
At the center of the wafer, θ=0, and R=2r (see figure), so at the center
1
kcenter = 2
πr
At the edge of the wafer, R2=(2r)2+A2, cos(θ)=2r/R. Then
2
⎡ 2r ⎤
⎢R⎥
4r 2
4r 2
kedge = ⎣ ⎦2 = 4 =
πR
πR π ((2r ) 2 + A2 ) 2
Then
kcenter ((2r ) 2 + A2 ) 2
=
kedge
16r 4
If r=20 cm and A=10 cm, this ratio is 1.13. There is a 13% thickness variation across the
wafer.
12.8) Some step coverage improvement might occur if the laser heats the adsorbed
species on the surface allowing them to diffuse further, or if the photons are directly
absorbed by the adatoms, which would also increase their energy.
12.9) To simplify matters, consider a one dimensional analysis. The subscipts T and I
refer to the target and incident species, and o and F refer to initial and final states. Since
the target is initially at rest, conservation of momentum gives
mI vIo = mI vIF + mT vTF , or
m v − mT vTF
vIF = I Io
mI
Conserving energy
2
1
1
1 ⎡ m v − mT vTF ⎤
2
2
mT vTF = mI vIo − mI ⎢ I Io
⎥ .
2
2
2 ⎣
mI
⎦
With a bit of algebra
vTF =
mT
vIo .
mI + mT
Then
41
1
2
mT vTF
mT mI
2
=
1
2
(mI + mT ) 2
mT vIo
2
This is plotted on the figure below. The maximum energy transfer occurs when the target
and ion masses are equal – it decreases only slowly for higher masses.
12.10a) The flux, J, is R*N = 100 nm * N = 10-5 cm/min * 6*1022 cm-3
= 6*1017 cm-2 min-1 = 1016 cm-2 sec-1.
12.10b) From Chapter 10, the Ar flux is
2
⎡
105 Pa ⎤
0
.
02
*
torr
⎢
760torr ⎥⎦
P2
⎣
J Ar =
=
J
2πkTm
2π *1.38 *10 −23 * 400 K * 40 *1.67 *10 −27 kg
K
atoms
atoms
J Ar = 5.5 *10 22 2
= 5.5 *1018 2
m − sec
cm − sec
12.10c) From Figure 12.14, the maximum sputter yield for argon ions incident on
42
aluminum is somewhere between 2 and 3.
12.10d) Then
ions
1016 2
m − sec ≈ 4 *1015 ions
J Ar + =
S
cm2 − sec
Since JαP, the fraction of gas that is ionized is equal to the ratio of the fluxes,
ions
4 *1015 2
PAr + J Ar +
cm − sec ≈ 0.07%
=
=
PAr
J Ar 5.5 *1018 atoms
cm 2 − sec
12.11) At low energies, the incident ion does not have sufficient energy to eject material.
As the ion energy increases, so does the sputter yield. At sufficiently high ion energy the
ion is implanted into the substrate, leading to very little sputtering. Therefore, there must
be an intermediate energy at which the sputter yield is a maximum.
12.12) Reflectivity is a particular concern for lithography. Surface reflections can change
exposure times. Reflective topology can expose areas intended to be unexposed.
12.13) There are two mechanisms by which deposition temperature can affect film stress:
1. The term σth is directly affected since the difference in temperature between the
deposition process and room temperature is changed.
2. The built-in stress can be significantly affected by deposition temperature since
the film morphology and crystallinity depends on the deposition temperature.
43
13.1) The chemical reaction
AB2 ↔ A + 2 B
corresponds to the chemical equilibrium equation,
2
p * pB
K (T ) = A
= 0.15torr 2
p AB2
Then
2
p A * pB
= p AB2
0.15torr 2
The total pressure is given as
P = 760torr = p A + p B + p AB2
Finally, since there are two B species created for every A species,
p A = 2 * pB
Combining these last three equations
760torr = p A + 2 p A +
p A * ( p A / 2) 2
0.15torr 2
With a bit of algebra,
3
p A + 0.11 p A − 28.5 = 0
Solving, pA=3 torr, pB=6 torr, and pAB2=751 torr.
13.2) In this case the mass density is
ρ = mH
2
P
gm
= 4.4 *10 −7
kT
cm −3
Then
(10cm) 2
cm
zv =
*15
*
25
sec
gm
cm −3 = 8.8 *10 −7 cm
gm
30
cm − sec
4.4 *10 −7
The velocity distribution is unchanged.
13.3) APCVD generally has higher deposition rates due to the high chamber pressure.
The systems are also simpler since there is no pumping requirement. They are prone to
particle formation (depending on the chemistry) and so they may require more
maintenance and the films may be lower quality. Also, it would not be surprising to find
uniformity issues.
13.4) Chlorine has numerous precursors including Cl2, HCl, ClF3, ClO3F, CCl4, and
others. The first two are probably good candidates. The first is a gas at room
temperature and has no byproducts. The second is a high vapor pressure, room
temperature liquid that could be readily introduced with a bubbler. The only byproduct
would be H, which, depending on the Na source, could be used to help volatize its
byproducts. The delivery of Na, however, is more problematic. There are few inorganic
precursors that are a gas at room temperature. One could use something like
Na2HAsO4·12H2O or NaSiO3·9H2O, but obviously, impurities will be a concern.
44
13.5) At 700 oC, R=100 nm/min. Then
10 2
For 800 oC,
nm
nm 2.0 / kT
nm
e
= R = Ro e −2.0 / kT ⇒ Ro = 10 2
= 2.37 *1012
min
min
min
R = 2.37 *1012
nm −2.0 / kT
nm
e
= 923
min
min
If the measured value is much less than the predicted one, the process may be mass
transport limited. One could readily test for this by holding the temperature constant and
changing the flow rates.
13.6) At high temperature the deposition rate changes slowly – assume that it is mass
transport limited in this regime. Then
R ∝ J Growthspecies ∝
1
∝ U∞
δ
Since U∞ is proportional to the inlet flow, the deposition rate should be proportional to
the square root of the flow rate. Verify –
R(200 sccm) 730
=
= 1 .4 ≈ 2
R (100 sccm) 520
At 300 sccm
R(300sccm)
=
R(200sccm)
3
= 1.22
2
So the deposition rate at 300 sccm is 73*1.22=89.4 nm/min.
At low temperature we are in the reaction rate limited regime. To get the activation
energy use the ratio
A
− A
Ro e − E A / 623k
R(350 o C ) 0.70
=
=
58
.
3
=
= e 573k 623k
o
− E A / 573 k
R(300 C ) 0.012
Ro e
E
E
Solving for the activation energy gives 2.5 eV. Then
E
E
A
− A
R (300 o C )
= e 523k 573k = 127
o
R(250 C )
The deposition rate at 250 oC and 100 sccm is 0.012/127=9.5*10-5 A/min.
13.7a) At 650 oC (1000/T=1.08 K-1), the process is clearly reaction rate limited since this
part of the plot shown in the figure is linear.
13.7b) Take a couple of data points (1000/T, R): (1.1, 0.01) and (0.9, 0.3). Then
R1 0.01
R e −0.0011E A / k
=
= 0.033 = o −0.0009 E A / 573k = e
R2
0 .3
Ro e
EA = −
0.0009 E A 0.0011E A
−
k
k
=e
−2*10−4 *E A
k
= e −2.32*EA
1
ln[0.033] = 1.47eV
2.32
13.7c) At the highest temperature we are in the mass transport limited regime where the
deposition rate is proportional to the square root of the flow velocity. Then
R=0.85*0.707=0.60 μm/min.
45
13.8) Front to back problems:
• If injection is at the front, depletion of the deposition species in the reactor.
o Increase the gas flow
o Distribute the gasses more uniformly along the chamber
o Lower the deposition temperature
o Ramp the temperature in the reactor
Nonuniform across the wafer
o Increase the wafer to wafer spacing
o Lower the deposition temperature
o Switch to a vertical tube
13.9) PECVD is normally done to avoid a high temperature step. Since glass
densification is typically done at temperatures above 800 oC, if the process could tolerate
such a step there would be little reason to do PECVD in the first place.
46
14.1) In this problem,
p Si 200 *1 + 100 * 2
=
= 0.5
200 * 4
pCl
pCl 4 * 200
=
= 1.33
p H 100 * 6
From Figure 14.7, at 1323 K,
⎡ p Si ⎤
⎢
⎥ ≈ 0.27
p
Cl
⎣
⎦ Eq
As a result, the system is in a growth condition. σ=0.5-0.27=+0.23. At 1573 K,
⎡ p Si ⎤
⎢
⎥ ≈ 0.30
⎣ pCl ⎦ Eq
so σ=0.5-0.30=+0.20. The growth rate will decrease slightly since the saturation level
decreases. The decrease should be about (0.23-0.20)/0.23, or 12%.
14.2) The growth rate is given by the flux density divided by the number density:
F kC
R= = s s
N
N
From Eq. 14.1, ksCs=hg(Cg-Cs), so hgCg= Cs(ks+hg), or
hg
Cs = C g
hg + k s
R = Cg
k s hg
N hg + k s
R = Cg
k s hg
N hg + k s
14.3) For each reaction,
At high temperature, hg<<ks, so
1015 cm −3
=
h = 2 *10 −8 hg
R = Cg
−3 g
22
N 5 *10 cm
hg = 5 *10 7 * R
hg
Then, from Figure 14.8,
hg (μm/min)
SiH4
4*107
SiH2Cl2
2.5*107
SiHCl3
2*107
SiCl4
0.8*107
All four curves have the same activation energy. To calculate it, take two data points
well in the reaction rate limited regime (1000/T = 1.1 and 0.9 for SiH4),
47
R e −0.0011E A / k
R1 0.01
=
= 0.025 = o −0.0009 E A / 573k = e
R2
0 .4
Ro e
EA = −
0.0009 E A 0.0011E A
−
k
k
=e
−2*10 −4 *E A
k
= e − 2.32*E A
1
ln[0.025] = 1.59eV
2.32
Since Ro=ko*N/Cg, one can readily find Ro for each gas and from than determine ko:
SiH4
SiH2Cl2
SiHCl3
SiCl4
11
10
10
3*10
8*10
3*10
8*109
ko (μm/min)
14.4) If only background gasses are present the partial pressure of oxygen is 2*10-5 torr.
From Fig 14.2a, 1000/T=0.88 K-1, so T=863 oC.
For a chamber at 760 torr with 5 ppb of oxygen, the partial pressure of oxygen is 760 torr
* 5*10-9 = 3.8*10-6 torr.
From Fig 14.2a, 1000/T=0.92 K-1, so T=820 oC.
14.5) The curve becomes negative due to the presence of Cl in the chamber. The Cl
volatizes the substrate. If the etch rate exceeds the growth rate, the net growth rate is
negative.
14.6) As one raises the epitaxial growth temperature, the growth rate increases, but the
rate of increase is less than the rate of increase of the diffusivity. To demonstrate this,
use Figure 14.8 and Table 3.2 to calculate the time required to grow one micron of silicon
as well as the characteristic diffusion length for arsenic in silicon for that growth:
Temp (oC)
Time (min)
(DAs)I (cm2/sec) (DAs)- (cm2/sec) (Dt)1/2 (μm)
750
650
7.5*10-19
1.7*10-19
0.00059
-18
-18
800
20
4.7*10
1.2*10
0.00083
-17
-18
850
10
2.4*10
8.1*10
0.0014
900
5
1.1*10-16
4.8*10-17
0.0022
Since the same thickness is grown for each instance, the effect of diffusion is getting
larger. In reality heavy doping effects will make the diffusion length a great deal larger.
Also, more pronounced effects can be seen for epi growth on boron doped substrates.
14.7) Using Eq. 14-7,
⎡p ⎤
⎡ pSi ⎤
− ⎢ Si ⎥
⎥
⎣ pCl ⎦ feed ⎣ pCl ⎦ Eq
For this feed gas, the first term is 0.25. For a 5% mixture in H2, the Cl/H ratio is
(0.05*4)/(0.95*2)=0.11. Using Fig. 14.7, at 1373 K, (pSi/pCl)Eq is 0.22, so we have
σ=0.03. A slow growth will result.
σ =⎢
14.8) Halide transport isn’t necessary to grow silicon since silicon chlorides are high
vapor pressure liquids and hydrides are gasses at room temperature.
14.9) Commensurate growth can be used for making arbitrarily thick layers since it does
not induce stress at the interface. This restriction however severely limits the material
combinations (epi/substrate) that are possible. Incommensurate growth produces a poor
48
interface and a high defect density in the epi layer, but allows a much broader range of
materials. Psuedomorphic growth limits the thickness of the allowable epi layer.
14.10) Assuming that a process is known, you would also need to know the crystal
structure and lattice constant of the epi layer; the compatibility of the layers with silicon;
and the stability of these layers when deposited on silicon.
14.11) Assume that the deposition area is 200 cm2. Then the number of gallium atoms
deposited per day is
N Ga
Ga − atoms
atoms
moles
= 10 −4 cm * 200cm 2 * 2.2 *10 22
= 4.4 *10 20
= 0.00073
3
day
day
Day
cm
If one assumes an efficiency of 2%, this requires 0.037 moles/day. (The 50:1 ratio is
immaterial – it is already figured into the efficiency number. Presumably if the process
required a high ratio the efficiency would drop. Similarly the efficiency number takes
into account the bypass flow that occurs during stabilization before the growth begins.)
The necessary amount corresponds to
liters
1.01*10 5 Pa
= 0.006liters
VGa = 0.037 moles * 22.8
mole
6.9 *10 3 Pa
2000 psi *
psi
A 25 liter cylinder would last for 417 days if the charge is 10% pure.
14.12) Arsine produces MOCVD films with the lowest levels of unintentional doping. In
films that are intentionally counter-doped (n-type), the residual carbon atoms that are
incorporated when growing from an organometallic arsenic source lowers the mobility of
carriers in the channel due to the increased ionic scattering. The primary disadvantage of
using arsine is the requirements imposed by the need for safe handling and disposal of the
gas due to its toxicity.
14.13) RTCVD systems are single wafer reactors. This is an advantage for R+D
applications where the growth parameters may need to be adjusted. RTCVD allows for
conventional (i.e. thermal) precleans. UHVCVD is a batch process with better
uniformity than most RTCVD systems. The very low growth temperature greatly
reduces dopant redistribution.
14.14) From Eq. 14.17
nseq = 1015 cm −2 e −1.7 eV / k *773 K = 8.3 *10 3 cm −2
From Eq. 14.18
Ds = (2 *10 −8 cm) 2 *10 −14 sec −1 * e −0.1eV / k *773 K = 8.9 *10 −3
cm 2
sec
From Eq. 14.19
49
Τ=
10
−14
1
= 6 *10 −5 sec
−1.5 eV / k *773 K
sec * e
−1
and
λs = Ds Τ = 7.3 *10 −4 cm
For a flux of 4.8*1014cm2/sec,
cm 2
* 6 *10 −5 sec
sec
σ=
− 1 = 3.5 *10 6 − 1
1
8.3 *10 3
cm 2
This process is very far from equilibrium, as is typical for MBE.
4.8 *1014
14.15) Gas sources are often used to obtain more abrupt junctions than can be obtained
from solid sources. Although gaseous sources can be used to promote surface reactions
(such as in selective growth), most GSMBE systems use a cracking furnace to decompose
the precursor molecules, at least partially.
50
15.1) From Equation 15.1,
2 k sε o
WD =
(Vbi + VCB ) =
qN D
2 *11.8ε o
(0.7V + 5.0V ) = 0.19 μm
q * 2 *1017 cm −3
Then the minimum separation is 0.38μm.
15.2) From Eq. 15.6,
⎡ 2 *1015 ⎤
ϕ F = 0.026V ln ⎢
= 0.32
10 ⎥
⎣ 10 ⎦
If we take the metal-semiconductor workfunction to be zero,
VT = 2ϕ F +
4 *1.6 *10 −19 coul * 2 *1015 cm −3
11.8
* 5 *10 −5 cm
0.32V = 3.64V
3.9
−14 F
11.8 * 8.84 *10
cm
15.3a) NA=4*1016 cm-3.
2k s ε o
2 *11.8 * ε o
WD =
(Vbi + VCB ) =
(0.7V + 5.0V ) = 0.43μm
qN D
q * 4 *1016 cm −3
The minimum separation is twice that or 0.86 μm.
15.3b)
4 *1.6 *10 −19 coul * 4 *1016 cm −3
11.8
* 6 *10 −5 cm
0.43V
3.9
−14 F
11.8 * 8.84 *10
cm
= 0.86 + 18.65 = 19.5V
VT = 2ϕ F +
15.4) For this case, the oxide is 0.5 μm thick and the depletion width is 1.5 μm. For
punchthrough to occur,
2k s ε o
2 *11.8 * ε o
WD =
(Vbi + V A ) =
(0.7V + V A ) = 1.5μm
qN D
q * 2 *1016 cm −3
Solving for VA, assuming a built-in voltage of 0.7V, VA=33.8 V. For the parasitic FET to
turn on, assuming φms=-0.9V and assuming φF~0.4V,
4 *1.6 *10 −19 coul * 2 *1016 cm −3
11.8
−5
* 5 *10 cm
0.4V = 10.5V
VT = −0.9 + 0.8 +
3.9
−14 F
11.8 * 8.84 *10
cm
Thus, the FET will turn on first. If we set VT=10V and solve, one would need
NA=1.8*1016cm-3.
15.5) The solution depends slightly on the junction depth. For example, if xJ=0.1μm (a
very deep value by today’s standards),
WD = 5.0μm − 0.1μm = 0.36μm Vbi + V A ≈ 185V
obviously, this is not going to happen.
51
15.6) When the surface doping is 1017cm-3, the metal forms a Schottky contact. Then
1 dV
k qϕb / kT
RC ≈
=
e
A dI V =0 qRT
For n-type GaAs, R=8 Amp/cm2-K2, so
J
1.38 *10 −23
1 dV
K
RC ≈
e 0.8eV / 0.026 eV = 8.29 *10 5 Ω − cm 2
=
Amp
A dI V =0
1.6 *10 −19 coul * 8
300 K
cm 2
This is a very large RC, typical for Schottky contacts.
15.7)
RC = Ao e C2ϕb /
N
Then
C2=2.02*1014 m-3/2-V-1. So
RC1 e C2ϕb /
=
RC 2 e C2ϕb /
14
2.02*10
RC1
=e
RC 2
Then RC2=1.4*10-7 Ω-cm2.
N1
N2
⎡ 1
1
−
*0.6*⎢
⎢⎣ 10 26
2*10 26
⎤
⎥
⎥⎦
= 0.029
RC 2 5 *10 −6 Ω − cm 2
=
= 2000Ω
R2 =
2
A
5 *10 −5 cm
[
R1 =
]
−7
RC1 1.4 *10 Ω − cm 2
=
= 56Ω
2
A
5 *10 −5 cm
[
]
15.8) Assuming single sided step junctions,
2k s ε o
2 *11.8 * ε o
WD =
(Vbi + VCB ) =
(0.7V + 10.0V ) = 11.8μm
qN D
q * 4 *1016 cm −3
The separation after fabrication is 23.6 μm.
In the case of the guard rings, one must know estimate how far apart the features must be
on the mask to have this separation on the wafer. If the guard ring is 3 μm deep, how
much is the lateral diffusion? A reasonable estimate for a simple implant/diffusion
process would be 1 to 2 μm per side. Then the final width of the guard ring is 2*2*1.5 =
5 μm +/- 1 μm. If this has to be at least 1 μm from the diffusion on each side, the final
separation is about 7 μm.
15.9) From Eq. 15.19,
52
L2
RC = ρ metal ε oxide
t metal t oxide
= ρ metal 3.9 * 8.84 *10 −14
(1cm) 2
F
cm 5 *10 −5 cm *1*10 −4 cm
F
cm
For polysilicon this is 69 nsec, while for silicide this is 6.9 nsec.
Since memory access times are typically less than 20 nsec, the poly is unacceptable.
= ρ metal * 6.9 *10 −5
15.10a) From Eq. 15.14,
RC = Ao e C2ϕb / N
In this case C2=1.95*1014 m-3/2-V-1 and the barrier height is 0.72 V (from Example 16.1).
Then
14
RC = 10 −11 Ω − cm 2 e1.95*10
−5
m -3/2 - V -1*0.72V / 8*1025 m −3
=
= 6.6 *10 Ω − cm
15.10b) The solution to this problem requires are equation from Chapter 16 – it should
not be in this chapter. Unfortunately Oxford did not understand my request to move the
problem. If the student uses a simple vertical flow resistance, the answer, assuming a
single 50 μm wide contact (not usually the case) would be:
Ω
10μm 6.6 *10 −5 Ω − cm 2
*
+
= 64Ω
R = 100
3μm * 50μm
square 50μm
A more correct answer, but one that still assumes a single 50 μm wide contact, uses Eq.
16.16:
⎤
⎡
Ω
Ω
6.6 *10 −5 Ω − cm 2 *100
100
⎥
⎢
square
10 μm
Ω
square
⎥
⎢
coth 3μm
*
R = 100
+
⎢
50μm
square 50 μm
6.6 *10 −5 Ω − cm 2 ⎥
⎥
⎢
⎦
⎣
= 66Ω
In this case the current crowding caused by the transition to vertical flow does not change
the answer very much.
2
15.11a) First we need the C2 constant.
4π
4π
F
*
0.067 * 9.1*10 −31 kg *12.9 * 8.84 *10 −12
C2 =
mn ε =
−34
m
h
6.6 *10 J − sec
13
3 / 2 −1
= 5.0 *10 M V
13
- 3/2
-1
25 − 3
0.01Ω − cm
RC = 10 −8 Ω − cm 2 e 5.0*10 m -V *0.75V / 1*10 m + 10 −9 cm 2
3π *10 −6 cm
= 1.4 *10 −3 Ω − cm 2 + 1.06 *10 −6 Ω − cm 2 = 1.4 *10 −3 Ω − cm 2
15.11b) In that case, RC=9.4*10-6+1.1*10-6 = 1.05*10-5 Ω-cm2.
15.12)
53
Advantages
Conformal coverage
Allows high aspect ration contacts
Easy to etch
Disadvantages
Higher resistivity
Possible interactions during
deposition due to fluorine
Rough surface texture
Evaporated
copper
Very low resistance
Can be deposited with very simple
equipment
Polyimide
Smooth
Potential for low permittivity
Easy to etch
Easy to apply
Poor step coverage
Corrosion
Difficult to etch
Preventing copper from
reaching silicon
Water adsorption / corrosion
Thermal expansion mismatch
Adhesion
Stopping metal etchs
CVD Tungsten
54
16.1) For a MOSEFT in saturation,
W
μ eff Cox (Vgs − Vt ) 2
2L
Cox is the oxide capacitance per unit area, kεo/tox =3.9*8.84*10-14 F/cm / 10-6 cm =
3.4*10-7 F/cm2. The mobility is not given and is a function of field. Extrapolating from
the universal curve (Fig 16.23) for a transverse field of (3.3-0.6)/10nm ~ 2.6 MV/cm, the
mobility is ~80 cm2/V-sec. Then
10μm
F
80cm 2 / V − sec* 3.4 *10 −7
(3.3V − 0.6V ) 2
I DS ≈
2 * 0.4μm
cm 2
= 2.48mA
I DS ≈
Then VGS=3.3 V - 36.5 ohm * 0.00248 Amp = 3.21 V
and VDS=3.3 V – 2* 36.5 ohm * 0.00248 Amp = 3.12 V (but it doesn’t matter in
saturation).
10 μm
F
80cm 2 / V − sec* 3.4 *10 −7
(3.21V − 0.6V ) 2
2 * 0.4μm
cm 2
= 2.32mA
Then VGS=3.3 V - 36.5 ohm * 0.00232 Amp = 3.22 V (converged.) For the silicide
device,
VGS=3.3 V - 20.3 ohm * 0.00248 Amp = 3.25 V
10μm
F
80cm 2 / V − sec* 3.4 *10 −7
(3.25V − 0.6V ) 2
I DS ≈
2
2 * 0.4μm
cm
= 2.39mA
VGS=3.3 V - 20.3 ohm * 0.00239 Amp = 3.25 V (converged.)
I DS ≈
16.2a) For a vertical flow contact,
R=
10 −6 Ω − cm 2
= 20Ω
5 *10 −8 cm 2
16.2b) For a horizontal flow FET,
R=
⎡
10 −6 Ω − cm 2 * 80Ω / sq
80Ω / sq
coth ⎢5 *10 −5 cm
−3
10 cm
10 −6 Ω − cm 2
⎣
⎤
⎥ = 21.3Ω
⎦
Current crowding adds 1.3 ohms in this case.
16.2c) The total resistance is the series resistance plus 21.3 ohms. Then
Ω 1μm
+ 21.3Ω = 29.3Ω
R = 80
sq 10μm
55
16.3) Now
2
2
⎤
⎡
Ω
Ω 2 * 80 Ω *10 Ω + ⎢ ⎡80 Ω ⎤ * ⎡10 Ω ⎤ ⎥ cosh( βL )
ms
80 *10
sq
sq ⎢ ⎢⎣ sq ⎥⎦ ⎢⎣ sq ⎥⎦ ⎥
Ω 0.2μm 0.8μm
sq
sq
⎦
⎣
+
+
R = 80
Ω
Ω
sq 10μm 10 μm
⎡ Ω
Ω⎤
80 + 10
10 −3 cm * βLms * ⎢80 + 10 ⎥ sinh( βLms )
sq
sq
sq ⎦
⎣ sq
Solving, for βLms, gives 0.759. Then R=1.6 Ω + 0.7 Ω + 14.1 Ω = 16.4 Ω.
16.4a)This is a liner oxidation for a deep trench process. It passivates the surface,
eliminates etch damage, and insulates the substrate from the fill material (poly).
16.4b) This is an etch-back step to remove all of the poly except the deep trench fill.
16.4c) This is the implant for an LDD process – it reduces hot carrier susceptibility in
FETs.
16.4d) This is the spacer formation step. The deposited oxide is etched back, leaving a
spacer of oxide along the edges of the poly.
16.4e) This is the self-aligned silicide (salicide) formation step. The metal is deposited
on the wafer and reacted to form the silicide.
16.5) Errors:
Steps 2 and 4: The projected range of the phosphorus implant is so small that most of the
implant will be lost in the screen oxide.
Step 10: the nitride is far too thick for this pad oxide. It will result in defect creation in
the silicon.
Step 14: No field implant was done. The devices will conduct readily between each other
as the semiconductor surface is very likely to be weakly inverted.
Step 18: Failed to strip previous photoresist.
Step 22: No gate oxide was grown prior to gate electrode deposition.
16.6) Errors:
No gate electrode was deposited.
Phosphorus is a donor. It is the wrong implant for a PMOS device.
Aluminum cannot be used as a spacer material – it would short out the gate to the S/D.
Ti layer used for the silicide is much too thick. This may silicide right through the
junction.
Forgot to remove photoresist before the 1000 oC anneal.
16.7) First we need to know Rc. From Example 16.1, for m=1.1mo, C2=1.95*1014
14
RC = 2 *10 −11 Ω − cm 2 e1.95*10
m -3/2 - V -1*0.6V / 2*10 26 m −3
=
= 7.8 *10 −8 Ω − cm 2
Then
56
Ω
Ω
+ 20
sq
sq
β=
= 10.3μm −1 ; so
−8
2
7.8 *10 Ω − cm
βLms = 10.3μm −1 * 0.090μm = 0.92
800
2
2
⎡
⎤
Ω
Ω 2 * 800 Ω * 20 Ω + ⎢ ⎡800 Ω ⎤ * ⎡20 Ω ⎤ ⎥ cosh(0.92)
800 * 20
sq
sq ⎢ ⎢⎣
sq ⎥⎦ ⎢⎣ sq ⎥⎦ ⎥
0.12μm
sq
sq
⎣
⎦
R=
+
Ω
Ω
1μm
⎡
⎤
Ω
Ω
800 + 20
1μm *10.3μm −1 * ⎢800 + 20 ⎥ sinh(0.92)
sq
sq
sq
sq ⎦
⎣
Then R=2.3 ohms + 108 ohms = 110 ohms to the edge of the reachthrough (which is also
the edge of the silicide) for the silicided device. For the unsilicided device,
⎡
⎤
7.8 *10 −8 Ω − cm 2 * 800Ω / sq
800Ω / sq
R=
coth ⎢1.2 *10 −5 cm
= 94.2Ω
−4
−8
2 ⎥
10 cm
7.8 *10 Ω − cm ⎦
⎣
This is less than the silicided contact – something is wrong here.
16.8) First we need to know Rc. From Example 16.1, for m=1.1mo, C2=1.95*1014
14
RC = 10 −11 Ω − cm 2 e1.95*10
m -3/2 - V -1 *0.6V / 10 26 m −3
=
= 1.2 *10 −6 Ω − cm 2
Then
Ω
Ω
+5
sq
sq
β=
= 0.84μm −1
−6
1.2 *10 Ω − cm 2
80
So
βLms = 0.84μm −1 * 0.50μm = 0.42
2
2
⎡
⎤
Ω
Ω 2 * 80 Ω * 5 Ω + ⎢ ⎡80 Ω ⎤ * ⎡5 Ω ⎤ ⎥ cosh(0.42)
80 * 5
sq sq ⎢ ⎢⎣ sq ⎥⎦ ⎢⎣ sq ⎥⎦ ⎥
0.5μm
sq sq
⎣
⎦
+
R=
5μm 80 Ω + 5 Ω
⎡ Ω
Ω⎤
5μm * 0.84μm −1 * ⎢80 + 5 ⎥ sinh(0.42)
sq
sq
sq ⎦
⎣ sq
Then R=0.47 ohms + 50.5 ohms = 51 ohms to the edge of the silicide for the silicided
device. Adding in the series diffusion through the unsilicided parts and ignoring current
crowding in these regions,
0.1μm Ω 0.1μm
Ω
R = 51 +
80 +
250 = 57.6Ω
5μm
sq 5μm
sq
16.9) The LDD adds a series resistance of ρLDD*0.2/10. The resistivity of the LDD
region is just the inverse of the conductivity or
57
Ω
1
1
= 7800
* −5
−3
2
sq
1.6 *10 coul *10 cm * 800cm / V − sec 10 cm
So the LDD adds about 156 ohms per side. For short reachthroughs, this is an over
estimate as electrons will diffuse from the heavily doped contact junction into the
reachthrough. This may be unacceptably high (see the results of previous problems). To
reduce this effect one needs to either: 1) decrease the reachthrough length, 2) increase the
reachthrough doping, or 3) increase the reachthrough junction depth. The last is almost
never done due to short channel effect problems.
ρ LDD =
−19
17
16.10) While reducing the supply voltage reduces hot carrier injection and power
consumption, it also reduces circuit speed since the drive current is roughly proportional
to the square of the supply voltage. Thus the supply voltage is generally made as large as
possible, while consistent with reliability constraints. Typically gate oxide wear-out
reliability concerns limit the supply voltage.
16.11) Latchup depends sensitively on the device density. Devices that are closer
together have larger parasitic device gains and so are much more prone to latch. Early
technologies have large device separations and so were largely immune to latchup.
58
17.1) Although not stated explicitly, one assumes that the problem is referring to GaAs
Schottky gates since the chapter does not address Si Schottky gate devices. Au reacts
readily with GaAs. For more information see the section in chapter 15 on alloyed ohmic
contacts. Thus, the gate leakage will be very large. If the Pt is too thin, Au will diffuse
through the Pt and come into contact with the GaAs, degrading the device performance.
This can happen if the thickness of the Pt is of order the grain size (assuming the Pt is
polycrystalline). Diffusion occurs rapidly along grain boundaries, even at modest
temperatures.
17.2) Assume that a spiral inductor can be thought of as a bulls-eye pattern of concentric
rings. Let us also assume that the pattern consists of equal sized lines and spaces. The
inductance of a single ring is given by
⎡ ⎡ 8r ⎤ ⎤
L = rμ ⎢ln ⎢ ⎥ − 2⎥
⎣ ⎣a⎦ ⎦
where r is the radius of the loop, a is the width of the line, and μ is the permeability. In
the absence of any significant magnetic materials in the area, μ=μo=4π*10-7 H/m. Since
the inductances of the loops just add together,
n= N
L≈
∑ 2naμ [ln[16n] − 2]
o
n =1
where the inner ring has a radius of 2a and N=R/2, where R is the radius of the total
inductor. For example, for a=1μm and N=12 (a diameter of about 50 μm), one can
readily use Excel or some other software to calculate the sum as
n= N
L ≈ 2μ o a
∑ n[ln[16n] − 2] = 2μ a[0.77 + 2.93 + 5.61 + 8.64 + ...]
o
n =1
L ≈ 437 * μ o *10 −6 m = 0.55nH
To get a 50 nH inductor, the prefactor must be 50*10-9/(10-6 μo)=39,800. From the
spreadsheet, this is about a 125 micron radius.
17.3)
• Activates the silicon implant which is used to form a conducting channel.
• Used to deposit the ohmic contact stack (Ni/AuGe).
• This mask protects the d-mode devices from the additional etching which is being
done to form the e-mode devices.
• Gate stack deposition
• Device isolation by proton implantation
17.4a) If R is independent of Ge concentration, the contact resistance is dominated by the
spreading resistance term associated with the diffusion nodules:
10 −8 cm 2 * 0.08Ω − cm
D2ρ
= 6.4 *10 −6 cm = 64nm
⇒r=
Rc ≈
−5
2
πr
π * 4 *10 Ω − cm
17.4b) To reduce Rc, improve clean prior to ohmic stack deposition; Improve ohmic
59
contact stack composition; Improve ohmic contact anneal cycle; Verify that post-ohmic
processing does not degrade the contact.
17.4c) Add a S/D implant step to reduce the resistivity near the nodules.
17.5) From Table 3.2,
cm 2 −2.6eV / kT
cm 2
= 1.18 *10 −14
e
sec
sec
1/2
For (Dt) = 5 nm, t = 21 sec. From this result, RTA is very desirable, along with lower
temperature if it is possible.
D = 0.019
17.6) Air bridges preclude the use of scratch protect or top passivation layers that seal the
chip. Furthermore, air bridges can only be used on the top metal. For most ICs this is not
a sufficient advantage.
17.7a) This is a 3D bipolar process. The boron diffusion is probably being done for
guard ring isolation. Notice that no LOCOS or STI process is run.
17.7b) Phos is being used for the collector. This is the deepest junction for the BJT and
so is done with a high diffusion coefficient impurity such as P.
17.8a) The Sb implant is the buried collector, since the problem indicates this as an SBC
technology and this is the only implant prior to epi growth.
17.8b) These n-type implants are done to form the sinker, connecting the N+ contact
region, which is the same as the N+ emitter, with the buried layer.
17.9a) This sequence calls for the implantation of boron into undoped poly. Since this is
a single poly process, this is the intrinsic base implant.
17.9b) The second anneal drives the emitter (arsenic) from the deposited poly into the
single crystal silicon.
17.10a) The delay of an ECL circuit goes as RLCC+k1τB+RBCB. Since both of the
capacitances have terms that include the base collector capacitance, both RL and RB will
affect the speed.
17.10b) Decreasing RL will also increase power consumption so the best solution if
possible is to reduce the base resistance. Of course, this may have other effects.
17.11) The use of a poly emitter increases the gain of the BJT. A factor of 10x is not
uncommon. A higher gain, however, does not necessarily mean a faster circuit. In this
case, some or all of that increase in gain was traded off against a lower base resistance
due to the more heavily doped base region. This will also lead to a higher punchthrough
voltage and a larger Early voltage (i.e. less dependence of IC on VCE in forward active).
The higher base doping decreasing the E-B breakdown voltage and increases base
capacitance, so these changes must be done with considerable care.
17.12) The base transit time is much more important for a SICOS device than less
advanced bipolar transistors because the parasitic delay terms have been dramatically
reduced by the self-aligning that is part of the SICOS structure. As a result the base
60
transit time term may be the dominant term in the delay equation.
17.14)
Base implant (done into poly to minimize damage to the active base region).
Base drive-in. Diffuses active base from the poly emitter.
Activate drive-in arsenic emitter.
Self aligned silicide used to shunt the poly resistance (rBB and rEE).
61
19.1) D = wafer diameter
δ = center of deflection
R = radius of curvature
Form a right triangle of hypotenuse length R. One side has length R – δ, the other side
can be approximated as D/2, since δ is small compared to D. Thus
R = ( D / 2) 2 + ( R − δ ) 2
R = ( D / 2) 2 + R 2 (1 − δ / R) 2
R = ( D / 2) 2 + R 2 (1 − 2δ / R + δ 2 / R 2 )
Neglecting second order terms of δ and solving for R yields
( D / 2) 2
R≈
2δ
Center of circle
R
δ
D
Figure for problem 19.1
19.2) Li = initial beam length = 1000um, Lf = final beam length, σ = -20 MPa
From Hooke’s Law (Eq. 19.3)
σ = Eε
where
ε = strain = ΔL / Li =
L f − Li
Li
and Young’s modulus E for silicon nitride is 280 GPa. Solving for ΔL yields
σ
(−20 x10 6 Pa)
ΔL = Li = 1000um *
= −7.1x10 − 2 um
9
E
280 x10 Pa
62
19.3) From table 19.3 we find that the thermal expansion coefficient of silicon is nearly 3
times as large as that of silicon nitride. Thus the silicon bar contracts more, and the
nitride film (which remains attached to the silicon) ends up in compression (i.e. under
compressive stress). The change in length L of an object due to a temperature change ΔT
is
L f − Li
ΔL =
= αΔT
Li
where α is the thermal expansion coefficient. From eq. 19.1, we find the strain εSN in the
nitride film attached to the silicon bar due to the temperature change ΔT to be
L −L
ε SN = Si SN
LSN
where
LSi = contracted length of the silicon bar
LSN = unconstrained contracted length of the nitride film. If the initial length is Li, then
LSi = Li (1 + α Si ΔT )
LSN = Li (1 + α SN ΔT )
and
Li (1 + α Si ΔT ) − Li (1 + α SN ΔT ) ΔT (α Si − α SN )
=
Li (1 + α SN ΔT )
1 + α SN ΔT
From Hooke’s Law (eq. 19.1) the extrinsic stress due to the difference in thermal
expansion coefficients is
α − α SN
σ SN = ESN ε SN = ESN ΔT Si
1 + α SN ΔT
where ESN is the Young’s modulus of the silicon nitride. Plugging in the variables:
E SN = 385 x10 9 Pa
ε SN =
α Si = 2.3 x10 −6 / o C
α SN = 0.8 x10 −6 / o C
ΔT = 20 o C − 835 o C = −815 o C
σ SN = −470 Pa
As the nitride film thickness increases, it will exert an increasingly large force on the
silicon part of the bar, in opposition to the thermal contraction of the force of the silicon,
resulting in increasing curvature of the composite bar.
19.4)
63
R=
ρL
A
ρ
ρL
L
dR = dρ = dL − 2 dA
A
A
A
dR dρ dL dA dρ dL 2dS
=
+
−
=
+
−
R
L
A
L
S
ρ
ρ
where
A = S2
dA = 2 SdS
dA 2dS
=
A
S
From eq. 19.4,
ε
ΔS / S
dS / S
≈−
υ=− l =−
εa
ΔL / L
dL / L
dS
dL
≈ −υ
S
L
dR dρ dL
dL dρ
≈
+
+ 2υ
≈
+ ε a (1 + 2υ )
R
L
L
ρ
ρ
dρ/ρ is related to the change in the electrical resistivity of the material due to the strain.
εa(1+2ν) is related to the change in shape of the bar due to the strain.
⇒
19.5) From fig. 19.6 we find for the <110> direction that
Π l ≈ 70 x10 −11 Pa −1
Π t ≈ −70 x10 −11 Pa −1
Solving using eq. 19.7 we have
ΔR
= Π tσ t + Π lσ l = 70 x10 −11 Pa −1 (50 x10 6 Pa − 10 x106 Pa) = 2.8 x10 − 2
R
19.6) From the Wheatstone bridge in fig. 19.7 we know that in the unstressed state all
resistors have the same value R. A membrane deflection will cause each resistor
to change by an amount ΔR as follows:
R1 ⇒ R − ΔR
R2 ⇒ R + ΔR
R3 ⇒ R − ΔR
R4 ⇒ R + ΔR
The current flow I in each arm of the bridge, regardless of stress is
V
I= b
2R
64
Solving for Vm we have
Vm = IR4 − IR3 = I ( R + ΔR) − I ( R − ΔR) = 2 IΔR =
⇒
Vb ΔR
R
Vm ΔR
=
Vb
R
19.7) Wmax = 0.15*25μm = 3.75μm. From eq.19.12 and 19.16 we can solve for a:
DWmax 1/ 4
a =[
]
0.001265 P
Et 3
D=
12(1 − υ 2 )
E = 190GPa
υ = 0.28
P = 5000 Pa
t = 25μm
⇒ a = 3552μm
19.7) For a circular membrane of radius a the maximum deflection Wmax occurs at r=0
and depends on the applied pressure P as
Pa 4
Wmax ( P) =
64 D
where
Et 3
12(1 − υ 2 )
Plugging in the values P=1000Pa, a=1mm=1000 μm, t=10 μm, E=190GPa, and ν=0.28
yields
D = 1.72 x1013 Pa( μm) 3
D=
Wmax
(1000 Pa)(1000 μm) 4
=
= 0.91μm
64(1.72 x1013 Pa( μm) 3
19.8) For a square membrane of side length r we from eq. 19.12
0.001265 Pr 4
Wsquare− max ( P ) =
D
For a circular silicon membrane of radius a and thickness t, and a square membrane of
side length 2a and thickness t we find
65
Wcircle− max
Pa 4 / 64 D
=
= 0.77
Wsquare-max 0.001265P (2a ) 4 / D
⇒ Wcircle− max = 0.77Wsquare− max
19.9) From eq. 19.18 the maximum stress is
PL2t
σm =
4I
where
F = 10 μN
a = 100 μm
t = 2 μm
L = 750 μm
F 10 μN
P= =
= 10 4 Pa * μm
A 100μm
at 3
= 66.7 μm 4
12
From eq. 19.8 for transverse piezoresistors,
ΔR
= 70 x10 −11 Pa −1 (σ l − σ t ) = 70 x10 −11 Pa −1σ l
R transverse
since σt = 0 for a cantilever beam. Solving we find
ΔR
= 0.0295 = 2.95%
R transverse
I=
19.10) From Eq. 19.23 we have
66
t
L2
F0 = 0.161
( Eρ )
1/ 2
where
t = 2 μm
L = 750 μm
a = 100 μm
g
kg
= 2.3 x10 3 3
3
cm
m
N
E = 190GPa = 190 x10 9 2
m
−7
m = atLρ = 3.45 x10 g
ρ = 2.3
N
m 2 = 5.20kHz
kg
2.3 x10 3 3
m
In terms of beam mass M, differentiating eq. 19.24 yields
(0.161)(2 μm)
F0 =
(750 μm) 2
F0 = 0.161
t
L2
E
ρ
190 x10 9
= 0.161
t
L
Eta = CM −1/ 2
ML
ΔF0 = −0.5CM −3 / 2 ΔM
and
ΔF0 − 0.5CM −3 / 2 ΔM
ΔM
=
= −0.5
−1 / 2
F0
CM
M
If
ΔF0
= 1%
F0
then
ΔM
= 2%
M
and
ΔM = (0.02)(3.45 x10 −7 g ) = 6.9 x10 −9 g
19.11)
S
67
W
54.74º
T
2T
2T
=W +
= W + 1.41T
Tan(54.74°)
1.41
= 1.41T
S =W +
⇒ S min
19.12) From fig. 19.14 the estimated etch ratio of (100) Si to SiO2 for KOH at 80ºC,
40% concentration is 150:1. To etch 400μm of Si will require a SiO2 layer of
thickness
1
(400 μm)
≈ 2.7 μm
150
To have 2000Å of oxide remaining after the etch, the total initial oxide thickness must be
2.9μm. From eq. 4.14 we have
2
tox ≈ B(t + τ )
Where τ is the time to oxidize to t0 = 25 Å at 1100 ºC is small and can be neglected.
Thus
2
tox ≈ Bt
2
tox
B
From table 4.1 we find the value of B at 1100 ºC to be 0.510μm2/hr for wet oxidation,
hence
t ≈ 16.5hours
⇒t ≈
19.13)
Step 1. Mask 1 lithography to define areas for B+ implantation.
68
Top View
Side View
resist
Side view
Step 2. B+ implant and activation anneal to form P++ region.
Top View
Side View
P++ region
69
Step 3. LPCVD silicon nitride deposition across the entire wafer, followed by mask 2
lithography and dry etch of silicon nitride to form etch windows for KOH.
Top View
Side View
Nitride
Step 4. KOH etch to release the beams.
Top View
Side View
Other processes are possible.
19.14) Assume a membrane thickness t of 25μm. For the timed etch process the
resulting structure looks like this (not to scale):
W
150um
54.74º
150um
25um
500um
where
tan(54.74°) =
⇒W =
150 − 25
250
=
= 1.41
(W − 500) / 2 W − 500
250
+ 500 = 677 μm
1.41
70
The center to center die spacing D for a timed etch process is
D = 677 μm + 150 μm = 852μm
For the silicon fusion bonding process the resulting structure looks like this:
500um
150um
25um
150um
Fusion bond
The center to center die spacing Dfusion is
D = 500μm + 150μm = 650μm
The ratio of the areas of the die for the timed etch versus the fusion bonding process is
(852 μm) 2
R=
= 1.71
(650 μm) 2
The smaller die size for the fusion bonding process will allow more than 70% more die
per wafer than the timed etch process using anisotropic etching. This advantage is
somewhat counterbalanced by the relative complexities, costs and yields of the two
processes.
19.15) Freeze drying techniques remove the frozen liquids remaining from the sacrificial
layer etching using sublimation, whereby the frozen liquid goes from the solid
state directly to the vapor state without passing through the liquid state. The key
is that unlike the liquid state, the solid cannot flow. This prevents the two
surfaces from coming closer together as the solid is removed.
19.16) One possible process:
1. Deposit an insulating layer of LPCVD silicon nitride to electrically isolate the
substrate.
2. LPCVD deposition of doped polysilicon for bottom electrode. Anneal to activate
dopant. Lithography process using mask 1 to pattern bottom electrode rectangle
by dry etching.
3. LPCVD deposition of PSG spacer layer, 2um thick. Lithography process using
mask 2 to define area for cantilever beam anchor area. Wet etch exposed PSG.
4. LPCVD deposition of doped polysilicon for cantilever beam. Anneal for stress
reduction. Lithography process with mask 3 to define beam shape using dry
etching.
5. Release cantilever beam by removing sacrificial PSG layer in HF solution,
followed by a freeze drying technique to reduce stiction.
71
19.17) One possible process:
1. LPCVD deposition of silicon nitride isolation layer. LPCVD deposition of low stress
polysilicon layer #1. Pattern with mask 1 and dry etch polysilicon to form staple
anchor plates.
Staple anchors Poly Si #1
Nitride
Silicon
2. LPCVD deposition of PSG sacrificial layer #1. Pattern with mask 2 to open holes to
allow connection of staple to staple anchor plates.
PSG #1
Nitride
Silicon
3. LPCVD deposition of low stress polysilicon layer #2 to form the hinge plate and
connection to the staple anchor plates. Pattern with mask 3 and dry etch the poly.
Poly Si #2
Hinge plate
Nitride
Silicon
72
4. LPCVD deposition of PSG sacrificial layer #2. Pattern with mask 4, dry etch
openings to allow completion of staple by LPCVD polysilicon deposition #3 in step 5.
PSG #2
Nitride
Silicon
5. LPCVD deposition of low stress polysilicon #3 to close the staple. Mask 5 patterning,
followed by dry etch of polysilicon.
Polysilicon #3
Nitride
Silicon
6. Sacrificial release of hinge and hinge plate (fig. 19.29).
19.18) Similarly to example 19.6, first calculate the force necessary to deflect the
membrane 0.3um, then use electrostatic theory to estimate the voltage required to
generate this force. From eq. 19.12 and 19.16,
0.001265 Pa 4
Wmax =
D
3
Et
D=
12(1 − ν 2 )
Q force
P = pressure = =
A area
2
A = a = (500 μm) 2
73
Solving for Q:
W maxD
Q=
0.001265a 2
where
Wmax = 0.3μm
ν = 0.28
E = 160GPa = 160 x10 9 N / m 2
⇒ D = 1.42 x10 −5 Nm
⇒ Q = 1.35 x10 − 4 N
Q
= 540 Pa
A
Where P is the pressure. From electrostatic theory of parallel plate capacitors, neglecting
fringing fields
AV 2
Q = 0.5ε r ε 0 2
d
Where
Q = force between the plates
A = plate area
V = applied voltage
d = plate separation
⇒P=
Solving for V gives
V=
2Qd 2
= 16.6V
ε rε 0 A
74
20.1a) For the basic yield model (no clustering effects),
Y = (1 − G ) * e − A*DD
Then
⎡ Y ⎤
A * DD = − ln ⎢
= 0.86
⎣1 − G ⎥⎦
for the first situation. For the second
Y = (1 − 0.05) * e −0.86 / 2 = 62%
20.1b) Old income: 10 $/die * 400 die/wafer * 500 wafer/week * 52 week/year * 0.4
=41.6 M$/year.
New income: =64.5 M$/year, a difference of 22.9 M$/year for this technology and this
product.
20.2) For this model,
Y=
1
C
⎡ DA ⎤
⎢⎣1 + C ⎥⎦
Since the defect density is constant, we have two unknowns: C and D. With a bit of
algebra,
DA ⎡ 1 ⎤
=
C ⎢⎣ Y ⎥⎦
1/ C
−1
then
1/ C
⎡1⎤
DA1
⎢ ⎥ −1
C = A1 = ⎣ Y1 ⎦
DA2 A2 ⎡ 1 ⎤1 / C
⎢ ⎥ −1
C
⎣ Y2 ⎦
Take A1 to be 4 die and A2 to be one die. Then
1/ C
⎡ 1 ⎤
⎢⎣ 0.33 ⎥⎦ − 1
A1
31 / C − 1
=4=
=
1/ C
A2
1.821 / C − 1
⎡ 1 ⎤
⎢⎣ 0.55 ⎥⎦ − 1
4 *1.821 / C − 31 / C = 3
Solving, 1/C=2.375, so C=0.42. Then solving for D gives 0.44 cm-2.
75
Download