Uploaded by Qianteng Wu

Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客

advertisement
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
博客
原
学院
下载
图文课
论坛
APP
问答
商城
VIP会员
活动
招聘
ITeye
GitChat
写博客
搜博主文章
发Chat
传
1
Verilog基础知识(状态机与序列检测)
你的浏览器目前处于缩放状态,页面可能会出现错
2018年07月12日 17:29:51
阅读数:344
maxwell2ic
位现象,建议100%大小显示。
版权声明:本文为博主原创文章,未经博主允许不得转载。 h ps://blog.csdn.net/maxwell2ic/ar cle/details/81019594
状态机
状态机分moore机和mealy机,其中:
moore机的输出只与状态有关
mealy机的输出与当前状态和输入都有关
体现在状态转移图上就是,moore机的输出在状态圆圈内,mealy机的输出在转移曲线上
moore完全描述状态转移图会比mealy机多一个状态
体现在verilog代码中就是,moore机的最后输出逻辑只判断state,mealy机的输出逻辑中判断 state && input
状态机3段式代码风格
描述方式:
同步状态转换过程的边沿敏感行为(时序逻辑,用“<=”非阻塞赋值);
描述下一个状态和输出逻辑的电平敏感行为(组合逻辑,用“=”阻塞赋值)。
下面以检测10010序列为例说明。
状态转移图
开发者调查
AI开发者大会日程曝光
Of ce 365商业协作版 5折钜惠!
https://blog.csdn.net/maxwell2ic/article/details/81019594
免费云主机试用一年
登录
注册
1/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
你的浏览器目前处于缩放状态,页面可能会出现错
位现象,建议100%大小显示。
测试代码
1
module pattern_det(
2
input in, clk, rst,
3
output reg moore_out, mealy_out
4
);
5
6
reg [4:0] state, state_next;
7
8
localparam S_idle = 5'b00000;
9
localparam S1 = 5'b00001;
10
localparam S10 = 5'b00010;
11
localparam S100 = 5'b00100;
12
localparam S1001 = 5'b01000;
13
localparam S10010 = 5'b10000;
14
15
16
17
always @(posedge clk or posedge rst) begin
if (rst) begin
state <= S_idle;
https://blog.csdn.net/maxwell2ic/article/details/81019594
2/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
18
end
19
else begin
20
state <= state_next;
21
22
end
end
23
24
你的浏览器目前处于缩放状态,页面可能会出现错
always @(*) begin
25
26
state_next = S_idle;
27
end
28
else begin
29
case(state)
30
S_idle: if (in == 1) state_next = S1;
31
else state_next = S_idle;
32
S1: if (in == 0) state_next = S10;
33
else state_next = S1;
34
S10: if (in == 0) state_next = S100;
35
else state_next = S1;
36
S100: if (in == 1) state_next = S1001;
37
else state_next = S_idle;
38
S1001: if (in ==0) state_next = S10010;
39
else state_next = S1;
40
S10010: if (in == 0) state_next = S100;
41
else state_next = S1;
42
default: state_next = S_idle;
43
endcase
44
45
位现象,建议100%大小显示。
if (rst) begin
end
end
46
47
//Moore Machine
48
always @(posedge clk or posedge rst) begin
49
if (rst) begin
50
moore_out <= 1'b0;
51
end
52
53
else begin
//
moore_out <= (state == S10010) ? 1'b1 : 1'b0;
54
case(state)
55
S10010: moore_out <= 1'b1;
56
default: moore_out <= 1'b0;
57
endcase
58
59
end
end
60
61
//Mealy Machine
62
always @(posedge clk or posedge rst) begin
63
if (rst) begin
64
mealy_out <= 1'b0;
65
end
66
else begin
67
mealy_out <= (state == S1001 && in ==0) ? 1'b1 : 1'b0;
68
69
end
end
70
71
endmodule
2
1
`timescale 1ns/100ps
2
module pattern_det_tb;
3
4
reg clk, rst;
5
reg [23:0] data;
6
wire in, moore_out, mealy_out;
7
8
assign in = data[23];
9
10
11
initial begin
clk = 1'b0;
https://blog.csdn.net/maxwell2ic/article/details/81019594
3/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
12
rst = 1'b0;
13
#2 rst = 1'b1;
14
#30 rst = 1'b0;
15
data = 20'b1100_1001_0000_1001_0100;
16
#20000 $finish;
17
end
你的浏览器目前处于缩放状态,页面可能会出现错
18
位现象,建议100%大小显示。
19
always #20 clk = ~clk;
20
always @(posedge clk)
21
#2 data = {data[22:0], data[23]};
22
23
pattern_det U1(
24
.in(in),
25
.clk(clk),
26
.rst(rst),
27
.moore_out(moore_out),
28
.mealy_out(mealy_out)
29
);
30
31
endmodule
可以看出综合出的电路中mealy机最后输出是input和state在做与运算。
moore机因为是到达S10010状态才输出,mealy机则是S1001状态下输入为0就输出,所以moore机的输出比mealy机输出慢一个周期。
饭后一件事,白发变黑发,想要多黑就多黑
兴粤 · 燨燚
想对作者说点什么?
我来说一句
verilog——序列检测器设计 - dongdongnihao_的博客(fpga菜鸟)
首先要明白的是:序列检测器的作用就是将一个指定的序列从一个数字码流中提取出来,这篇博客要检测的是一个‘1…
https://blog.csdn.net/maxwell2ic/article/details/81019594
435
来自: dongdongnihao_的博客
4/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
10010序列检测器的verilog实现 - wuya blog(分享、记录、总结)
1788
来自: Wuya Blog
//////////////////////////////////////////////////////////////////////////////// //模块功能:检测到序列"10010...
Verilog语言——序列检测器 - 笃行之
5462
设计一个序列检测器,检测器在有“101”序列输入时输出为1,其他输入情况下,输出为0。 module xuliejiance(x,z,cl…
你的浏览器目前处于缩放状态,页面可能会出现错
来自: 笃行之
位现象,建议100%大小显示。
不用离家,区块链开发八周学会!
区块链DApp开发学习大纲免费领
6-1 Verilog Mealy状态机之序列检测器 - hyhop150的专栏
5818
使用工具:Xilinx ISE 14.7
来自: hyhop150的专栏
状态机的应用之序列检测器的实现与仿真 - stubben_bear的专栏
序列检测器的作用就是将一个指定的序列从一个数字码流中提取出来,本例将设计一个' 10010 ’序列检测器,data为…
2444
来自: stubben_bear的专栏
3段式状态机的实践------序列检测机1101 - lanzhiq1215的博客
自己好好学习了下2、3段式状态机的描述,花了不少功夫,刚开始仿真图不对,后来仔细查看了下,终于弄对了。/…
409
来自: lanzhiq1215的博客
Verilog序列检测器-两例 - pieces_thinking的博客(碎碎思)
3857
序列检测器在数据通讯,雷达和遥测等领域中用与检测步识别标志。它是一种用来检测一组或多组序列信号的…
1
来自: Pieces_thinking的博客
“10010”序列检测器的Verilog实现与Modelsim仿真 - llxxyy507的博客
序列检测器是时序数字电路中非常常见的设计之一。它的主要功能是将一个指定的序列从数字码流中识别出来。…
274
来自: llxxyy507的博客
20年炒股,坚持只看一个指标!
锐豪投资 · 燨燚
序列检测 - lovingduo的博客
541
module sequence_detect ( input clk, input rst_n,
相关热词
下载
verilog的
verilog与
verilog
input din_en, input din,
∧verilog
output dout ); ...
来自: LovingDuo的博客
和verilog
序列1101检测FPGA verilog实现
11-26
序列1101检测FPGA verilog实现,带测试激励。
Stynis
关注
hyhop150
13篇文章
关注
35篇文章
jason_child
关注
10篇文章
FPGA实现序列检测器两种实现方式(状态机+移位寄存器)
下载
FPGA实现序列检测器两种实现方式(状态机+移位寄存器)(绝对有用)
序列检测器
下载
设计序列信号检测器,具体要求:当检出输入码流为10110100或11011010时输出检出信号为1,否则为0,同时输出检出该码流的个数(最大计数值可…
Verilog状态机序列检测器
下载
怎样用状态机实现1101,11001,111110001等特征序列的检测。
北京股民请点击,每天送3只股票,免费获取!
腾龙 · 燨燚
https://blog.csdn.net/maxwell2ic/article/details/81019594
5/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
FPGA- 序列检测器 - qq_16606747的博客
2482
FPGA- 序列检测器序列检测器是时序数字电路设计中经典的教学范例。下面我将用Verilog HDL语言来描述、仿真并…
来自: qq_16606747的博客
三段式序列检测器的实现 - limanjihe的专栏(点滴积累)
reference : h p://www.cnblogs.com/yulongchen/archive/2013/02/13/2911046.html
2166
第一次用verilog上机建模,…
你的浏览器目前处于缩放状态,页面可能会出现错
来自: limanjihe的专栏
位现象,建议100%大小显示。
Verilog使用有限状态机实现对特定序列的识别 - stynis 's blog(cbeis of zju)
目的 使用mealy状态机完成对一输入序列的检测,当输入序列中有1011的特征时,输出一个时钟周期的高电平。举…
下载
310
来自: Stynis 's Blog
状态机实现序列检测
04-01
通过状态机实现序列检测,对10010进行检测,可实现重叠序列的检测,整程序用verilog实现
5-1 Verilog Moore状态机之十字路口 - hyhop150的专栏
1957
来自: hyhop150的专栏
Verilog学习过程——Moore状态机
什么叫云服务器
百度广告
verilog学习笔记——三段式状态机 - jason_child的博客
摩尔状态机的架构 状态转换图 coding module finite_fsm( z_o, clk, Rst_n, w_i ); //输出端口 output z_o;//输入端口 inpu…
下载
6219
来自: jason_child的博客
序列检测器verilog实现
09-25
实现10010序列检测功能,用verilog实现,并有状态转移图和仿真结果,同时对比了摩尔和米利型两种电路
【温故而知新】【4】Verilog序列检测 - 山高人为峰(技术博客)
【温故而知新】【4】Verilog序列检测 seuchenrui@126.com 11/21/2015 2:21:04 PM 本次博客的内容是回顾状态机…
616
来自: 山高人为峰
一个简单的状态机设计--序列检测… - tracy的专栏
一个简单的状态机设计--序列检测器 //------------ 文件名:seqdet.v ------------------- module seqdet( x, z, clk, rs...
750
来自: tracy的专栏
基于Verilog的有限状态机的编写 - sdyang's blog(to do,to try,no why)
基于Verilog的有限状态机的编写基于Verilog的有限状态机的编写 摘要 状态机的思想 状态机基本要素及分类 状态机…
671
来自: sdyang's blog
95后美女用手机赚钱,半年买车,方法曝光
正兴 · 燨燚
Verilog语法_5(状态机、modelsim自动化仿真高级部分和后仿真) - dengshuai_super的博客
September 23, 2016 作者:dengshuai_super 出处:h p://blog.csdn.net/dengshuai_super/ar cle/details/52...
1388
来自: dengshuai_super的博客
Verilog语法_3(同步有限状态机) - dengshuai_super的博客
September 17, 2016 作者:dengshuai_super 出处:h p://blog.csdn.net/dengshuai_super/ar cle/details/52...
2346
来自: dengshuai_super的博客
FPGA Verilog HDL 系列实例--------序列信号发生器 - li_qcxy的专栏(男儿立志出乡关,学不成名誓不还。埋...
Verilog HDL 之 序列信号发生器 一、原理
在数字电路中, 序列信号是指在同步脉冲作用下循环地产生一串周期…
状态机(二):Verilog状态机实现 - lishuo1028的专栏
本节主要谈一谈Verilog的状态机实现模板,并浅析比较。1.一段式状态机 (单always块结构):always @(posedge clk…
状态机实现对I2C器件的写操作 - mcupro的专栏
1,状态机实现。 2,不检测ACK,但满足ACK的时序。 3,使用24C02测试通过。 4,继续补充。 5,怎么能在这里…
3050
来自: li_qcxy的专栏
2710
来自: lishuo1028的专栏
1355
来自: mcupro的专栏
学长告诉你:去日本留学绝不能做的3件事情
百度广告
https://blog.csdn.net/maxwell2ic/article/details/81019594
6/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
Mealy和moore型状态机编程 - 烟酒僧的专栏
1885
Mealy和moore型状态机的主要区别 状态机一般分为三种类型: Moore型状态机:下一状态只由当前状态决定,即…
verilog经典三段式状态机设计实例(morre和mealy) - gtkknd的专栏
module moorefsm(clk,rst,a,z);
input clk,rst;
input a;
output z;
reg
z;
来自: 烟酒僧的专栏
1.8万
你的浏览器目前处于缩放状态,页面可能会出现错
来自: gtkknd的专栏
...
位现象,建议100%大小显示。
verilog 实现DES密码算法 - a4qqlht的专栏
1759
1.课题概述(任务、目的、要求) 本课题的任务:利用verilog语言编写出DES密码算法,并在Quartus ii上综合…
来自: a4qqlht的专栏
verilog学习之常用语法之状态机 - 灰太狼的小秘密(分享科研中的学习笔记和技术技巧)
184
状态机 1、状态机是许多数字系统的核心部件,是一类重要的时序逻辑电路。通常包括三个部分:一是下一个状态的…
来自: 灰太狼的小秘密
FPGA学习(第7节)-Verilog状态机(状态按条件切换) - 风雨无阻(如需联系交流,请关注微信公众号:【...
好的设计思路,扎实的设计基础是Verilog设计电路的重点。 之前我们学习了Verilog计数器设计 计数器学习链接:h …
5156
来自: 风雨无阻
免费试用-商家免费报名,不收取任何入驻费!
热门推荐 · 顶新
【FPGA】Verilog状态机设计 - scott 技术博客(专注于嵌入式、算法领域! 路漫漫其修远兮,吾将上下而求...
状态机是fpga设计中极其重要的一种技巧,掌握状态机的写法可以使fpga的开发事半功倍。 下面记录一下状态机的…
fpga设计思想(二):有限状态机 - zsh1422728529的专栏
9077
来自: SCOTT 技术博客
1686
今天聊一聊有限状态机的知识,也许你会觉得这个很枯燥,直接拿来用就好了,但是我要说的是:只有有了扎实的…
Verilog有限状态机的三种描述 - u013352499的专栏
来自: zsh1422728529的专栏
4519
在Verilog中描述有限状态机,可以有三种形式,可分为一段式、二段式和三段式。这三种描述主要根据其输入、输…
FPGA,verilog程序技巧之状态机与稳定性,高速 - my_share的专栏
来自: u013352499的专栏
3669
原因FPGA编程的稳定性,在程序编写到一定程度后,就会出现,这里是要提示的地方。...
来自: my_share的专栏
状态机按键消抖 - baidu_23287903的专栏
2755
状态机按键消抖 一般的按键输入软件接口程序非常简单,在程序中一旦检测到按键输入口为低电平(有时可能为高…
来自: baidu_23287903的专栏
目前微信最流行的赚钱方式,北京知道的人已经赚疯了!
新洲贸易 · 燨燚
采用verilog编写状态机 - outlier的博客(10000)
933
如果使用的是system verilog,一定要使用枚举型来描述状态机。 使用参数(parameters)来对状态机进行分配,因…
二段式FPGA的状态机 - usb_abc的博客
数字系统有两大类有限状态机(Finite State Machine,FSM):Moore状态机和Mealy状态机。 Moore状态机
来自: outlier的博客
2325
…
来自: USB_ABC的博客
状态机是时序电路的万能钥匙,所有时序电路都能通过状态机实现。以前写程序时喜欢用一段式状态机,现在流行…
来自: 左超
Verilog 状态机写法 - 左超 (nevergiveupeasily!)
verilog三段式状态机设计实例(moore和mealy) - jephirry的博客
三段式状态机:一般使用三个always模块,一个always模块采用同步时序描述状态转移,一个always采用组合逻辑…
6-2 Verilog Mealy状态机之自动售货机 - hyhop150的专栏
Verilog学习过程 Mealy自动贩卖机
https://blog.csdn.net/maxwell2ic/article/details/81019594
5469
325
来自: jephirry的博客
3912
来自: hyhop150的专栏
7/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
英语很烂,没空学?试试懒人学习法
上下班五分钟,随时随地学英语,不知不觉突飞猛进。
verilog语言设计有限状态机习题 - studying……(studying……)
module seqdet(x,z,clk,rst,state); input x,clk,rst; output z; output[2:0] state; reg[2:0] state; ...
状态机(三):Verilog设计注意问题 - lishuo1028的专栏
(一)状态编码方式对状态机性能的影响
对于状态较多的大型状态机而言,状态的编码方式会影响到状态机的…
五层住户电梯状态机-Verilog实现 - yuxi1988的专栏(asic)
最近在看精通Verilog HDL: IC设计核心技术实例详解 尝试写了一下第四章的一个练习题目;DC综合的网表功能也正…
Verilog——FSM状态机 - ll199166的专栏
状态机的下一个状态不仅与IL有关,也与该寄存器的当前状态CS有关,是组合逻辑和时序逻辑的一种组合。时序逻…
序列检测器(检测"10010序列") - qq_41982581的博客
IDLE为初始状态,A代表第一个状态"1",B代表第二个状态"10",C代表第三个状态"100…
你的浏览器目前处于缩放状态,页面可能会出现错
2306
位现象,建议100%大小显示。
来自: Studying……
396
来自: lishuo1028的专栏
548
来自: yuxi1988的专栏
91
来自: ll199166的专栏
94
来自: qq_41982581的博客
每天5分钟,出国自信讲英语!
不用死记硬背,试试极简英语学习法
状态机嵌套的例子 - polite sh的专栏(excellent ______diligent______advanced )
该例是一个简化的EPROM的串行写入器。事实上,它是一个EPROM读写器设计中实现写功能的部分经删节得到的…
下载
数字逻辑课程设计——111序列检测器
3281
来自: politefish的专栏
01-26
一、实验目的: 1、深入了解与掌握同步时序逻辑电路的设计过程; 2、了解74LS74、74LS08、74LS32及74LS04芯片的功能; 3、能够根据电路图连接好实物图,并实现其功能。…
关注
maxwell2ic
向TA提问
原创
粉丝
喜欢
评论
47
188
73
67
等级:
访问: 14万+
积分: 1743
排名: 3万+
勋章:
苹果9
最新文章
Cadence家EDA软件介绍
https://blog.csdn.net/maxwell2ic/article/details/81019594
8/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
CentOS7自定义添加应用icon
CentOS 7 下安装最新版Emacs,并自定义
配置
CentOS 7安装最新版本git
你的浏览器目前处于缩放状态,页面可能会出现错
I/O接口标准
位现象,建议100%大小显示。
个人分类
集成电路
37篇
FPGA
22篇
Verilog
12篇
Linux
11篇
人工智能
4篇
展开
归档
2018年10月
3篇
2018年9月
1篇
2018年8月
2篇
2018年7月
14篇
2018年6月
19篇
展开
热门文章
用CentOS 7安装cadence搭建适合IC Design
的科研环境(四)——IC617、
阅读量:26903
频率响应、零极点、稳定性专题
阅读量:15065
用CentOS 7安装cadence搭建适合IC Design
的科研环境(二)——操作系统的相关配置
阅读量:13773
用CentOS 7安装cadence搭建适合IC Design
的科研环境(三)——准备安装镜像
阅读量:13234
用centOS 7安装cadence搭建适合IC Design
的科研环境(一)——相关知识准备
阅读量:12659
最新评论
安装centOS 7双系统(二)—...
chihe8507:楼主在吗,在安装的时候总是遇到
not asking for vnc because we do ...
CentOS 7安装最新版本git
maxwell2ic:安装automake1.14 ./configure -prefix=/usr make &am...
频率响应、零极点、稳定性专题
lordlordhen:非常感谢
Verilog基础知识(异步FIF...
maxwell2ic:[reply]weixin_41515979[/reply] 行为
级FIFO中地址用的不是格雷码,...
常见IC设计/FPGA面试问题之:...
qq_15363121:楼主你转数字了吗
https://blog.csdn.net/maxwell2ic/article/details/81019594
9/10
11/5/2018
Verilog基础知识(状态机与序列检测) - maxwell2ic的博客 - CSDN博客
你的浏览器目前处于缩放状态,页面可能会出现错
位现象,建议100%大小显示。
问题少年的学校
联系我们
扫码联系客服
区块链大本营
QQ客服
kefu@csdn.net
400-660-0108
客服论坛
工作时间 8:00-22:00
关于我们
招聘
广告服务
网站地图
百度提供站内搜索 京ICP证09002463号
©2018 CSDN版权所有
网络110报警服务
经营性网站备案信息
北京互联网违法和不良信息举报中心
中国互联网举报中心
https://blog.csdn.net/maxwell2ic/article/details/81019594
10/10
Download