EUV Lithography Industrialization and future outlook Miyazaki

advertisement
EUV Lithography Industrialization and future outlook
Junji Miyazaki
ASML Japan
EUVL FEL Workshop
December, 2015
Outline
Public
Slide 2
12/13/2016
• NXE Roadmap
• NXE:33x0B litho performance
and productivity
• NXE:3400B
• High NA EUV system
EUV extension roadmap
introduction
55 WPH
2013
NXE:3300B
125 WPH
145 WPH
185 WPH
Overlay [nm]
7
2015
NXE:3350B
3.5
2017
NXE:3400B
3
<3
NXE:next
High NA
products under study
Roadmap: October 2016
<2
Public
Slide 3
12/13/2016
EUV reduces multi-pattern process complexity
Public
Slide 4
12/13/2016
# Process steps per layer
LOGIC
DRAM
CMP
Dry Etch
Metrology
Lithography
Coat/Dev
Deposition
Wet Etch
Hard mask
ArFi
LE3
LE3=Litho+Etch+Litho+Etch+Litho+Etch
ArFi
LE4
ArFi spacer
grating
w/ 2 cuts
EUV
single
exposure
ArFi
Crossspacer
EUV
single
exposure
7 nm study with leading Logic chip maker projects
lower wafer cost for EUV based processes
Design
Critical litho
54x ArF
immersion
Public
Slide 5
12/13/2016
Total Wafer Processing Cost
Base
FEOL
MOL
BEOL
Critical
BEOL
Other
-12%
9x EUV
+19x ArFi
Base
FEOL
MOL
BEOL
Critical
BEOL
Other
Cost per wafer calculated for ASML cost model, all process steps
Outline
Public
Slide 6
12/13/2016
• NXE Roadmap
• NXE:33x0B litho performance
and productivity
• NXE:3400B
• High NA EUV system
Public
Slide 7
12/13/2016
Demonstrated 85 wafers per hour on NXE:3350B
Achieved with 125W source configuration
Public
Slide 8
12/13/2016
100
Throughput
[Wafers per hour]
90
80
70
60
50
NXE:3350B
at customers
40
30
NXE:3350B
ASML factory
20
NXE:3300B
at customers
10
0
2014
Q1
2014
Q2
2014
Q3
2014
Q4
2015
Q3
•
2015
Q4
2016
Q2
2016
Q3
NXE:3350B ATP test: 26x33mm2, 96 fields, 20mJ/cm2
7 systems achieved over 80% availability (4 wk average)
Public
Slide 9
12/13/2016
Consistency to be improved
Graph showing the maximum availability of each system over a 4 week period
Productivity improvement also available to customers
3-day average of >1500 WpD achieved on NXE:3350B
Source: L.J. Chen (TSMC), EUVL Symposium, Hiroshima, Japan (24-26 Oct 2016).
Public
Slide 10
12/13/2016
EUV Source - Principle of operation
Public
Slide 11
12/13/2016
Droplet Generator
Vessel
Beam
Transport
Intermediate
Focus Unit
Scanner
Collector
metrology for
source to scanner
alignment
z
x
Vanes
Tin catch
Scanner Pedestal
Source Pedestal
CO2 system
Power Amplifiers
Sub-fab Floor
Fab Floor
Fab Floor
PP&MP Seed unit
Third generation Droplet Generators: average lifetime ~600 hours.
Achieved >1000 hrs on multiple systems at multiple customers
1600
30
25
1200
20
1000
800
15
600
10
EUVL
2015
400
5
200
0
0
15' Q3
15' Q4
16' Q1
16' Q2
16' Q3
Average Maintenance Time/week (hrs)
Droplet generator run
1400 hr as of Oct 2016
1400
Lifetime (hrs)
Public
Slide 12
12/13/2016
Type 3:
• capability of tin refill and restart
• enhanced particle elimination
•
Average lifetime and
maintenance time improved by
factor >3
Typical collector lifetime improved by factor 1.5 in 2016
Data from 80W configuration in the field
Public
Slide 13
12/13/2016
250W configuration
(development source)
H2’16
H1’16
Reflectivity [%]
100
80
60
40
-0.1%/Gp
20
0
0
-0.6%/Gp (SPIE 2016)
5
10
-0.4%/Gp (EUVL 2016)
15
Outline
Public
Slide 14
12/13/2016
• NXE Roadmap
• NXE:33x0B litho performance
and productivity
• NXE:3400B
• High NA EUV system
NXE:3400B illuminator: increased pupil flexibility at full throughput
Public
Slide 15
Field Facet Mirror
Intermediate
Focus
Pupil Facet Mirror
2D clips: pitch 32nm in x- and y- direction, k1=0.39
Public
Slide 16
12/13/2016
Better pattern fidelity with lower Pupil Fill Ratio
Pupil Fill Ratio=40%
Pupil Fill Ratio=20%
SPIE 9776, Jo Finders “Contrast optimization for 0.33NA lithography”
Exposures done on a NXE:3350B system. On NXE:3350B, 20% PFR leads to loss of light.
Matched Machine Overlay 1.8nm, meets NXE:3400B specification
NXE:3400B overlay improvements include calibrations and new wafer table
Public
Slide 17
NXE:3400B-like system. Matching to etched reference wafers exposed on immersion
Productivity roadmap towards >125 WPH in place
Throughput at targeted availability (>90%) sufficient for HVM insertion
140
120
Throughput [WPH]
at 20 mJ/cm2
100
80
60
40
20
Transmission improvement
0
2014
Faster
wafer swap
Source power increase
Source power increase
2015
2017
target
2016
2018
target
Public
Slide 18
Outline
Public
Slide 19
12/13/2016
• NXE Roadmap
• NXE:33x0B litho performance
and productivity
• NXE:3400B
• High NA EUV system
High-NA: large resolution step in line with our history
New product introductions providing step in resolution λ/NA, um
Public
Slide 20
12/13/2016
1.00
Major technology step
(e.g. source, mirror)
i-Line 365 nm
Engineering optimization of numerical
aperture resulting in a resolution step
comparable to historical wavelength
transitions
KrF 248 nm
ArF 193 nm
0.10
EUV 0.25
EUV 0.33
EUV 0.55
ArFi 193 nm
EUV 13.5 nm
0.01
1990
1995
2000
2005
2010
2015
Year of introduction
2020
Overview main System Changes High-NA tool
Mask Stage
• 4x current acceleration
• Same for REMA
New Frames
• Larger to
support Lens
Wafer Stage
• 2x current acceleration
Illuminator
• Improved
transmission
Public
Slide 21
12/13/2016
Source
• Increased power
Improved leveling
Lens
• NA 0.55, high transmission
• Improved Thermal Control
EUV Optical Train
Public
Slide 22
12/13/2016
Reticle (mask)
field facet mirror
Key-area where
High-NA imposes
large angles
intermediate focus
plasma
pupil facet mirror
collector
wafer
W. Kaiser, J. van Schoot,
Sematech Workshop on High-NA, 9 July 2013
Anamorphic magnification solves the problem at the mask
Public
Slide 23
12/13/2016
Multilayer Reflectivity [%]
70%
Multilayer Reflectivity
60%
50%
40%
Multilayer
0.33NA – Mag 4x
Y
X
30%
20%
10%
0.55NA – Mag 4x
4x/8x
Y - 8x
Y - 4x
X
0%
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
Angle of incidence on the mask [deg]
High-NA >0.5NA 4x/8x anamorphic magnification
Public
Slide 24
12/13/2016
Chief Ray Angle at Mask can be maintained
• Anamorphic optics  half field:
8x Magnification in scan
4x Magnification in other direction
• Chief ray angle ok  Imaging ok
reticle
scan
Pupil-in Pupil-out
scan
The pattern at the mask
will be 2x larger 
pupil
scan
Scanner prints half fields
wafer
scan
Anamorphic optics are used in cinematography
Public
Slide 25
12/13/2016
“Don’t change the mask”
16x9
16x9
“The Mask”
(24x36mm2)
Anamorphic
Camera
Anamorphic
Projector
Imaging verification of the new Half Field concept
Public
Slide 26
12/13/2016
Logic N5 clip Metal-1, 11nm lines, SMO is done at 8x
Aerial Image Intensity in Hyperlith
FF
QFHF
Note:
pictures at same scale, smaller
mask reflection is also visible
High-NA Anamorphic Lens prints a half field
Public
Slide 27
12/13/2016
By utilizing the current 6” mask
New
Half Field (HF)
4x Conventional lens
Full Field (FF)
Mask
104 mm
132 mm
Mask
field
size
132 mm
104 mm
4x
Lens
4x/8x
Note: rectangular slit shown for illustration purposes
FF
Wafer
field
size
16.5 mm
Wafer
33 mm
26 mm
26 mm
QF
HF
High-NA anamorphic Half Field concept
Public
Slide 28
12/13/2016
Faster stages enable high productivity
Half Field yields 2x more fields
•
2x wafer stage acceleration maintains
overhead while going to twice number
of scans
Y-magnification 4x  8x
•
2x wafer acceleration results in 4x
mask acceleration
Full Fields
Half Fields
Acceleration of wafer stage ~2x
Acceleration of mask stage ~4x
High-NA Field and Mask Size productivity
Public
Slide 29
12/13/2016
500W enables throughput of >150wph with anamorphic HF
Throughput for various source powers and doses
200
WS, RS current performance
High NA anamorphic
WS 2x, RS 4x
500 Watt
60mJ/cm2
Throughput [300mm/hr]
180
NXE:3300
160
FF
140
HF
120
100
80
60
500W Watt
30mJ/cm2
40
High-NA Half Field scanner
20
0
needs 500W for
0
5
10
15
20
25
30
Source Power/Dose [W/(mJ/cm2]
35
150wph at 60mJ/cm2
High-NA calls for tight focus control
High-NA scanner will be introduced in line with focus scaling
k2 = 1
Rayleigh
ଶ
NXE:3300
ଶ
focus control budget
at introduction
High-NA
Public
Slide 30
12/13/2016
Way forward to 30 nm focus control
Public
Slide 31
12/13/2016
Focus Control [nm]
120
100
80
60
40
20
0
3300
performance
Machine
improvements
(level sensor,
stages, etc.)
Optics
improvements
Product wafer
flatness
Summary
EUV into production in 2018-2019
•
•
•
More than 1,500 wafers per day (WpD) exposed on a NXE:3350B at a customer site
on average over three days at 85WpH configuration. Roadmap in place to secure
>125WpH
Best performance is four-week average above 90% on a NXE:3300B system
•
consistency between tools and across sites still needs to be significantly improved
•
Roadmap to >90% availability, with consistent performance, in place
ASML expects that customers will take EUV into production in 2018-2019 timeframe
High-NA extends Moore’s Law into the next decade
•
•
•
New anamorphic concept enables good imaging with
existing mask infrastructure resulting in a Half Field image
New stages technologies and high transmission optics enables cost effective lithoscaling
On going feasibility studies support design targets
Public
Slide 32
12/13/2016
Download