Using Simulation to Estimate Mosfet Junction Temperature in a

Using Simulation to Estimate
MOSFET Junction Temperature in a
Circuit Application
Presented by:
David Divins
Senior Staff Field Applications Engineer
International Rectifier
ddivins1@irf.com
Agenda
„
„
„
„
„
„
„
Definition of Electro-Thermal Simulation
Simulation Tools and Methods
Methods of Estimating Die Temperature
Creating Quasi-Dynamic MOSFET Model
Model Generation
Example Application
Conclusion
Electro-Thermal Simulation
„
Purpose of Electro-Thermal Simulation is to
predict MOSFET junction for a given
application.
Heatsink
Package
FR4 Board
Die
Solder joint
Electro-Thermal Simulation
„
Applications
‰
‰
‰
‰
‰
‰
Solenoid drivers
Motor drive
Lighting ballast
DC/DC converters
Switch model power supplies
Class D amplifiers
Simulation Tools and Methods
Method
‰
Implementing model in the hardware description
language
Implementing model using equations and macro
modeling
library ieee; use ieee.std_logic_1164.all;
use ieee.electrical_systems.all;
+
‰
entity comparator is
port ( terminal a : electrical;
signal d : out std_ulogic );
end entity comparator;
----------------------------------------------------------------
V
Vds
A
+
Source
vs.
dr
V
Drain
Id
Vgs
irfr9024
10
10
architecture ideal of comparator is
constant ref_voltage : real := 5.0;
quantity vin across a;
begin
Rdson
end architecture ideal;
Ta
V
Equations
10
10
PWR
Vdst
EQU
Tj
FCT_ABS1
10
comparator_behavior : process is
begin
if vin > ref_voltage / 2.0 then
d <= '1' after 5 ns;
else
d <= '0' after 5 ns;
end if;
wait on vin'above(ref_voltage / 2.0);
end process comparator_behavior;
Gate
+
„
117.73m K/W
601.26m K/W
dr
PWR
Probe
RTH1
H
Abs
PWR
RTH2
1.38m Ws/K
CTH2
CTH1
Θ
CTH3
211.98u Ws/K
H1
2.01 K/W
RTH3
32.33m Ws/K
T1
Ta aC
Simulation Tools and Methods
„
Quasi-Dynamic MOSFET model
implementation
‰
‰
Macro modeling with use of linking equations
Using a multi domain simulator that allows for
Electro-Thermal simulation.
„
„
Volts and Amps
Heat flow (Watts) and temperature
+
H
Thermometer
Heat
V
Voltmeter
A
Ammeter
Methods of Estimating Die Temperature
„
Methods of estimating MOSFET die junction
temperature
‰
Equation based + Thermal Impedance curve
P = I ∗V ∗ D
Where:
I = average current during the conduction cycle
V = equivalent voltage across the device during
the conduction cycle
D = duty cycle
Methods of Estimating Die Temperature
- Use Power calculated with P=I*V*D
- Use pulse width and duty cycle to determine Zth (thermal impedance) from
device thermal impedance curve
- Temperature rise (ΔTjunction)= Zth * P
Methods of Estimating Die Temperature
„
Limitations of the equation based junction
temperature estimate
‰
‰
‰
Only temperature rise from junction to case is taken into
account. Neglects case to ambient temperature rise.
Assumes the power pulse is an ideal square edged pulse
train.
It does not allow for transient thermal response.
Temperature
Rise Calculated
Junction
Case
Ambient
Case to Ambient Temperature
Rise Not calculated
Methods of Estimating Die Temperature
Simulator based MOSFET junction temperature estimate
FET Junction Temperature
FET Power
Load Current
150.00
182.47
1.50k
1.00k
100.00
IRF28...
500.00
100.00
IRF28...
Bus.I [A]
A
Gate Voltage
10.05
C
Vgs.V ..
5.00
0
-50.00m
0
0
200.00m
2.00m
50.00
4.00m
25.00
0
Vds2 +
D1
+
V
V
V
Vsw
Name
trig
Ipk
Iss
Vbus
R1
R2
Ig
Vds1
30.60
20.00
Vds2....
0
+
Rdrv
AM1
0
V
200.00m
Vds1
IRF2804S_7P_Therm
IRF2804S_7P_Therm1
A
STATE2
TRANS1
R2
(C)
-39.20m
Tj.MAX
0
0
0
TR
Probe
A
-2.44
192.00
0
200.00m
100.00
-20.00n
0
100.00m
200.00m
Measured Values
200.00
Name
Bus.I [A]
Load_current.MAX
Temp_Rise
100.00
25.00
100.00m
200.00m
Load_...
167.65
200.00m
8.00u
500.00u
A
1.00m
Experiment Table
Tj
368.00
300.00
180.00
Vds1....
0
0
191.80
Vds2
1.40
0
N0009.V
10.00
1.50u
200.00m
Peak Load Current vs Gate Drive Current
EQU
Load Current
100.00m
200.00m
Equations
Driver
27.80
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
Vgs.V ..
5.00
150.00
Load_current
C1
Load Voltage
4.35m
Gate Voltage vs. Ig
10.05
Peak Tj for IRF2804_7P
Tj
TR
Probe
R1
Value
1.00m
200.00
40.00
28.00
175.00m
700.00m
100.00u
Parameters
ICA:
STATE1
2.00m
Parameters
Vbus V
Value
42.76
186.82
148.25
RunNo
1.00
2.00
3.00
4.00
5.00
6.00
7.00
8.00
9.00
10.00
Ig
10.00u
16.68u
27.83u
46.42u
77.43u
129.16u
215.44u
359.38u
599.48u
1.00m
Load_current.MAX
167.68
174.47
179.20
182.85
185.65
187.68
189.41
190.50
191.25
191.71
Tj.MAX
366.02
314.35
271.71
207.12
156.68
116.89
83.11
63.17
60.75
60.25
FET Junction Temp Rise vs. Gate Current
366.25
300.00
C
I1
-20.00n
50.00m72.28m
Bus
IRF2804S_7P_Therm
Vgs +
25.00m
0
IRF2804S_7P_Therm
(V)
‰
(V)
‰
A
‰
Uses circuit simulation to calculate junction temperature in an
application
The circuit can be arbitrary
Transient thermal response is calculated
Component parameters change with temperature
W
‰
C
„
200.00
Tj.MAX
60.00
10.00u 30.00u
100.00u200.00u
A
1.00m
Methods of Estimating Die Temperature
„
Assumptions made for junction temperature
estimates using simulation
‰
‰
‰
No other source of heat considered (Temperature
rise due to self heating only)
Only MOSFET Rdson and threshold voltage
changes with temperature
Since simulation solves Ordinary Differential the
junction is assumed to be a point source of heat.
Creating Quasi-Dynamic Thermal
MOSFET Model
„
Gathering information:
‰
‰
25C Spice Model of MOSFET
Datasheet information
„
„
.SUBCKT irf1404 1 2 3 * SPICE3 MODEL WITH
THERMAL RC NETWORK
************************************** * Model Generated
by MODPEX * *Copyright(c) Symmetry Design
Systems* * All Rights Reserved * * UNPUBLISHED
LICENSED SOFTWARE * * Contains Proprietary
Information * * Which is The Property of * *
SYMMETRY OR ITS LICENSORS * *Commercial Use
or Resale Restricted * * by Symmetry License
Agreement * ************************************** * Model
generated on April 2, 01 * MODEL FORMAT: SPICE3
* Symmetry POWER MOS Model (Version 1.0) *
External Node Designations * Node 1 -> Drain * Node
2 -> Gate * Node 3 -> Source M1 9 7 8 8 MM L=100u
W=100u .MODEL MM NMOS LEVEL=1 IS=1e-32
+VTO=3.74133 LAMBDA=0.00250986 KP=514.947
+CGSO=7.17952e-05 CGDO=1.60578e-08 RS 8 3
0.00282867 D1 3 1 MD .MODEL MD D IS=1.89845e10 RS=0.00218742 N=1.20398 BV=40 +IBV=0.00025
EG=1.2 XTI=1.85712 TT=2.00014e-05
+CJO=5.42237e-09 VJ=2.67939 M=0.566441 FC=0.1
RDS 3 1 1e+06 RD 9 1 0.000681391 RG 2 7 3.16781
D2 4 5 MD1 * Default values used in MD1: * RS=0
EG=1.11 XTI=3.0 TT=0 * BV=infinite IBV=1mA
.MODEL MD1 D IS=1e-32 N=50 +CJO=3.13813e-09
VJ=0.970446 M=0.823421 FC=1e-08 D3 0 5 MD2 *
Default values used in MD2: * EG=1.11 XTI=3.0 TT=0
CJO=0 * BV=infinite IBV=1mA .MODEL MD2 D IS=1e10 N=0.4 RS=3e-06 RL 5 10 1 FI2 7 9 VFI2 -1 VFI2 4
0 0 EV16 10 0 9 7 1 CAP 11 10 7.84089e-09 FI1 7 9
VFI1 -1 VFI1 11 6 0 RCAP 6 10 1 D4 0 6 MD3 *
Default values used in MD3: * EG=1.11 XTI=3.0 TT=0
CJO=0 * RS=0 BV=infinite IBV=1mA .MODEL MD3 D
Rdson vs. Temperature curve
Thermal Impedance Curve with thermal RC ladder network
IS=1e-10 N=0.4 .ENDS irf1404
Creating Quasi-Dynamic Thermal
MOSFET Model
„
25C Spice Model
‰
‰
‰
Characterized to the datasheet
Does not change performance characteristics as
power is calculated
Used as base model for Quasi-Dynamic MOSFET
model
Creating Quasi-Dynamic Thermal
MOSFET Model
MOSFET
Model in
Simulation
Power
Calculation
Temperature (Tj)
Thermal
Network
Rdson=F(Tj)
Vth=F(Tj)
Model Generation
„
Ladder Network
‰
A thermal RC network used to model the dynamic
thermal behavior of the package + mounting
system.
117.73m K/W
PWR
Probe
RTH1
H
Abs
RTH2
1.38m Ws/K
Θ
CTH3
211.98u Ws/K
H1
RTH3
CTH2
32.33m Ws/K
T1
Ta aC
CTH1
Package + Mounting
Equivalent RC Ladder Network
The ladder network can be synthesized from the thermal impedance curve
or is given by the MOSFET manufacturer
Model Generation
„
Tying the thermal model to the 25C Spice
model
‰
Create the equation that represents Rdson vs.
temperature
Rdson(Tj ) = Rdson(25C ) * [a * Tj 2 + b * Tj + c]
dRdson(Tj )
= Rdson(25C ) * (2 * a * Tj + b)
dTj
dRdson = Rdson ( 25C ) * ( 2 * a * Tj + b) * (Tj − 25)
Note: a, b and c are calculated via a curve fitting routine.
The Rdson vs Temperature curve is assumed to be quadratic.
This expression gets
implemented in the model
Model Generation
‰
Create the voltage source that represents the
temperature dependence of Vth (threshold
voltage)
Vth (Tj ) = −0.007 * (Tj − 25)
Expression used in model.
The voltage source is in series with the MOSFETs gate.
Model Generation
‰
Calculating the power in the MOSFET for use in
the thermal network.
P = Id * Vds
This calculated power is the source for the thermal network.
Model Generation
„
Putting it together
Drain
dr
+ Vds
irf2804s7p
Vth
irf2804s7p1
V
+
Vdst
Tj 10
V
Ta 10
Gate
PWR 10
Source
Tj
PWR
Probe
PWR
Abs
H
305.073m K/W
0.195105 K/W
RTH1
RTH2
RTH3
Θ
H1
PWR_abs
3.808m Ws/K
CTH1
26.941m Ws/K
CTH2
Equations
EQU
dt:=Tj.T-Ta
Tj:=Tj.T-273.15
if(Vds.V<0.1) {Rdson25:=abs(Vds.V/dr.I)} else {dr:=1m}
if(Vds.V<0.1) {dr:=(7.41u*Tj+3.519m)*dt*Rdson25} else {dr:=1u}
PWR:=PWR_abs.VAL
Vth:=-7m*(Tj-25)
T1
Ta aC
Model Generation
„
Final model
‰
‰
‰
‰
‰
‰
25C Spice model
Added voltage source Vth in gate implements
Vth(Tj)
dr implements dRdson = Rdson(25C ) * (2 * a * Tj + b) * (Tj − 25)
Vds and the current in dr are used to calculate
Rdson25C
Vdst and the current in dr is used to calculate the
total power
PWR_abs is used to insure that the thermal network
is driven with positive power.
Example Application
„
„
High side switch
MOSFET being driven by a opto isolated
drive
‰
„
„
Very low drive current capability
Load is capacitive
Issue: How does driving this load effect the
junction temperature of the MOSFET
Example Application
Simulation Schematic
Vbus
108 V
W
R1
1k Ohm
Vcc
24 V
IRFP4232
A1
C1
STATE1
A2
C2
STATE2
S1
TRANS1
+
PWR_FET
PVI1050N
„
IRFP4232_Therm
Ig
Vop1
Case
A
Von1
N0052
Vop2
Heatsink
Von2
PVI1050N
Vgs
+
V
C1
400u F
R2
10 Ohm
Example Application
„
Assumptions
‰
‰
‰
‰
Tambient=25C
Heak sink is modeled as just a thermal resistor
C1 & R2 represent a load system i.e. power
supply
Ig, Vgs, PWR_FET, States 1 & 2, Trans1 and S1
are measurements, input stimulus and ideal
switch
Example Application
„
Results
Gate Voltage, Junction Temperature, Power and Current
15
MOSFET Current
(A)
10
5
0
MOSFET Power
(W)]
1k
500
0
15
Gate Voltage
(V)
10
5
0
Junction Temperature
(C)
150
100
50
0
0
20.00m
40.00m
60.00m
80.00m
100.00m
120.00m
157.50m t [s]
Conclusion
„
„
„
„
Electro-Thermal simulation allows for analysis in
both electrical and thermal domains
Quasi-Dynamic Thermal MOSFET model allows for
self-heating to alter Rdson and Vth during simulation
as a function of temperature
Quasi-Dynamic Thermal MOSFET Model generation
is a data gathering task
The example shows why it is difficult to switch a
capacitive load with an opto-driver and a MOSFET
due to the excessive junction temperature spike
during turn-on.