2013 Innovative industrial solutions CHIP 2013 CONTENTS CONT 1.0 2.0 ENTS 3.0 Editor in Chief Sven E. Jarby Managing Editor Beatrice Eichmüller Editor Peter Kraus, REMCOM GmbH (USA/Switzerland) Editor Sara Ver-Bruggen (UK) Design/Layout Darren Stacey, Doubletake Design Ltd. (UK) Published by Oerlikon Systems, P.O.Box 1000, FL-9496 Balzers, Liechtenstein Printed by Südostschweiz Print (Chur, Switzerland) Photography Peter Fuchs (Buchs/Switzerland) / Michael Reinhard Photography (Herrliberg, Switzerland) / Oerlikon Photography unless stated Comments & Information Please contact us at info.systems@oerlikon.com or for more information visit www.oerlikon.com/systems 4.0 5.0 6.0 03 Introduction Andreas Dill: A Formula for Success 1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7 04 Oerlikon Systems Business News VLSI Awards Go to Oerlikon Asia - the Eastern Promise Working at the Frontiers of Innovation SEMI: Moving to 450mm in U.S.A. How to Craft a Strong Global Brand Message Oerlikon Balzers: A “Green” PVD Coating Oerlikon Leybold Vacuum: Hidden Champ for Thin Films 06 08 10 12 16 18 20 22 2.0Semiconductor 2.1 The Goal is Speed with HEXAGON 2.2 LLS Sports New Robot Handling 2.3 New Materials for Advanced Memory Devices 2.4 Power Device Production Goes to 300mm 2.5 Rotary Cathode Reduces Running Costs 2.6 Collaborating on TSVs for 2.5D Interposers 24 26 28 30 32 34 36 3.0 3.1 3.2 3.3 3.4 3.5 Technology and R&D High-Quality PZT Thin Films Meet Productivity Lightbulb Moment: Lower Cost LED Production Market Heats Up for Thermoelectric Converters SOLARIS: Lab to Fab with High Efficiency PV Cells Driving Down The Cost of Directional PVD 40 42 44 46 50 52 4.0 4.1 4.2 4.3 Advanced Nanotechnology 56 Breakthrough “Anti-Smudge” Coating for Touch Panels 58 SOLARIS Shines in Semi Production 60 PV Coatings on CLUSTERLINE®62 5.0 Customer Service 5.1 Making Customers Happier 5.2 Getting Spare Parts Quickly & Easily 64 66 68 6.0 Worldwide Sales & Service Network 6.1 Woowon Scores New Opportunities 6.2 How & Where to Find Oerlikon 70 72 74 CHIP 2013 INTRODUCTION 05 INNOVATION + RELIABILITY = FORMULA FOR SUCCESS Dear readers, Welcome to our 2013 edition of Chip. Innovation is a prerequisite in our business – it is in our DNA. Of equal importance is how to turn innovation into reliable production tools and the ability to meet the needs and requirements of our customers as they meet the challenges of their respective industries. These three factors, each given equal emphasis and weight within Oerlikon Systems, are reflected again this year by our company’s achievement as a consecutive winner in the annual VLSI awards. For this year these are: ‘10 BEST Focused Suppliers of Chip Making Equipment’, ‘THE BEST Suppliers of Silicon Wafer Fab Equipment’ and ‘RANKED 1st in Deposition Equipment’. Our success can be attributed to our acute awareness of what it takes to be a reliable partner to both our customers and our supply chain partners. This approach is exemplified by the continued enhancement of our CLUSTERLINE® family of tools with new process modules and respective process capabilities and the HEXAGON, our most productive deposition tool for advanced semiconductor chip packaging applications. NanoSmooth™, a new process created by Oerlikon Systems, has been qualified by our partner and customer. This process has been developed to augment the light output of LEDs and to make the production of LEDs more cost-efficient, as demand for solid state lighting technologies for general lighting grows. It is another prime example of our approach of establishing a partnership with a key partner and customer to develop a production solution through close, trust-based cooperation, which ultimately reduces cost of ownership, optimizing the customers’ operating costs. Oerlikon Systems continues to work on innovations in the Advanced Nanotechnology sector, transferring the know-how and expertise gained from the semiconductor industry to develop novel production solutions for exciting emerging mobile electronics applications such as e-paper and touch panels. An important field within Oerlikon Systems continues to be energy applications, including energy storage, thermoelectric generators and photovoltaics, where in the latter’s case our innovative approach is helping to enhance high-efficiency silicon heterojunction solar panels, a photovoltaic technology that is expected to see demand growth from 2014 onwards. Despite a positive start to the year, macroeconomic conditions ensure that prudent investment decisions prevail in the semiconductor industry. However Oerlikon Systems is in a position to respond rapidly when investments to ramp capacity, made at shorter notice, pick up again in the mid-term. At this point it is important to emphasize that we are committed to meeting the requirements of local customers, strengthening our focus on Asia, which is the most important growth region for Oerlikon Systems, as well as the Group as a whole, while balancing this with our ongoing commitment to serve the established, innovation-led markets in the US, Europe and other developed economies. Innovation, reliability and consistency, these are our core strengths and we continuously strive to be “Best-in-class (BiC)”, in all economic “weathers” and market cycles. This is why we constantly re-evaluate the after-sales service we provide all of our customers with. We are confident that by the end of this year the majority of our customers will be able to benefit from our Catalogue Creator designed to provide access to parts and spare parts even more quickly. In my last editorial I mentioned that our drive to innovate is a big part of who we are and how we work, but we also understand the value and importance in being a reliable partner and supplier. We hope you enjoy reading about our commitment to these maxims in our 2013 issue of Chip. Sincerely, Andreas Dill CEO Oerlikon Advanced Technologies 1.0 2.0 3.0 4.0 5.0 6.0 CHIP 2013 1.1 OERLIKON SYSTEMS BUSINESS NEWS 09 “Very solid, reliable, and excellent support; by far the very best supplier we have…“ ANOTHER VOTE OF CONFIDENCE “Oerlikon has the best technology available with the best support staff.” “Oerlikon has always, and continues to outperform its competitors in every aspect.” Building on the successful upward trend of recent years, Oerlikon Systems has – once again – won high honors in the annual VLSIresearch customer survey. The company won awards in three different categories: “10 BEST” Focused Suppliers of Chip Making Equipment, “10 BEST Focused Suppliers of Chip Making Equipment” for the 4th year in a row. “THE BEST” Suppliers of Silicon Wafer Rated “THE BEST Suppliers of Silicon Wafer Fab Equipment” for the 5th year in a row. Fab Equipment, and “RANKED 1st” for Deposition Equipment. “There’s a saying in sports: ‘you never get tired of winning,’” remarks Colm Connell, Head of Global Customer Support at Oerlikon Systems. “And so it is with the VLSIresearch results. Being voted as one of the world’s best suppliers in the VLSIresearch customer survey is a great honor – and a remarkable achievement for our teams! We sincerely thank our customers for this important recognition.” “Congratulations to Oerlikon for a well-deserved award,” adds G. Dan Consecutive winner Vote of confidence for Oerlikon Systems Amazingly, this is Oerlikon’s 13th consecutive appearance The latest VLSIresearch survey results underline the growth in the 10 BEST and THE BEST Suppliers lists. Overall, trends for the company as well. Oerlikon Systems is on Oerlikon Systems won the following awards: track for another year of solid growth despite a highly 10 BEST Focused Suppliers of Chip Making Equipment THE BEST Suppliers of Silicon Wafer Fab Equipment Ranked 1st in Deposition Equipment Oerlikon, a consecutive winner in the annual VLSIresearch surveys, rated in the “THE BEST Suppliers of Silicon Wafer Fab Equipment” for the 5th year in a row. The company cyclical market. “To repeat as a winner in the VLSIresearch survey results is very encouraging for all the Oerlikon customer support teams,” says Albert Koller, Head of Semiconductors at Oerlikon Systems. “It also confirms that we’re meeting customer expectations. However, we also realize that there is still room for improvement.” Hutcheson, CEO of VLSIresearch. “Your also won a “10 BEST Focused Supplier of Chip Making “We’ve worked extremely hard to be the best at what we do, customers voted Oerlikon to the top of Equipment” award for the 4th year in a row. This category and to build on trust with all our customers,” adds Andreas covers all sectors of the semiconductor industry: wafer Dill, CEO of Oerlikon Advanced Technologies. “We’re processing, assembly, packaging, testing, etc. And last but thrilled with the excellent VLSIresearch survey rankings; it’s rankings of the past two years – a not least, Oerlikon was ranked #1 outright for “Deposition a positive market response to all our innovative solutions. remarkable result!” Equipment,” a highly competitive sector. Congratulations to everyone at Oerlikon Systems!” our survey by repeating the impressive CHIP 2013 1.2 OERLIKON SYSTEMS BUSINESS NEWS 11 Asia 60% China 22% I n 2012 over 55% of Oerlikon Systems’ revenue came from Asia, with China alone accounting for more than 20% of this portion, which will increase year-on-year for the foreseeable future. Asia has traditionally attracted offshore supply of high-volume memory devices and provided foundry services to semiconductor manufacturers. The back-end assembly and testing of these devices has moved to the best cost countries as regions have developed. Looking back, the trend was for the industry to move technology manufacturing to Japan in the early 1980s, then to South Korea in the early 1990s. Next, we saw a large investment into Taiwan as we broke the new millennium. In support of the world’s largest growing consumer market, China is building its infrastructure by rapidly adopting technology that Trevor Norman, Head of Global Sales, Oerlikon Systems en ue TODAY A SIGNIFICANT PART OF OERLIKON SYSTEMS’ REVENUE COMES FROM CUSTOMERS IN CHINA RAMPING UP LOCAL SEMICONDUCTOR PRODUCTION, SO THE COMPANY’S STRATEGY IN THE CHINESE MARKET IS KEY TO ITS SUCCESS IN THE FUTURE. ev Oerlikon supports energy efficiency, connectivity and mobile devices and applications. Oerlikon recognized the same pattern of movement and development occurring in China. Early deployment of legacy processes transferring from existing semiconductor regions help to build and attract the infrastructure necessary to enable more advanced semiconductor manufacturing capabilities. In 2009 Oerlikon decided to move to an agency model in Asia. Oerlikon, through its agencies, is established in five regions supporting Japan, South Korea, Taiwan, south-east Asia (Singapore, Malaysia, Philippines, Thailand) and China. Originally from the UK, Trevor Norman spent 20 years in California’s Silicon Valley before moving to Singapore, then to Taiwan and is now supporting the growth in China out of Oerlikon’s Corporate Headquarters in Shanghai. The agencies are managed through regular business reviews and the collective role is to act as the interface between the Swiss factory and the ‘opportunity’. The agencies are exclusively responsible for providing pre- and post-sales support, together with the technical support for installation, commissioning and warranty. In China, Oerlikon saw a need for investment into supporting the developing needs of the region and r s’ m te Sys Trevor Norman helped steer the company’s agency, DKSH, to become more proactive in the region. As a result China is now the biggest independent region when compared to on a global basis. In recognition of his efforts for executing one of the most successful China market strategies in the global semiconductor industry, he was inducted into VLSI’s Chip Insider 2012 All Stars and Hall of Fame. VLSI describes his efforts as: “A classic blue-ocean strategy of finding new markets where sharks won’t go, tailoring products for the required needs and reorganizing operations to support this”. Markets “We continue to focus on markets that match our core competencies,” says Trevor Norman. Specifically, the growth opportunities for technologies that are inherently important to sustaining China’s growth are: advanced packaging, energy efficiency – especially power devices, energy harvesting and energy storage. Oerlikon Systems’ core competency is providing leading solutions for the back-end assembly and power device manufacturing markets, such as advanced packaging for smart phones, tablets, ultra-thin laptops and system-on-chip electronics.” We have unique capabilities in providing solutions in energy efficiency such as LEDs for lighting, IGBTs for power distribution and control (high-speed trains, refrigeration, A/C, infrastructure), energy harvesting (wind, solar, etc.) and energy storage (lithium batteries, etc.),” he explains. Outside of the semiconductor industry, Oerlikon will also promote its exclusive capabilities to provide solutions to other markets that are key within China’s official on-going development plans. “Our Five-Year technology roadmap supports growth of all our core competencies in thin-film deposition and supports applications in semiconductor, touch panel, energy harvesting, energy storage and efficiency,” says Trevor Norman. “Taking into consideration the different cultural aspects of what essentially amounts to a Europe-headquartered business brand in China and, therefore, ensuring that Oerlikon’s objectives are aligned with those of our customers in China, is one of the most challenging aspects of what I do,” he adds. Each region is unique, and China has its own requirements that differ from Oerlikon’s experience in Asia. “Rapid growth and large geographical regions are synonymous with the general view of China, and so bring with it challenges that we need to continue to work on. We started by leveraging our experience and proven best-in-class performance with customers in other regions who are looking to move into China. Riding on the coattails of foreign investment is not enough, so we have tailored our support, spare parts and products for a bespoke application specific to the needs of each market within China. “Traditionally the value that a Swiss manufacturer, such as Oerlikon, brings is a high quality product that contributes to the lowest cost-of-ownership. My job is about successfully translating the needs of the customer back to our organization and, together, we are able to influence the decisions made at the customer with offerings that match their needs by confidently supplying the best cost-ofownership specific to the market. China is very loyal to labels/branding, and once you prove your capability you tend to be rewarded by becoming a key supplier. “The success of our partnership with China requires Oerlikon to capitalize on China’s proven ability to implement and deploy in rapidly changing markets while safeguarding Oerlikon’s reputation as a best-in-class solution organization.” CHIP 2013 1.3 OERLIKON SYSTEMS BUSINESS NEWS At the frontiers of innovation HOW BUSINESS DEVELOPMENT IS IDENTIFYING NEW MARKETS FOR GROWTH FOR OERLIKON SYSTEMS T echnology is a powerful enabler of enhanced living conditions and offers opportunities across industries for diversification and growth. In the semiconductor, mobile communication, energy and advanced nano markets that Oerlikon Systems serves, continuous investments into leveraging and enriching core competences provide a solid basis for long-term market success. To be able to identify what thin film equipment solutions customers are going to require is a key part of the role of business development within Oerlikon Systems. Business development acts as an internal partner to the corporate-level team and business units on strategic matters, from organic growth to partnerships, regional market development and business planning. As Oerlikon Systems grows in terms of serving the most innovative, technologically challenging and growing end-user markets, including MEMS, LED, advanced packaging and touch panels, business development leads market entry, development and growth in partnership with Oerlikon Systems’ business units, the sales force and the technology department. For example, PVD processes that run on the CLUSTERLINE® production platform are an Oerlikon Systems specialty and business development supports business units in defining market entry strategy. The introduction of the MEMS piezo materials manufacturing solution is in response to the increasing opportunities that such materials have to offer to customers and end users. Business development helps align technological innovation in deposition and characterization of piezoelectric materials with the market requirements of emerging applications such as autofocus lenses, infrared sensors and microspeakers. This is achieved through the early identification of emerging players, establishment of new solid business relationships and development of market strategy to bring to market lab innovations. 13 Antonio Calvosa, Head of Business Development, Oerlikon Systems CHIP 2013 1.3 OERLIKON SYSTEMS BUSINESS NEWS 15 China, especially, is driving Asia’s growth in electronics manufacturing. This requires working closely with teams there, in order to be able to establish where the market is heading in the future and liaising with the rest of the centralized business development team within the Oerlikon Group. This allows us to be able to share best practices in order to maximize the opportunities that emerging markets are providing. Market identification and development involves extensive liaising and interfacing with customers in different markets and, also, with analysts to keep abreast of both macro- and microtrends. Solutions, such as HEXAGON, have come to fruition as a result of a strong focus on tracking the evolution of existing markets that our customers are active in and analyzing and monitoring competitor markets. Factors that initiated the development of MEMS PZT were driven by Oerlikon’s continued focus on customers’ success, which, fundamentally, was based on understanding of megatrends and relating these to product solutions, customer roadmaps and research-driven innovation. In order to do this, establishing strong relationships, based on trust, with customers on their most innovative projects is key. For our customers we are the partnerof-choice for speed, reliability, productivity and cost-ofownership. Business planning activity Where business planning activities are concerned, we are faced with some big challenges but we are well prepared to identify the strategic opportunities that these present. We are readily embracing the accelerated shift by Oerlikon Systems’ revenue base to Asia. In Europe we are committed to building on our strength in line with the European Commission’s New European Industrial Strategy for Electronics. This initiative involves better targeted support to mobilize €100 billion in new private investments, in order for European chip production to effectively double to around 20% of global production. We are in a strong position to support this ambition with our partners in the European semiconductor and microelectronics industry. Equally, we are also committed to enabling efforts by the US semiconductor industry that are directed at strengthening its own production base and others that maximize its position as a global leader in semiconductor and microelectronics design capability. China is investing in developing a complete local supply chain. Oerlikon receives several requests for partnerships and business development analyses and selects best opportunities for regional growth. The new China Five Year Plan is putting additional emphasis on competitiveness through manufacturing. Being able to work together, and grow, with local companies is key in order to ensure industry growth and meet target development objectives. In other emerging markets, such as the case of Russia, pilot manufacturing programs have potential to establish a strong local manufacturing industry. In addition, local R&D programs, from ‘Skolkovo’ to VC- funded activities, are paving the way for innovation in nanomaterials with applications in energy, health and resource management. THIN FILM ELECTRONICS PRODUCTION IS ALWAYS EVOLVING AND, TODAY, OERLIKON SYSTEMS IS SUPPLYING BEST-IN-CLASS SOLUTIONS SUCH AS HEXAGON, CLUSTERLINE®, LLS AND SOLARIS. New technology trends In some cases, technologies find their way Technologically, some new markets can take a long time to develop such as organic LEDs (OLED) and thermoelectric generators. In these cases, business development keeps abreast of industry developments, establishing connections with startups, corporate innovation departments and venture capital arms as well as seed and growth capital investors. Finally, information and partnerships are created and R&D is leveraged for accelerated industry access. more rapidly to the market. This is the case, for OLED technology, for example, has begun to establish itself as an alternative to LCD displays in the smart phone market, but has the potential to replace LCD in large-area display segments such as computer devices and flat panel televisions and, even, lighting. We are actively engaging in OLED and other emerging nanomaterial thin film technologies and processes, in order to be able to develop new equipment, accordingly, in response to our customers’ demand as these new technologies become more established. best-in-class solutions such as HEXAGON, example, with nanowire technologies in touch panels. A key task of business development is the monitoring of emerging trends in thin film materials, nanomaterials and related technologies in order to keep abreast of new advances and to provide competitive manufacturing solutions to the industry. Thin film electronics production is always evolving and, today, Oerlikon Systems is supplying CLUSTERLINE®, LLS and SOLARIS. It is vital that Oerlikon Systems continues to provide best-in-class production solutions for the semiconductor and electronics industry today, and in the future. Business development exists to support this, by tracking trends and new growth opportunities, by analysis of market intelligence, and by maintaining trust-based relationships with customers, agencies, as well as R&D partners. CHIP 2013 1.4 OERLIKON SYSTEMS BUSINESS NEWS 17 Special SEMI Report to CHIP U.S. SEMICONDUCTOR BY KAREN SAVALA PRESIDENT, SEMI AMERICAS MARKET TRANSITIONS TO 450MM NEW TECHNOLOGIES NOT TOO LONG AGO, MANY IN THE U.S. MICROELECTRONICS INDUSTRY WERE CONCERNED ABOUT THE TREND TOWARDS MANUFACTURING IN ASIA. BUT TODAY, THE NORTH AMERICAN MARKET HAS REBOUNDED: IT IS, ONCE AGAIN, ONE OF THE LARGEST AND FASTEST GROWING MARKETS IN THE WORLD FOR ADVANCED SEMICONDUCTOR EQUIPMENT AND MATERIALS. Leading this rebound are chip giants Intel and GLOBALFOUNDRIES, but robust equipment and material spending will also occur at Micron, TI and Maxim. In addition to spending on advanced nodes, the U.S. market benefits from the emergence of G450C, the new consortia responsible for 450mm wafer processing development, and the continuing strength of leading fabless chip companies like Qualcomm, NVIDIA, Broadcom, and others. Higher up the food chain, companies like Apple, Cisco, HP and Oracle further reinforce North American chip prospects for the future. U.S. market spending growth Not so long ago, many saw U.S. competitiveness in chip manufacturing to be on the wane, as China, Korea, Taiwan and Japan all pursued leading-edge manufacturing. In 2007, the U.S. market had about 15% of the world’s spending on semiconductor equipment. By 2012, the U.S. market share jumped to 22%. Five years ago, Intel was busy establishing its first China fab and AMD production was centered in Dresden, Germany. This year, Intel will spend about $3-$3.5 billion, primarily at its Fab 42 in Arizona and Dx1 fab in Oregon; and GLOBALFOUNDRIES will invest $1.2-$1.8 billion on equipment at the company’s new fab in New York. In addition, Micron, CNSE (NanofabX for G450C), IBM and Maxim may collectively spend $1.0-$1.5 billion in equipment this year. According to the SEMI World Fab Forecast, over $8 billion will be spent in equipment in the U.S. in 2013, nearly as much as South Korea and well over double the spending in China, Europe or Japan. And 2014 will see a further increase. In materials, we project a 3% spending increase in North America to $4.85 billion. This number is dominated by front-end materials sets, as back-end operations are overwhelmingly located in Asia. In photomask materials, for example, the U.S. represents approximately 20% of the world’s demand. 450mm a key trend Ensuring critical technology needs The emergence of 450mm wafer processing will help sustain North America’s central role in the industry. Currently, U.S.-based pilot lines for 450mm manufacturing are scheduled for 2015 and 2016 with high-volume manufacturing targeted for 2018. The knowledge infrastructure for 450mm manufacturing in the U.S., and the challenges of bringing larger wafers online synchronously, with an advanced node, indicates the first generation 450 mega fabs will be located in North America, and not just by Intel. With so many novel technology challenges, the innovation pipeline in the U.S. is undergoing rapid change. The strategic investor arms of leading companies are taking a more active role with key suppliers and emerging companies, working closer with the venture capital community and other investors. They are also working more closely with global supply chain managers to ensure critical technology suppliers around the world are financially secure and closely aligned with the future needs of industry. Another key trend in the U.S. microelectronics industry is the evolving role of semiconductor R&D and supply chain readiness. Never before have the technical challenges facing our industry been so diverse and complex. In addition to the planned transition to 450mm wafer processing, the industry is also dealing with new transistor architectures, new materials, 3D stacked ICs and uncertain lithography scaling through multi-patterning and, eventually, extreme ultraviolet technology. Increasingly, these challenges are being addressed through new collaboration models with leading fabless chip firms like Qualcomm and NVIDIA and top EDA companies such as Synopsys and Mentor Graphics. These new collaboration models extend to key subsystem and component suppliers and new technology companies that are just emerging. These are challenging, but exciting times for the U.S. semiconductor industry: a time where R&D and capital spending is growing, where innovation is critical for next generation processes, and where the role of the supply chain has never been more important. South Korea $8b+ USA Over $8 billion will be spent in equipment in 2013 Japan China Europe CHIP 2013 1.5 OERLIKON SYSTEMS BUSINESS NEWS HOW A GLOBAL COMPANY CONVEYS A STRONG GLOBAL BRAND MESSAGE 19 Sven E. Jarby (left) and Alex Sauber inspect the Sauber C32 race car at the Sauber development center in Hinwil, Switzerland. TARGETED SPORTS SPONSORSHIP PLAYS A PROMINENT ROLE IN OERLIKON’S COMMUNICATIONS STRATEGY. SVEN E. JARBY, HEAD OF GLOBAL MARKETING AND BRANDS AT OERLIKON, EXPLAINS HOW SPONSORSHIP COMMITMENTS IN MOTORSPORTS AND ALPINE SKIING REFLECT THE COMPANY’S OWN UNIQUE APPROACH. It’s no longer news to the industry and the high-tech sector: Oerlikon is back. Operational and strategic measures – including a comprehensive refinancing and streamlined divisional portfolio – were successfully implemented. A renewed focus on innovation based on core technology strengths was a further factor in the company’s stand-out financial results of the past years – and a global sponsorship campaign also played a role. “At Oerlikon, we look at sponsorship as a key part of our communications strategy,” explains Sven E. Jarby. “Our partnerships with recognized motorsports and skiing organizations, for example, help us position our brand and grow relationships within established and growth markets around the world.” Oerlikon is all around us A closer look at the company and sponsorship partners reveals the logic – and marketing potential – of the sponsorship strategy. Oerlikon Systems provides technologically innovative production solutions for machine and plant engineering applications to companies around the world. The built-in know-how from Oerlikon enables clients to successfully develop and manufacture a range of high-tech end products. Countless objects that we use every day are based on this know-how: in our clothing, carpets, cars, mobile devices, electronic tools, communications, tires, watches, tools, even energy systems. Oerlikon technology can be found everywhere around us. “With such a range of high technology applications – and the resulting end products just for Oerlikon Systems alone – this shows we have a lot to tell the world,” adds Sven E. Jarby. A STRONG PARTNERSHIP: Sauber F1 Team & Oerlikon Group Present and future innovations The story being told through sponsoring includes not only production equipment for ultra-compact semiconductor components used in cell phones, navigation systems and consumer electronics, but also LED technologies. That’s the present; the future looks even busier, with emerging technologies to serve growth markets (many are described in this issue of Chip) that are only now taking shape: Mobile communications: specialized processes and equipment to produce ever smaller and more powerful semiconductor components for yet unheard-of mobile devices MEMS and nanotechnology: high performance sensors, actuators, micro-fluid and thin-film read/write heads, all unimaginably small… and powerful “Green” technology: high-efficiency applications such as brighter and cheaper LEDs, vastly more powerful batteries, energy conversion systems (thermoelectric, solar cells) and applications to increase energy efficiency (power semiconductors) This technology roadmap underlines the importance of relevant and understandable sponsoring activities that can illustrate the Oerlikon story in a clear and credible way – today and tomorrow – and not only for our B2B audience but for professionals as well as the end customer. “Our sponsoring partnerships contribute to our business success by strengthening Oerlikon brand recognition; positioning the brand as innovative and successful, and helping to cultivate relationships across all our markets,” adds Sven E. Jarby. “Sponsoring is natural to our corporate culture. Our commitments accurately reflect and communicate our core values: integrity, team spirit, excellence and innovation.” The Swiss Sauber Formula One team founded in the 1970s by Peter Sauber has been a firm fixture in Formula One racing since the early 1990s. The team originally gained recognition in hill climbing races and winning the World Sportscar Championship in 1989 and 1990. After partnerships with Mercedes and BMW, Sauber currently uses the Ferrari 2.4-liter V8 motor. An obvious and excellent match Oerlikon products are an integral part of the automotive world. The company’s Textile division supplies tire cord, technical fibers for lightweight components and special yarns for racing gear. Oerlikon Drive Systems provides high-performance gearing systems for sports cars. Oerlikon Vacuum has solutions for paintwork. Oerlikon Coating provides a process to make high-stress engine components last longer. Production solutions from Oerlikon Systems stand behind manufacturers of computer chips used in engine control, safety electronics, sensors, navigation and mobile communication systems. These technological links make the partnership between Sauber and Oerlikon an obvious and optimal match. Oerlikon has been a Premium Partner of the Sauber F1 Team since 2012. Alex Sauber, Marketing Director of the Sauber F1 team comments: “With the extreme demands in performance and endurance, Formula One racing has always been a hotbed of technological innovation. And, with Oerlikon, we now have the ideal technology partner. Recently, we expanded our partnership to help improve our organization’s environmental performance; we already see great potential for numerous know-how transfers in the future.” Counting the points Last year was a very good year for the Sauber F1 Team, with four podium finishes, with 126 World Championship points and sixth place in the constructors’ standings – another improvement over the previous year. During the 2013 racing season, the Oerlikon logo is displayed prominently on the engine cover of the new Sauber C32, and on the team outfits. The race is on! CHIP 2013 1.6 OERLIKON SYSTEMS BUSINESS NEWS “GREEN” PVD COATING MAKES PLASTICS LOOK LIKE CHROME NEW PLASTIC METALLIZATION FOR HIGH-VOLUME PRODUCTION ADDS FUNCTIONALITY AND DESIGN FLEXIBILITY AT AN ATTRACTIVE COST Top Co Ba se at ( UV 21 25-40µm la c qu Co er) at ( UV lac qu er) Pla stic 0.1 - 0.2µm PVD Layer Advantages for the end user and manufacturer ePD™ also allows new functions: Figure 1: The new embedded PVD (ePD™) process developed by Oerlikon Balzers features a thinner coating compared with electroplating (40-60µm vs. 25-40µm) and meets EU environmental regulations. Radar transparency – integrates capacity sensing technologies into metallized car parts, such as door handles that respond to touch, lane departure control sensors in the exterior trim, or safety distance meters in the front grill Light transparency – for light-emitting buttons with laseretched letters or icons Benefits of embedded PVD Plastic parts coated with a chrome finish are widely used as design elements on cars, electric devices and also for bathroom and kitchen fixtures. A new PVD coating process – “Embedded PVD” (ePD™) – developed by Oerlikon Balzers provides a substantial upgrade in environmental compatibility, cost and flexibility over conventional electroplating or galvanization processes. Plastic metallization coatings give a “chrome look” to anything from bathroom faucets to a car’s front grill. The combination of a high-quality metallic look with the light weight and the lower cost of plastic makes these high-tech coatings extremely popular. But the dark side to the production of all these shiny plastic parts is the use of environmentally harmful tri- and hexavalent chromium (Cr3+ and Cr6+) and nickel (Ni), needed for the electroplating process. In addition, the need to acidtreat the substrate in a chromic acid-based solution to promote adhesion by etching dramatically limits the choice of substrates that can be metallized (only few plastics can withstand this treatment). And now, recent EU regulations require authorization to use chromium trioxide in electroplating. the end of World War II and built an R&D facility in Balzers. Specializing in thin film technology, his new company quickly began to develop innovative applications and it has continued to since then. One of the resulting companies, Oerlikon Balzers, launched a business model based on coating services at localized centres around the world. Today the company is a global supplier of industrial coatings. With 92 coating centres in 33 countries worldwide, Oerlikon Balzers is a leading specialist in surface technologies, which are designed to improve the performance and durability of precision components and tools for the metal and plastics processing industries. The other company, Oerlikon Systems, offered various vacuum systems to many industries. The company had notable success with optical disc metallizers in the early 1980s and soon began focusing on semiconductor applications, adding photovoltaic and nanotechnology applications over the past two decades. Today, both companies can be found in adjacent buildings and have a long and productive history of technology cooperation and innovation. Electroplating also employs conductive metals which can limit use in electric vehicles and other applications where grounding or electrical isolation is required. High-tech cluster – made in Liechtenstein The new “embedded PVD” technology that combines sustainable production with cost savings and a great-looking, chrome-like result is the latest innovation from the ‘high-tech cluster’ in Balzers, Liechtenstein. It all started modestly in 1946, when a gifted scientist left a bombed-out Germany after DR. RÜDIGER SCHÄFER, GENERAL MANAGER, OERLIKON BALZERS HARTEC GMBH Under the technology brand ePD™, Oerlikon Balzers develops integrated services and solutions for the chrome-look metallization of plastic parts. The new, environmentally friendly PVD process not only eliminates the use of the toxic chromium ingredients, but also avoids the etch treatment of the plastic substrate, increasing the range of plastic substrates that are suitable for metallization. The ePD™ process sandwiches the metallization effect between two layers of UV lacquer. The first layer is the base coat, which helps equalize irregularities on the surface of the injection-molded plastic substrate. The PVD coating is deposited on top by magnetron sputtering, to produce an exceptionally stable coating with high microstructure density, without harmful ions. To protect the metallized coating, a final UV coating (an extremely durable, stable and fast-drying top coat) is applied. The environmental benefits add up: the coated parts are recyclable, and thanks to a thinner metal coat, material requirements for embedded PVD are considerably lower, conserving both energy and resources. In fact, the entire PVD metallization process consumes substantially less energy. Many more colours and other optical effects are possible, from mirror chrome to dark chrome and coloured PVD Performance advantage – the PVD coating is completely non-conductive and suitable for use in electrical vehicles Corrosion resistance – particularly important for exterior applications, proven by the “Russian Mud Test”, which uses highly corrosive salts typically used to thaw ice on roads in Russia and Canada For the manufacturer, an advantage of ePD™ is the integration of the process into fully automated inline production. This allows high volume coating with comparably low costs. The higher productivity is important for industries with large volume and time-sensitive “just-in-time” production, such as the automotive industry. Ready to shine The first high-volume ePD™ metallization system for automobile interior and exterior parts is planned in 2014. The solution is focussing on 3D parts and will have an estimated metallization capacity of 250,000m2 per year (on only 600m2 of space), equivalent to approx. 8-9 million meters of decorative trim. Pick your plastic substrate Further advantages include a wider selection of plastic substrates that can be electroplated (beyond PC/ABS, PC/ PBT, and ABS substrates) and many other polymers can also be coated, such as PC, PPE, PA and ASA. Flexible polymers, which can be bent or stretched without fracturing, can be coated also. To provide an example of why this is an important development, if a pedestrian is hit by a car’s metallized front grill, even if it breaks, dangerous splinters or sharp edges, which can cause further injury, will not be produced. Flexibility also means that metallized parts do not have to be pre-shaped with a curvature. For example, a car’s decorative trim does not need to be coated in its end form, which is much more time- and cost-intensive, but can be metallized first in a straight form and shaped later. Figure 2: A series of colorful start buttons for automotive interiors. CHIP 2013 1.7 OERLIKON SYSTEMS BUSINESS NEWS : S P M U P M U VACU f o s n io p m a Hidden Ch n io t c u d o r P m il F Thin VACUUM MPS AND PROVIDES PU UM CU VA IN ER VACUUM IS A LEAD T DURABLE OERLIKON LEYBOLD THE COMPANY’S MOS OF E ON . TS IEN CL -HOW TO ITS FOR TECHNOLOGY KNOW OERLIKON SYSTEMS BY ED OY PL EM EN PRODUCTS HAS BE IZE THE – AND DEPENDABLE – EL HAS HELPED OPTIM OD M , NT CE RE E OR M ANOTHER, ATFORM. OVER A DECADE AND LARIS PRODUCTION PL SO EA AR ERG LA W E NE PERFORMANCE OF TH p models m u p C A V Y E L d n a 0 The TW 25 ms platforms te ys S n o k rli e O n o e shin lution LEYVAC – new dry so VAC and ign principle as the RU Based on the same des pump is used uum vac dry LEYVAC DRYVAC dry pumps, the ere oil-free coating applications wh in tough industrial and The pump features: processes are preferred. TURBOVAC TW 250 , ager Man ort, r o i n p Sup ll, Se k Ha Product m n a r By F ation & d Vacuu ol lic App on Leyb k i l Oer 23 – a Systems veteran rotor pump with mechanical A turbo-molecular vacuum pressure the in d use is OVAC TW 250 suspension, the TURB mate vacuum -9 mbar to ensure a good ulti -1 range from 10 to 10 example for formance with gas loads, and proper vacuum per as process gases. when using Ar and O2 OVAC TW250 tems uses several TURB Currently, Oerlikon Sys e-area larg up led sca mber in the pumps per process cha ause bec p pum e larg gle sin a than SOLARIS. This is better uum vac ltiple pumps distribute it saves space and mu – an important nly across the chamber eve performance more lly found in the ica typ ers mb process cha advantage for the small ms. Oerlikon Systems platfor over 10 years OVAC TW250 pump for “We’ve used the TURB loads and gas h hig robust, tolerant of and it has proven to be gic Project ate Str er, Vos n ,” says Stepha just doesn’t break down s. tem on Sys notechnology at Oerlik Manager, Advanced Na with t, igh twe d value for money, ligh “The TW250 is also a goo mps -pu bo tur for ant – especially import excellent compression uum vac the re, ssu ximum fore pre – and with the high ma t. Also, the the lowest possible cos at are d use s connection ” pump looks very sharp! m3/h g speeds, from 80 to 140 Outstanding pumpin Dust compatibility and pump capabilities for the motor Thermal monitoring tuations), with a fluc ter wa ling rt coo casing (unaffected by sho temperature switch e-area p is used in the new, larg “The LEYVAC 140 pum chambers s ces and for all five pro SOLARIS as a fore-pump er. “The Vos n pha Ste s mber,” explain including the main cha and is to evacuate all chambers pump is powerful enough it’s on it, e lac if you ever need to rep extremely reliable. And ily.” eas out a new unit wheels so you can switch THE LEYBOLD VACUUM DIFFERENCE Leybold Vacuum’s proprietary software tools (and its team of experts) calculate what pressures can be achieved as a function of gas loads and pump time, which is important when you need to design a tool. Such gas loads are why production platforms like the large-area SOLARIS feature pumps that provide extra performance. “For the product development teams at Oerlikon Systems, the best vacuum pump is a pump you never notice and that runs for years and years,” adds Gebhard Strittmatter, Head of Sales and Services at Oerlikon Leybold Vacuum. “Our long, maintenance-free run times in continuous operation – with reliable back-up options if necessary – has helped to optimize the new generation of production platforms at Oerlikon Systems.” 1.0 2.0 3.0 4.0 5.0 6.0 CHIP 2013 2.1 SEMICONDUCTOR 27 SPEED IS OF THE ESSENCE LAUNCHED IN 2012, THE HEXAGON PLATFORM REPRESENTS THE NEXT GENERATION IN HIGH-THROUGHPUT PRODUCTION Today’s consumer electronics products such as smartphones, the tool is typically configured with four modules: degas, tablets and wireless devices, in general, are driving the everincreasing need for higher manufacturing capacity and reduced ICP etch, Ti PVD, and Cu PVD performed sequentially. For these applications the ICP module is also configured with costs. This is particularly true for PVD equipment for advanced packaging. With this in mind Oerlikon embarked on the development of the HEXAGON tool. Arctic Technology for good control of wafer and chamber temperatures. The objective behind HEXAGON was always to deliver a tool During production, running UBM and RDL processes, the HEXAGON has achieved throughputs that for some products almost double that achieved with similar processes on CLUSTERLINE® 300II and other cluster tools, resulting in a significant reduction in cost-of-ownership (CoO) of around 30%. capable of significantly higher throughput and productivity, compared with existing cluster-based PVD toolsets that are used by the advanced packaging industry. Released for 300mm advanced packaging applications, such as under bump metallization (UBM) and redistribution layers (RDL), multiple HEXAGON tools are now running production for these applications. A key feature of the HEXAGON is the handling concept (indexing) that runs without a central vacuum robot, which offers a significant improvement in handling speed relative to a cluster tool. Replacing the central vacuum robot with our innovative indexing system reduces the overall tool footprint by almost half, compared with competing tools. The HEXAGON can be configured with up to five process modules with each module visited sequentially. For UBM and RDL packaging applications Highest throughput Higher throughput presents certain challenges. Processes must be optimized to improve productivity. Higher throughput requires certain improvements such as better control of wafer or chamber temperatures or control of particles, for example. For the UBM and RDL applications, where we are required to deposit Ti and Cu over underlying PI (Polyimide) or PBO (Polybenzoxazole) layers, a particular challenge is temperature control and removal of by-products in the degas and etching processes. Both of these materials are temperature-sensitive and out-gas heavily during processing. Our Arctic Technology takes care of the temperature issues and an optimized chamber design for ICP helps with the removal of by-products. Applications UBM and RDL metallization For UBM and RDL films Ti-Cu, TiW-Cu, that are commonly used in today’s advanced packaging industry Wafer size “THE OBJECTIVE BEHIND HEXAGON WAS ALWAYS TO DELIVER A TOOL CAPABLE OF SIGNIFICANTLY HIGHER THROUGHPUT AND PRODUCTIVITY.” ALBERT KOLLER, HEAD OF SEMICONDUCTORS, OERLIKON SYSTEMS To accommodate customers’ requests to also process smaller wafer formats, the product offering has been extended to include 200mm capability. CHIP 2013 2.2 SEMICONDUCTOR 29 AUTOMATE & ACCELERATE THE NEW CASSETTE-TO-CASSETTE ROBOT HANDLING UPGRADE FOR OERLIKON LLS EVO AND LLS EVO II SYSTEMS PROVIDES NOTICEABLY QUICKER AND ACCURATE HANDLING AND A HIGH DEGREE OF RELIABILITY. FOR OUR CUSTOMER THAT MEANS HIGHER THROUGHPUT AND IMPROVED COST OF OWNERSHIP. The new robot handling system helps erase a previous shortcoming of the LLS handling system: handling speed and precision. It also helps maintain one of the main LLS strengths – a high degree of flexibility to process any substrate size, either automatically or manually. Because the handling system is modular, it can be configured for all wafer sizes. The improved handling capabilities also provide added flexibility to expand with additional modules, such as mask handling or new and emerging applications. “Our new robot handling system makes the LLS platform a lot faster, more precise and more reliable,” explains Albert Koller, Head of Semiconductors at Oerlikon Systems. “It’s a big jump in performance over the previous solution and transforms the LLS into a state-of-the-art automated system.” The updated handling system on the LLS EVO ll features a six-axis robot cassette-to-cassette configuration with two cassette buffers. Additional This automated handling system provides a number of performance improvements for semi manufacturers running the LLS EVO II system: User-friendly – handling control fully integrated into the GUI Speed – higher degree of automation improves throughput (e.g. full batch of 132 2” substrates is loaded and unloaded within 9 minutes) Easy operation – teaching of the robot can be done via GUI (no teach-pendant needed) Accessibility – cassette buffers (1 and 2) and GUI are accessible from the same location Simplified setup – six-axis configuration makes setup and alignment of the robot handler much easier than the previous wafer handling system Versatile – flat/notch aligner 0°–360° (recipe parameter) Service & footprint – new sliding rail design improves service access and reduces overall footprint robot features include: Automatic or manual mode – handling can be reset quickly for manual loading of substrates Absolute encoders for easy calibration Optional Barcode reader High-resolution encoders ensure high precision and superior slow speed tracking Proven design provides high reliability Robot rated for cleanroom applications (class 10) Oerlikon offers different set-ups for full face deposition on 100mm, 125mm, 150mm 200mm substrate diameters, and also for 2” and 3” substrate diameters. “Our new robot handling system makes the LLS platform a lot faster, more precise and more reliable… it transforms the LLS into a state-of-the-art automated system.” Albert Koller, Head of Semiconductors at Oerlikon Systems. Happy customer Recently, the Analog Devices wafer fabrication facility in Limerick, Ireland took delivery of the first LLS EVO II outfitted with the new robot handler to process 200mm substrates. Analog Devices engages in the design, manufacture, and marketing of analog, mixed-signal and digital signal processing integrated circuits (ICs) for use in industrial, automotive, consumer, and communication markets worldwide. The company also offers micro-electro mechanical systems (MEMS) technology based sensors with accelerometers to sense acceleration, gyroscopes to sense rotation, inertial measurement units to sense multiple degrees of freedom, and microphones for audio. The company is headquartered in Norwood, Massachusetts, and has design and manufacturing facilities around the world. “The robotic handling system on the LLS has exceeded our expectations in both form and functionality,” says Mike Morrissey, Materials & Deposition Manager at Analog Devices. “It provides excellent reliability, enables quick setups and can be relocated quickly. This gives us increased flexibility with all our processes. Overall, it’s a sublime piece of engineering.” Robot handling retrofits are now available for the hundreds of LLS EVO & EVO II systems in use. New robot handling for the LLS provides a jump in performance and throughput. Hubert Breuss, Product Line Manager Semiconductors, Oerlikon Systems CHIP 2013 2.3 SEMICONDUCTOR 31 Square Resistance Rs (Ohm) Resistivity vs temperature for C-doped GeTe. 108 GeTe GeTeC 4% GeTeC 7% GeTeC 15% GeTeC 21% GeTeC 24% 106 104 102 0 100 200 300 400 Temperature T (ºC) Atomic concentration (%) (C) EDX profile of a GeS2(Sb)/Ag stack S Sb Ge 100 Ag top electrode solid electrode GeS2(Sb) 10 ENGINEERING NEW MATERIALS FOR ADVANCED MEMORY DEVICES CLUSTERLINE 200 II IS THE OPTIMAL R&D PLATFORM FOR MATERIALS DEVELOPMENT ® Hans Auer, Product Marketing Manager Semiconductors, Oerlikon Systems 1 0 10 Targeted % Sb Measured % Sb (RBS) 20 x (nm) 30 40 2% 3% 10% 20% 1,6% 2,9% 8,2% 18,2% GeS2 Sb-doped thin films: doping concentration (targeted and measured by RBS) Oerlikon Systems and LETI have been working together on both phase-change random access memory (PCRAM) and conductive bridge random access memory (CBRAM) technologies for use in next generation memory devices. The CLUSTERLINE® 200 II platform outfitted with an MSQ co-sputtering source has proven an ideal R&D partner. Innovative partner for advanced memory devices An ideal R&D platform All about speed and flexibility “The recent work done by the LETI team on the CLUSTERLINE® 200 II system has looked at engineering materials to enhance PCRAM cell performance and improve the overall reliability of CBRAM,” explains Anne Roule, Senior Process Engineer at CEA LETI Minatec (France). In order to support – and accelerate – LETI’s research into alternative new materials to be used in memory devices, Oerlikon Systems installed and supports a CLUSTERLINE® 200 II system that features an MSQ multi-source with four targets and other process modules. Both phase-change random access memory and conductive bridge random access memory technologies are alternative non-volatile memory technologies that are the subject of intense research efforts. PCRAM devices are considered one of the most promising solutions to replace standard floating gate devices such as flash memory. The main advantages of PCRAM technology are low voltages, fast read/ write, good scalability and low cost. CBRAM is receiving widespread interest as an emerging low-power memory technology. It is particularly good for back-end fabrication, CMOS scalability, and low operating voltages. LETI, an Oerlikon innovation partner, is part of CEA, a French R&D organization with activities in energy, IT, healthcare, defense and security. LETI specializes in nanotechnology applications: wireless devices, biology, healthcare and photonics. With over 1,700 employees, LETI facilitates the transfer of new technologies – chiefly in the area of advanced CMOS technologies, NEMS and MEMS – to its industrial partners. In addition, the flexible generator configuration of the CLUSTERLINE® 200 II allows deposition of huge variety of materials: dielectric, metallic, chalcogenides, etc. This allows the LETI R&D team to rapidly adjust and change deposition process parameters, sputter alloys and doping materials, as well as enable immediate engineering and optimization of the interfaces. LETI is now working on improving the thermal stability of the CBRAM and PCRAM layers and improving their performance in the devices. John Whyte, Sales Manager Northern Europe, Oerlikon Systems “The co-sputtering capability of the MSQ, with up to 4 targets and a combination of DC, pDC and RF generators, gives our team immense flexibility and process reliability. The quick and reliable MSQ chamber openings help us speed up our R&D work too,” says Anne Roule. “For new memory devices it’s really all about developing low voltage capabilities, fast read/ write, good scalability and all at low cost for either PCRAM or CBRAM,” summarizes Anne Roule. CHIP 2013 2.4 SEMICONDUCTOR 33 THE CLUSTERLINE ® 300 II RECENTLY COMPLETED SUCCESSFUL QUALIFICATION FOR POWER DEVICE PRODUCTION PROCESSES ON THIN 300MM WAFERS. THE PLATFORM HAS BEEN INSTALLED IN THE FIRST 300MM PRODUCTION LINE OF A LEADING MANUFACTURER, WITH THE CHIPS PRODUCED ALREADY SHIPPING WORLDWIDE. POWER DEVICE PRODUCTION MAKES THE LEAP TO 300MM CLUSTERLINE 300 II is the qualified sputter tool in production for power devices ® Hans Auer, Product Marketing Manager Semiconductors, Oerlikon Systems With the significant gains that are achievable in productivity and lower cost per unit, the semiconductor industry’s shift to 300mm wafer production has already taken place in most highvolume applications. The step up from 200mm to 300mm wafer processing for power devices requires numerous major changes in production processes, with the addition of higher degrees of automation and sophisticated wafer handling capabilities. productivity along with improved In addition to the challenge of qualifying processes on the larger wafer format, ensuring reliable handling of 300mm thin wafers involved major development efforts. From standard thin wafers to TAIKO wafers thinned down to 90 microns, to wafers on glass carriers, everything needed to run on the same wafer handling solution. Unlike 200mm production, a wafer flip unit had to be included to avoid wafer sorting steps to present the wafers face down in the FOUP carriers. Oerlikon strength Nonetheless, the CLUSTERLINE® product team reported success after 12 months of testing and the final qualification procedures at the customer facility, crowning efforts to move the high volume manufacturing of power devices from 200mm to 300mm wafers. wafer size comes at a time when 300mm The processes designed to run on the Oerlikon CLUSTERLINE® 300 II platform include: performance of the frontside processes,” says Dr. Hans Hirscher, Senior Scientist at Oerlikon Systems. “We worked closely with the customer’s process scientists to qualify the tool along with the required processes and ramp up the 300mm production on the CLUSTERLINE®,” adds Kai Wenz, Project Manager for the CLUSTERLINE® 300 II at Oerlikon Systems. Staying in the lead with sputtering platforms for power device metallization of thin wafers, the CLUSTERLINE® 300 II system’s qualification for the 300mm power device production confirms the experience and know-how of Oerlikon’s product and process support teams for processing technology on 200mm wafers, a traditional Oerlikon strength for over a decade. Successfully migrating power semiconductor production to the next wafer manufacturing is still growing, parallel to the emergence of the next wafer size (450mm). The success with the 300mm wafer production process, together with a leading customer, represents a sizeable leap ahead in power device production. “Based on the long term experience we have with 200mm power device TiN barrier process for trenches on the wafer frontside production processes, we were able to Thick Al deposition with good step coverage on the wafer frontside milestones,” says Albert Koller, Head of Ar/ H2 etch, Al, Ti, NiV, Ag process on the backside of thin wafers “Now, other power device manufacturers Cooperative development effort “Of course, there were substantial technical challenges in scaling up the processes from 200mm to 300mm wafers, while still achieving the expected move along quickly to meet the project Semiconductors at Oerlikon Systems. can migrate their production processes to the 300mm format faster with less risk and effort.” A promising future The customer, a global leader in power device production, can now manufacture devices on 300mm wafers at its European factory in larger volumes and at a lower cost. The first chip family being produced by the CLUSTERLINE® 300 II is already being shipped to end product assemblers around the world. The continuous growth of the power device market is likely to trigger additional 300mm production lines in the future. “After qualifying 200mm wafer production at customer sites more than 10 years ago, we were pleased to do the same now for 300mm wafers,” says Andreas Dill, CEO Oerlikon Advanced Technologies. “Our goal is to support cooperative and continuous efforts by the customer and Oerlikon teams to innovate and increase production efficiency. This is the most successful model we’ve found to cope with the challenges from the semiconductor industry.” “Our customers will now be able to work more efficiently and at lower cost to meet the growing demand for power devices and take advantage of market opportunities in energy management applications,” adds Trevor Norman, Head of Global Sales at Oerlikon Systems. CHIP 2013 2.5 SEMICONDUCTOR 35 MOVING COST-CRITICAL PRODUCTION PROCESSES ON THE LLS EVO II SPUTTER SYSTEM FROM A PLANAR TO A ROTARY CATHODE LAYOUT CAN BRING DRAMATIC SAVINGS IN SPUTTER MATERIAL COSTS AND A NUMBER OF OTHER PROCESS ADVANTAGES. Looking at the success of large-area coating systems that were Also the process quality can be improved by the rotary cathode transitioned from planar cathodes to running rotary cathodes Reduced particle generation by suppressed re-deposition on led the Oerlikon development team to start working on an upgrade for the well-known LLS sputtering platform. The LLS is used for a variety of applications like LED, Wireless, MEMS, advanced packaging and hard disk read/write heads. This platform is renowned for process flexibility (DC, RF, RF/ the target Low damage deposition by reduced sputter voltage enabled by increased magnetron fields, without enhanced redeposition risk or loss of target utilization Higher sputter power and more deposition rate enabled DC combined, DC-pulsed and co-sputtering), excellent especially for materials of low thermal conductivity – perfect reproducibility, and reliability and easy conversion to different target cooling and sequential sputtering on small sectors on substrate sizes. the target circumference Looking at material costs HARTMUT ROHRMANN Principal Scientist R&D, Oerlikon Systems Fundamentally, these advantages deliver higher productivity, improved production quality, less need for personnel on the line, The Oerlikon development team tapped Sputtering which all results in lower overall Components, Inc. (SCI) for cooperation in the new rotary production costs. These are cathode design and process for the LLS EVO II platform. SCI tangible advantages, but the uses innovative designs to build reliable, high quality rotatable most compelling progress, with cathodes. Their experience helped ensure the success of our respect to expensive coatings, is upgrade efforts for the LLS system. Move the sputter target, not the magnets Processes using planar targets in linear cathodes, as used in the reduction of material cost per processed substrate although in comparison to planar targets the investment for the rotary target is substantially higher. dynamic sputter processes with moving substrates, depend “It’s a matter of what you get out of every target,” says Hubert for high target utilization and low re-deposition on a moving Breuss, Product Line Manager Semiconductors at Oerlikon. magnet configuration. However, these moving magnet designs “Simply compare the target utilization. For planar targets create technological and mechanical issues. A more effective you can expect 25-45% utilization on the LLS. With our new approach is a system configuration that moves the target over rotary targets which provide 3x target surface, we can expect a static array of magnets. This layout is the basis for a “rotary utilization rates anywhere from 75-90%. That’s remarkable. cathode” where the target is a barrel (or rotary target) plated In general, the more expensive the material, the quicker and with the sputter material, which turns around the now stationary higher the payback from switching from planar to rotary targets” RY S E TA C G U RO D NIN RE N TS RU S O C magnet array positioned inside the barrel. There are numerous process and cost-of-ownership advantages for an LLS with a rotary cathode when compared to a planar cathode: Substantially enhanced target utilization to 75-90% Increased available target area – rotary targets about 3x larger than planar targets (circumference is Ø × π) The points above lead to increased target life – 5-10x more substrates processed Reduced maintenance frequency – less pump-down times, less target burn-in (conditioning) periods needed and therefore reduced personnel cost Improved productivity results in reduced costs MARTIN BLESS Technical Project Manager LLS, Oerlikon Systems The ongoing long-term test on the upgraded LLS EVO II system indicate that rotary cathodes can deliver sizeable savings in material costs over time, often in just a few months, depending on the process. Rotary cathode upgrades will soon be available for all LLS EVO and LLS EVO II systems. 2 5D VISION CHIP 2013 2.6 SEMICONDUCTOR 37 WORKING TOGETHER, OERLIKON AND FRAUNHOFER IZM-ASSID HAVE DEVELOPED AN IMPROVED PVD PROCESS FOR BARRIER/ SEED LAYERS IN THROUGH-SILICONVIAS (TSVs) WITH ASPECT RATIOS UP TO 10:1 BASED ON OUR UNIQUE HIGHLY IONIZED SPUTTERING (HIS) TECHNOLOGY. THIS IS AN ESPECIALLY ATTRACTIVE SOLUTION FOR 2.5D INTERPOSER APPLICATIONS. THIS TECHNOLOGY APPEARS TO BE EXTENDABLE TO ASPECT RATIOS OF 15:1 AND ABOVE. C O L L A B O R AT I N G O N THROUGH-SILICON-VIAS FOR 2.5D INTERPOSERS KAY VIEHWEGER, PROCESS ENGINEER, FRAUNHOFER IZM, ASSID R&D The semiconductor landscape is changing. In the near future, mobile phones and tablets will overtake personal computers as the primary applications for integrated circuits (ICs). There has always been a demand for increased speed and reduced cost per transistor with every new technology generation but for mobile electronic devices, small form factor and MIPS/W (millions of instructions per second per watt) are key performance indicators. In order to incorporate more features into a small space, improve device performance and reduce power consumption, IC manufacturers have explored the use of new “More-than-Moore” architectures. The idea to stack chips vertically and to connect them with metal vias that extend all the way through the device wafers (TSVs) has gained considerable traction in recent years with the ultimate goal of combining logic and memory dies in a 3D stack. However, fabricating Cu-TSVs with diameters of 2-5 µm in close proximity to transistors with gate widths and metal half-pitches on the order of 20 nm is costly and difficult. Stresses in regions of the silicon immediately surrounding the TSVs and thermal issues associated with the high volumetric packing density of active devices provide additional challenges. In the interim, the industry is paving the way for true 3D integrated circuits (3D-ICs) with the increasing use of so-called “2.5D-IC” interposers, where TSVs are fabricated in silicon or glass substrates that also contain redistribution layers (RDLs) formed by techniques similar to those used for onchip wiring in the back-end-of-line multilevel metallization steps (Figure 1). Electrical connections between chips through the DR. JUERGEN WEICHART, SENIOR SCIENTIST R&D, OERLIKON SYSTEMS CHIP 2013 2.6 SEMICONDUCTOR 39 facilities. GLOBALFOUNDRIES FAB1 and Fraunhofer IZM-ASSID (All Silicon Systems Integration Dresden) are located close to each other in Dresden, Germany, enabling an efficient and flexible working relationship (Figure 2). Fraunhofer IZM-ASSID has also established partnerships with equipment and material suppliers and, in 2011, bought a CLUSTERLINE® 300 PVD platform from Oerlikon. As part of the purchase agreement, the two companies signed a joint development agreement (JDA) aimed at qualifying Oerlikon’s HIS source for depositing barrier/seed layers into TSVs for interposers and related applications. Figure 2. Fraunhofer IZM-ASSID RDLs on the interposers are very fast and I R losses are Interposers can also incorporate integrated passive minimized: bandwidth per watt is boosted by as much devices and even active circuits. These second as 100x compared to conventional interconnecting generation interposers blur the distinction between methods. The use of interposers also helps address the 2.5D-IC and true 3D-IC integration. According to Yole problem caused by the increasing ratio of the number of Développement, over the next five years the market for logic gates to available I/O, which is predicted to grow devices containing interposers is predicted to have a by a factor of 15 by the year 2020. compound annual growth rate (CAGR) of 100%. Incorporating different kinds of devices (e.g. logic, In 2012, TSMC announced plans to put its CoWoS memory and analog) on interposers facilitates (chip on wafer on substrate) interposer technology heterogeneous integration (in effect, a system on an into production. Other foundries have been quick to interposer is now the equivalent of a system-on-a-chip). offer similar capabilities: GLOBALFOUNDRIES has Interposers can also be used to connect identical small embraced a collaborative model, choosing to work with dies together to form one large one. Since smaller chips various complementary organizations to develop and have higher yields and a faster yield ramp in production manufacture interposers and 3D-ICs. The company’s than larger ones, this approach can cut development partners include three major European semiconductor costs and reduce time-to-market: a critical consideration research houses (Fraunhofer, CEA-Leti and IMEC) and for products with life-cycles measured in months. the leading Outsourced Assembly and Test (OSAT) 2 Encapsulation 2.5D Interposer Die 1 Die 2 Die 3 Die 4 TSVs Solder Bumps Substrate Solder Bumps Figure 1. Schematic of Chip Package Using 2.5D Interposer With TSVs Because of the complexity and costs associated with 2.5D device fabrication, the technology is still considered too expensive for many high volume consumer electronic products. Two ways to increase performance and decrease cost are (i) to reduce the diameter of the TSVs, and (ii) to reduce the final die thickness. This reduces the TSV capacitance and the size of the highly stressed region around the copper via. It is also less expensive to etch and electrofill smaller features. However, there are limits to the minimium die thickness that can be handled. Another factor limiting the minimum practical die thickness is that extremely thin silicon slices do not spread heat from hot-spots well. The desire to scale the TSV diameter faster than the die thickness causes the aspect ratio of the vias to increase and this presents a challenge for line-of-sight physical vapor deposition (PVD) techniques. Potentially depositing TSV barriers and seed layers using conformal techniques, such as chemical vapor deposition (CVD), could work but overall process cost is significantly increased. A switch to CVD could also lead to integration issues: ideally, a cost-effective PVD solution for high aspect ratio TSVs is required. via sidewall (Figure 3). This proved sufficient to ensure the successful electrofilling of 10:1 aspect ratio TSVs across a 300 mm wafer. HIS has also demonstrated the ability to provide suitable barrier/seed layers for TSVs that extend through the full thickness of a wafer: not all applications require thin interposers. Oerlikon and Fraunhofer were so satisfied with the outcome of the JDA that a follow-on agreement was signed earlier this year to further lower the overall cost-of-ownership to manufacture state-of-the-art TSVs. Goals include extending our process capabilities to smaller diameter features with higher aspect ratios (15:1 and beyond) and investigating more cost-effective materials that offer equivalent-or-better adhesion, wetting and barrier properties. Oerlikon’s HIS source deposits sufficiently thick Ti and Ta films to meet adhesion, wetting and barrier layer requirements in 10:1 aspect ratio TSVs. However, Cu is somewhat more challenging due to the fact that Cu atoms are more difficult to ionize in a plasma and the specific sputtering rate of Cu is higher (sputtered metal atoms have the effect of decreasing the plasma density). For robust electrofilling across the whole wafer, a minimum thickness of ~20 nm of Cu on the TSV sidewalls is necessary. The initial equipment and process recipes provided to Fraunhofer showed insufficient sidewall coverage at ~75% of the TSV depth. Working together, we were able to modify the hardware and process conditions to deposit ≥ 20 nm Bottom: 76nm of Cu at all positions on the Figure 3. SEM cross section of a 10:1 via 100x10 µm with Cu deposition. Top 58nm (2,6%) 25µ depth 23nm (1,0%) 50µ depth 27nm (1,2%) 75µ depth 39nm (1,7%) 100µ depth (3,5%) Sidewall thickness profile of Cu- seed 1.0 2.0 3.0 4.0 5.0 6.0 CHIP 2013 3.1 TECHNOLOGY AND R&D 43 OERLIKON PZT THIN FILMS – QUALITY MEETS PRODUCTIVITY Figure 1: piezoVolume piezoMEMS devices fabricated by SINTEF. Courtesy of Hannah Tofteberg (SINTEF) ONE YEAR ON AND OERLIKON SYSTEMS PREPARES TO REFINE ITS PZT THIN FILMS PROCESS FOR MEMS MANUFACTURING. Lead zirconate titanate (PZT) is a piezoelectric ceramic material commonly used in micro-electro mechanical systems (MEMS) devices to achieve highest coupling between electrical and mechanical energy. In recent decades, devices based MEMS processes, which developed out of the integrated circuit (IC) industry, have found their way into several applications, including accelerometer and pressure sensors for the automotive industry and heads for inkjet printers. More recently, MEMS devices have begun to unlock potentially high-volume applications such as autofocus lenses for cameras embedded in smart phones and RF MEMS switches for the electronics industry. Customer interest and growth of the MEMS market, coupled with a growing demand for MEMS fabrication processes by physical vapour deposition (PVD), which is Oerlikon’s core competence, initiated efforts to develop hardware and processes for 6 and 8 inch PZT films based on the CLUSTERLINE® 200 platform. Widely used in the semiconductor industry the platform combines high quality deposition technology and high reliability. In conjunction with a robust deposition process it provides a fully automated solution to produce PZT thin films at high volume and quality. A key advantage of the Oerlikon PVD solution for PZT films for MEMS device production is the continuous in-situ growth of the perovskite crystal structure. This means that the production is not interrupted by repetitive annealing steps as required by other technologies, such as sol-gel (CSD) for example. New hardware, the so-called Very Hot Chuck, had been designed and optimized to allow the high temperature deposition process at 600°C, or higher, and with an excellent uniformity. It is also applicable for various ferroelectric ceramic materials like BST. A further substantial advantage of the CLUSTERLINE® 200 tool platform is the fabrication of a complete MEMS stack – bottom electrode, PZT, top electrode – in one run, whereas competing fabrication technologies need separate equipment for the electrode deposition. A fundamental step towards Oerlikon’s development of a high volume 8” PZT process on the CLUSTERLINE® 200 was the participation in the ‘piezoVolume’ project (2010-12), funded by the European Commission’s Seventh Framework program (covered in depth in Chip 2012, pp 38). Collaborating with the EPFL Ceramics Laboratory, a world-leading research institute in the field of piezoelectric film R&D and Fraunhofer ISIT, in Itzehoe, Germany, which has one of Europe’s best equipped applied R&D facilities for microelectronics and microsystems, established a strong alliance to develop a high quality in-situ PZT process by PVD. Whereas in the beginning the focus was on the piezoelectric performance of the films the productivity attracted more attention towards the end of the project. Therefore great value has been set last year on the uniformity of the film properties across the 200mm wafer. A piezoelectric coefficient e31,f in the range of 16 – 18 C/m2 with remarkable maximum values in excess of 20C/m2 and d33,f values up to 150pm/V are examples for the high piezoelectric performance of Oerlikon PZT films.* The film thickness uniformity below ±4%, the homogeneity of the strong film texture, either (100) or (111), and the constancy of dielectric and piezoelectric Martin Kratzer, Senior Scientist R&D, Oerlikon Systems parameters within ± 5% across the wafer are excellent values. In combination with a deposition rate greater than 3.6 µm/ hour, these results are the cornerstone of the high productivity that Oerlikon’s PVD solution is capable of. Future development efforts Although the piezoelectric performance of the PVD films is already excellent there is still room for improvement of particular properties. One goal for the current development is the doubling of the breakdown strength to values of 1 MV/cm, a very high level already attained at particular films in the piezoVolume project. This further requires a strong scientific support and therefore Oerlikon continues its close collaboration with EPFL Lausanne within a national Swiss CTI project. Due to this collaboration Oerlikon can leverage EPFL’s expertise in analysis of material properties to further improve the device reliability. As well as research institutes, the installed base of CLUSTERLINE® 200 for piezoelectric thin films for MEMS applications includes government labs and some commercial customers. However, the focus, going forward, mainly concerns high-volume manufacturers and this requires a robust, uniform production process to ensure lowest cost of ownership (CoO) in future. We are tackling these challenges by focusing on manufacturing costs, by making the film deposition faster and increasing the tool’s ‘up-time’ and by focusing on improving film quality by increasing the break down strength of the PZT at appropriate piezoelectric performance. This ultimately benefits the end user with a more robust product with a longer lifetime. www.piezovolume.com * The research leading to these results has received funding from the European Community’s Seventh Framework Programme (FP7/2010-2013) under grant agreement no. 229196 CHIP 2013 3.2 TECHNOLOGY AND R&D LIGHTBULB MOMENT 45 Quality white LED lamps have a useful life of potentially 50,000 hours. 24/7 that equates to an amazing 5 years and 8 months. Lower cost production solution for LED lighting, in the form of the NanoSmooth™ AIN deposition process, comes to fruition DR. ROB MAMAZZA, CHIEF TECHNOLOGY OFFICER & DR. BERND HEINZ, PROCESS R&D MANAGER Solid state lighting is widely used today in a variety of markets, from automotive to home appliances. Solid state lighting has also been gaining traction in the general lighting industry, replacing incandescent bulbs, because of the numerous advantages of the technology. LED lamps, for instance, can provide more aesthetic lighting in different formats and colours, are more robust with longer lifetimes, and can reduce energy use for lighting by 25% or more. In a recent market report, Navigant Research forecasts that revenue from LED lamp sales will rise to $8.7 billion by 2021, growing at a compound annual growth rate (CAGR) of 23.2%. But in order to compete with conventional illumination technologies in the general lighting market, LED manufacturers face enormous pressure to reduce production costs. To support LED manufacturers and to extend its presence in the solid state lighting industry Oerlikon Systems has developed a new approach to producing LED layer stacks. Today’s manufacturing processes deploy GaN nucleation on sapphire substrates, a difficult and expensive process. Oerlikon has optimized aluminum nitride (AIN) in a PVD deposition process to enhance nucleation of GaN. The NanoSmooth™ AlN film, when deposited on a sapphire wafer – the standard substrate for LED manufacturing – enhances both production and the quality of the LED device that is subsequently grown. These factors contribute to lower manufacturing costs. The integration of Oerlikon Systems’ thin sputtered films, less than 100 nm, with the GaN replaces an expensive MOCVD intermediate layer in LED chip production. To develop the NanoSmooth™ AIN application meant that we had to achieve the required epitaxial (perfect) crystalline growth of the AIN layer on the sapphire substrate and heating of the sapphire substrate to required temperature (precise, fast, homogeneous, without stress). NanoSmooth™ is also an effective solution for another important trend in LED manufacturing, which is the gradual thinning of the GaN layer, to drive down costs. GaN is expensive and every effort to reduce the amount at micronlevels is rewarded by a lower bill of materials. Infrared (IR) irradiation is used to heat the substrate. This approach, which has been used in previous products within Oerlikon Systems, provides minimum heat load to other parts in the chamber and the heater is able to maintain a high intrinsic stability. In addition we are developing an extra temperature characterization to be able to detect any longterm drift for high process stability and security. LED LIGHTING HAS THE POTENTIAL TO REDUCE TOTAL ELECTRICITY FOR LIGHTING CONSUMPTION BY 50% BY 2025, SAVING $100 BILLION DOLLARS IN ANNUAL WORLDWIDE ENERGY COSTS*. Compact fluorescent bulbs burn out within 10,000 hours. 24/7 that equals 13 months on average. In developing this application Oerlikon Systems can meet demand from manufacturers that are working across all substrate sizes. Today we are talking about 2-inch up to 6-inch sapphire substrate sizes and both MOCVD and HVPE GaN growth methods. In time, we will also be able to process substrate sizes from 4 to 8-inch, as the LED chip industry transitions from sapphire to more inexpensive silicon substrates. Incandescent bulbs burn out after 2500 hours. 24/7 that equates to just 3 months. In the case of silicon, growing GaN directly upon the substrate is not an option due to the formation of SiN and poor transfer, or templating, of the requisite crystal structure within the GaN. Therefore AIN is absolutely required. To further broaden our solution’s range of applications, we have worked with Soitec, the leading French manufacturer of engineered substrates, to adapt our NanoSmooth™ technology to the HVPE method of growing GaN. HVPE has the advantage over conventional MOCVD of higher deposition rates, which can be used advantageously to grow the buffer region of an LED. Soitec has developed an attractive HVPE technology that will soon be commercialized by GTAT. Oerlikon’s NanoSmooth™ will be a key enabler to the adoption of this solution. But, it is not simply enough to have a process or a tool that claims to reduce production costs without a proven track record in excellence. The PVD sputter process, which NanoSmooth™ AIN exploits, is already a proven production process in other semiconductor applications supplied by Oerlikon Systems. This is critical as manufacturers, when adopting new solutions to reduce production costs, need to be able to trust that their equipment partner can provide a reliable and robust alternative to a mature technology. This is why continual innovation is at the core of Oerlikon Systems. According to a goal set by the US Department of Energy (DoE), CHIP 2013 3.3 TECHNOLOGY AND R&D 47 Emerging new planar designs are enabling the development of higher efficiency thermoelectric converters (TECs) for waste energy harvesting. Oerlikon Systems continues to develop scalable and mass-producible multi-layer technologies – based on sputtered films in a planar design – to enable a new generation of TECs. H T SPOT THE MARKET IS HEATING UP FOR THERMOELECTRIC CONVERTERS DR. ROBERT MAMAZZA, CHIEF TECHNOLOGY OFFICER, OERLIKON SYSTEMS W ANTONIO CALVOSA, HEAD OF BUSINESS DEVELOPMENT, OERLIKON SYSTEMS hy target thermal energy? When we look at the US market as an example, the latest statistics show that waste energy is the largest single source of energy. According to the U.S. Energy Information 5% Nuclear Administration (EIA), the approximate annual consumption of electric energy in the US is in excess of 4,100,000,000,000 (or 4.1 5% Other trillion) kWh. Nearly 42% (1,700,000,000,000 kWh) comes from coal. Using a conversion efficiency of about 39%, the remaining 61% can be distributed between thermal, mechanical and electrical losses. Of these, the thermal 14% Coal losses are the greatest, approximately 53%, or 2.3 trillion kWh is lost, which has a commercial value of US$222 billion (based on average electricity costs in the US for 2013). And this is just coal used for electricity 37% Waste Figure 1 USA energy by source. generation. If we look to all forms of energy consumed (electricity, transportation, heating, etc.), we can 15% Natural Gas extend these already large numbers much further. When all inefficiencies are summed up, waste energy (37%) is the single largest source of energy (see Figure 24% Petroleum 1). Quantifying this energy over the course of a year, one gets 16.7 trillion kWh at a value of US$ 1.5 trillion. And this is just a single market. Factor in the rest of the world and the results are staggering. Figure 1 – USA energy consumption by source. CHIP 2013 3.3 TECHNOLOGY AND R&D Looking at miles per gallon Why thin film? Looking at a specific sector – the transportation industry The thermoelectric converter (TEC) module designs for continues to focus on how to better manage and improve energy generation are based on concepts created for fuel economy. This is due to fuel price volatility, increased thermoelectric cooling and have numerous drawbacks: demand from emerging markets, climate change implications and environmental sustainability. When looking Rigid form factor – the heat must be concentrated on one side and distributed on the other side at oil consumption by end-use, most of the additional Expensive to produce – high degree of manual assembly demand is from transportation; where alternatives to Not easily miniaturized – mechanical and heat boundary limitations petroleum are still limited and fuel efficiency remains low (a gasoline internal combustion engine has thermal efficiency between 25% and 30%). As regulatory pressure increases and consumer demand Basically, current TEC technologies perform poorly as generators (with comparatively low device efficiency) and have only low production scalability, making them practical for more efficient transportation matures, multiple new only in niche applications. technologies are under development to improve fuel In addition to scaling issues, the temperature levels of waste efficiency. Adoption of new technologies will be based on heat factors into the challenges faced by TEC designs. For the required performance, cost, robustness, scalability and standard TECs, the higher the temperature level, the more integration targets. Two major trends include: economically waste heat can be converted into electricity. Technologies that decrease energy consumption Technologies that allow waste energy recovery from heat losses 49 Working with O-Flexx Technologies Using the manufacturing technology from Oerlikon, O-Flexx Technologies can now produce long, thin and flexible thermoelectric devices (“Power Straps”) But waste heat, for example, is available at different that are stacked to cover large areas and produce considerable electrical temperature levels – anywhere from ambient temperatures power – around 1 kW per m2 (depending on the heat source). to >1,000°C. TEC technology is now being optimized for low grade heat scenarios, i.e. exploiting temperatures below Oerlikon technology and equipment improve the shortcomings of currently Research and development of thermoelectric generators 200°C, where the heat flux density is distributed over a available TECs and pave the way for more efficient and cost-effective thin- provides opportunities both for heat recovery and fuel large area. No practical technology with sufficient cost and film TECs. Working together with EU consortia and O-Flexx Technologies, efficiency improvement in vehicle comfort elements. conversion efficiency exists today. we employ our core competence in thin films and precision manufacturing Production costs and efficiency are issues From a manufacturing perspective, production issues to deliver the fundamental ingredients of next generation generators. The Today, adoption of thermoelectric generators remains limited to specific markets due to their high production costs and low efficiency. Efficiency above 10% and systems’ cost below 3 Euro/watt are needed for mass adoption, especially for automotive applications. IDTechEx, a market analyst firm specializing in emerging technologies has identified military and aerospace as short term markets, with potential growth coming from wireless networks applications where thermoelectric power is preferred over optimized battery reliability and lifetime. current devices described here are only the first step; more is yet to come. included the planar layout, choice of substrates, definition of electrical and thermal contacts, and packaging. While Oerlikon has already developed deposition processes to cost-effectively sputter thick films (with Bi, Te, Se materials), the limits of only low sputter power, homogenous alloy concentration on the wafers, and growth of suitable microstructure in the films, had to be overcome. New generation TECs have the potential to fill this gap. Oerlikon is working together with development partner Picture courtesy of O-Flexx Technologies O-Flexx Technologies; the research is also supported by the NanoHiTEC EU research project (see “Thermoelectrics Go Nano” in Chip 2012). A micro-level solution In order to be practical, TECs used for converting waste heat into electricity must: Use distributed and low-grade heat Be mass-produced Have low production costs per unit The most promising technology for cost-efficient TECs is based on: HARTMUT ROHRMANN, PRINCIPAL SCIENTIST R&D, OERLIKON SYSTEMS Planar technology using existing production facilities; including wafer dicing, pick and place, soldering (SMD technology) Sputtering of relatively thick layers of thermoelectric materials (Bi, Te, Se alloys and multi-layer systems of Si/SiGe or B4C/B9C ) on high-throughput deposition systems Cheap substrates, similar to printed IC boards This planar technology can help to: Reduce the internal losses compared to state-of-the-art devices Provide increased energy output at low temperature differences Above: The SOLARIS platform Provide improved conversion efficiency CHIP 2013 3.4 TECHNOLOGY AND R&D 51 BRIDGING LAB TO FAB A unique advantage of the SOLARIS tool is that it is a single wafer deposition tool, applicable for both lab environments as well as industrial production due to the high throughput that can be achieved, of up to 1200 wafers an hour. This allows for the immediate transfer of lab results to the production line. In competing batch production processes the uniformity of ITO layers can vary slightly from cell to cell. However, THE SOLARIS TOOL FOR HIGH-EFFICIENCY SILICON SOLAR CELL APPLICATIONS IS A FLEXIBLE SYSTEM, APPLICABLE FOR LAB R&D AS WELL AS PRODUCTION the SOLARIS process, which coats each cell one after Dr. Heiko Plagwitz, Senior Scientist R&D, Oerlikon Systems amorphous and crystalline silicon. the other in the same vacuum chamber, achieves a high uniformity of optical and electrical properties. Crucial for silicon heterojunction solar cells, SOLARIS minimizes any sputter-induced damage to the delicate junction between the Used in labs the SOLARIS platform saves companies time Forecasts suggest that after 2015 investment in production in development, and reduces wafer wastage because of the tools by the solar photovoltaic (PV) industry will commence high levels of reproducibility achieved. in earnest as the current shakeout this sector is experiencing concludes. There will be increasing pressure on the The SOLARIS tool for ITO deposition for high-efficiency silicon manufacturers left to distinguish themselves; by not only heterojunction solar cells can help achieve a very favourable minimizing production costs, but also supplying demand for cost of ownership (CoO) of 9.1€€ct/wafer for 80 nm ITO, high performance modules based on high-efficiency silicon which translates to 1.8 €ct per Watt (peak) even at a solar cells. moderate efficiency of 21%. The SOLARIS tool, originally designed as a high-throughput tool for coating of silicon PV cells with silicon-nitride antireflective coatings (ARC), has been developed further so that it can be used for the deposition of more advanced functional indium tin oxide (ITO) layers for making transparent contacts on PV cells. Specifically the application Oerlikon Systems is targeting is the deposition of ITO layers on heterojunction silicon solar cells, which are able to achieve energy conversion efficiencies above 24%, as shown in recent publications by PV manufacturers. 2 Mobility (cm Mobility /Vs) (cm2/Vs) 60 50 40 40 30 30 20 20 10 10 0 1.E+21 0 0.0% 2.5% 0.0% 2.5% 5.0% Figure 2: Effective charge carrier recombination velocity at the interface between crystalline and amorphous silicon, after deposition of the ITO film. The recombination velocity is a measure for the number of efficiency-limiting crystal defects at this interface. Soft x-ray radiation from the sputter plasma usually creates such defects, thus increasing the recombination velocity. However, carefully optimizing the substrate temperature during ITO deposition enables an in-situ annealing of radiation-induced defects. The optimum performance is found at 200°C substrate temperature. Effective surface Effective surface -3 recombination velocity (cm/s) velocity (cm/s) Carrier density Carrier (cm density ) (cm-3) recombination 60 50 Figure 1: Charge carrier mobility and charge carrier density of typical ITO layers deposited on the SOLARIS tool, as a function of the oxygen content in the process gas. The substrate temperature was 200°C. The optimum conductivity results at 5×1020 charge carriers per cm3. 5.0% 7.5% 100 9.E+20 9.E+20 80 80 7.E+20 7.E+20 60 60 5.E+20 5.E+20 40 40 3.E+20 3.E+20 20 20 1.E+20 1.E+20 7.5% 10.0% O2/Ar flowOratio /Ar (%) flow ratio (%) 2 1.E+21100 10.0% 25 S eff 100 25 S ITO, 80 nm eff (i) a-Si:H c-Si, 3 Ωcm ITO, 80 nm (i) a-Si:H c-Si, 3 Ωcm (i) a-Si:H (i) a-Si:H 200 100 300 200 300 Substrate Substrate temperature temperature (°C) (°C) CHIP 2013 3.5 TECHNOLOGY AND R&D 53 HOW OERLIKON IS ENABLING THE COMING 3D-IC REVOLUTION Ideal for packaging of 3D-ICs by all the major foundries and OSATs, Oerlikon’s HIS technology uses a conventional PVD module with relative close target-tosubstrate spacings and an inexpensive standard planar target. Together, these ensure better target utilization, longer target lifetime and lower energy consumption while providing dense and directional high quality films. By Glyn Reynolds, Principal Scientist, R&D, Oerlikon Systems “3D” seems to be everywhere these days: we see and hear references in the media to 3D movies, 3D TVs, 3D printers, etc., and the semiconductor industry is no exception. One cannot attend a technical conference or trade show or open a trade magazine without encountering an article on “3D-ICs.” So what exactly are “3D-ICs”? Why is so much attention being given to them? And how is Oerlikon participating in this upcoming “3DIC revolution?” THE COST OF DOWN DRIVING DIRECTIONAL PVD “3D-ICs” or 3D integrated circuits are very simple, conceptually, but are not easy to manufacture at an affordable cost. A 3DIC merely consists of chips stacked vertically on top of one another. Interconnects between the chips can be fabricated by inserting “dummy” silicon interposers between the chips and using traditional wirebonding techniques but there are significant advantages to be realized by connecting ICs directly to those above and below them in the 3D stack using through-silicon vias (TSVs) (see Figure 1). Encapsulation Stacked Die TSVs TSVs Solder Bumps Substrate Solder Bumps Figure 1. Schematic of 3D Integrated Circuit using Through Silicon Vias (TSVs) 3D-ICs reduce latency, increase bandwidth, decrease power consumption and allow heterogeneous integration, all within a small form factor, so it’s understandable why the electronics industry is eager to incorporate these architectures into many new product lines. Looking for a cost-effective 3D-IC solution However, as is often the case with revolutionary new chip designs, the biggest obstacle to their widespread adoption is finding cost-effective ways to address the many technical challenges that they present. There are demonstrated solutions to 3D chip design issues, sorting and testing “known good die,” thin wafer temporary bonding and debonding, processing the TSVs, etc. Even the high temperatures experienced by devices buried deep within the stack, caused by the increased power densities found in most 3D-ICs, can be mitigated by judiciously adding actively cooled interposers. But unless the whole manufacturing process can be done affordably, 3D-ICs will not find their way into most of the high volume consumer electronics products sold today. An Oerlikon tradition As the established industry leader in physical vapor deposition (PVD) for advanced packaging applications, Oerlikon is very well acquainted with the need to provide best-in-class performance at the lowest possible cost-of-ownership (CoO) and this principle has guided our development efforts. Our platforms play a key role in 3D-IC manufacturing, enabling critical steps such as under-bump metallization (UBM), re-distribution layers (RDL) and barrier/seed layers for copper pillars, all performed at a lower overall CoO compared to competitive 300mmcompatible high volume manufacturing (HVM) equipment. Extending this philosophy to the lining of TSVs with metal barriers and copper seed layers required an innovative approach. Oerlikon first started working on the process technology required for 3D-ICs in 2005. At the time, we were using our well-received and industry-proven Advanced Directional Sputtering (ADS) source to deposit a Ta(N)/Ta barrier and Cu seed onto the bottom and sidewalls of deep vias etched into silicon wafers. ADS combined a long throw chamber (target-to-wafer spacing ~300 mm) with our patented asymmetric unbalanced magnetron source. We were able to CHIP 2013 3.5 TECHNOLOGY AND R&D 55 provide sufficient coverage into features with aspect ratios as high as 5:1 to allow subsequent electrofilling. Nevertheless, it was very apparent that while long throw hardware designs might be adequate to line vias encountered in multilevel metallization schemes for complementary metal-oxide semiconductor (CMOS) back-end-of-line (BEOL) processing, they were not ideal for depositing the much thicker layers that would be required for lining TSVs, especially if this needed to be performed in the very cost-conscious Outsourced Assembly and Test (OSAT) foundries. Conceptually, Oerlikon Systems’ HIS is a very simple source (Figure 3). It mates a standard planar magnetron target with a very high peak power pulsed DC supply to generate a region of extremely high plasma density close to the target’s surface. As atoms sputtered from the target traverse the high density plasma, they are ionized by direct electron impact. This mechanism results in the production of high metal ion fractions (up to 90%) in a compact volume, allowing target-towafer spacings more typical of conventional (non-directional) magnetron sputtering. Watching out for costs Ion-enhanced long throw sources such as Oerlikon’s ADS (Figure 2) can line deep vias by combining two effects. First is the creation of metal ions in a high density plasma; these ions are then accelerated across the plasma sheath perpendicular to the wafer surface. The second involves the intentional loss to the shields of sputtered metal atoms traveling obliquely to the major axis of the chamber. If too many of these oblique atoms are allowed to deposit on the wafer, they create overhang at the top corners of the vias, shadowing the sidewalls below and causing voids during electrofilling. A HIS source can be mounted onto a standard PVD chamber and is currently installed on a number of our flagship CLUSTERLINE® 200 II and 300 II platforms in the field. With its best-in-class CoO for directional sputtering, manufacturers looking to line TSVs for packaging or MEMS applications will be especially interested in a configuration that includes one or more HIS sources (Figure 4). Confirmation from Fraunhofer Asymmetric Unbalanced Magnetron DC Power Supply HIS has proven able to deposit continuous copper seed layers and metal barriers of Ti/TiN and Ta(N)/Ta in deep vias with aspect ratios up to 10:1. Our development partners at Fraunhofer IZM All Silicon System Integration Dresden (ASSID) have successfully electrofilled 10:1 features using HIS and have confirmed its superiority over ion-enhanced long throw sputtering both in terms of better coverage and lower CoO. Another advantage of HIS is the capacity to coat larger diameter features that extend all the way through a silicon wafer (Figure 5); this is difficult to do with long throw sputtering sources. Sputtered Atoms Tubus, Tubus Shields and Coils Directional ions and neutrals at wafer Figure 4: Oerlikon Systems’ CLUSTERLINE® 300 II can be configured with one or more HIS sources. Wafer pedestal RF Power Supply Whether you need to line high aspect ratio TSVs with barrier metals and copper, or you require more cost-effective directional PVD apparatus for MEMS, power devices or similar applications, Oerlikon’s HIS source offers an extremely competitive solution. For cost-challenged 3D-IC manufacturers, it could be the only effective solution. Figure 2. Schematic of Oerlikon’s ADS Source (Ion-enhanced Long Throw) However, the deliberate loss of a high fraction of the metal atoms sputtered from the target has some significant disadvantages: low specific deposition rate (leading to low overall throughput), poor target utilization and the need for frequent target changes. Low specific rates can be mitigated to a certain extent by increasing power to the target but at the cost of additional (and expensive) power supplies and increased power and coolant consumption. All of these drawbacks have one thing in common: they add cost. A much better approach is to locate the target closer to the wafer and to achieve the necessary directionality for lining deep vias by generating higher ion fractions; this is precisely what Oerlikon’s Highly Ionized Sputtering (HIS) source was designed to do. V I Magnetron cathode Sputtered atoms/ions Directional ions at wafer Wafer Pedestal Figure 3. Schematic of Oerlikon’s HIS Source Figure 5. Example of 4:1 AR full wafer TSV with connection on backside. The barrier/seed layers were deposited by HIS and subsequently electroplated. (Picture courtesy of Fraunhofer IZM-ASSID) 1.0 2.0 3.0 4.0 5.0 6.0 B CHIP 2013 4.1 ADVANCED NANOTECHNOLOGY 59 More pain, more gain Taking the technological leap to single substrate processing meant a larger development effort, but also promised an anti-smudge coating with superior properties and durability compared to the standard processes used on batch evaporation systems. The hardware enhancements for the SOLARIS included a completely new source that would enable an extremely quick evaporation process (in seconds instead of the usual minutes on a batch tool). etter than atch Some of the most notable performance improvements include: Higher throughput: with single substrate handling rather than more cumbersome batch evaporation systems Fully compatible with automated production lines: lower costs/unit Easy integration of the process into current automated fab production processes Continuous processing: avoids process interruption of batch processes Superior process stability from substrate to substrate: with single substrate handling Flexibility: integrating AR layer processes on the same tool configuration is possible Any SOLARIS system currently out in the field can be easily upgraded to run the new anti-smudge process, as with all process technologies available on the SOLARIS. OERLIKON LAUNCHES HIGH-THROUGHPUT “ANTISMUDGE” COATING PROCESS FOR TOUCH PANELS Creating an anti-smudge coating for touch panels is a logical improvement for mobile devices but difficult to implement. The technical challenge was to transform a slow evaporation process into something that takes only seconds. But the Oerlikon development team and our SOLARIS system were up to the challenge. Today touch panels are everywhere. Once limited to primordial ATM screens, they now dominate in devices such as game consoles, all-in-one computers, tablet computers, and smartphones. And the number and type of devices seem to be expanding daily – from lowly household appliances to high-tech consumer electronics. Finally, the latest news of the rapid decline in PC sales only confirms the trend that consumers are shifting their dollars to smartphones and tablets rather than PCs. This trend is set to grow even more in the near future. With this booming market and the universal enthusiasm for smartphones and all mobile devices, the product development team at Oerlikon realized that the time had come for a high-performance coating with superior cleaning properties durability and seamless “touch” capabilities. Taking the leap to a new technology However, similar to the popular anti-reflective coatings for eyeglasses, an anti-smudge coating meant developing a completely new technology – an evaporation process adapted to a single substrate system. Until now, evaporation processes were slow and unwieldy and usually run on batch systems, with manual loading and unloading of substrate cassettes. This was an unacceptable concept for the extremely dynamic mobile device markets (and beyond). That made development and optimization of a process with high throughput and the capability of running on an automated production platform – such as Oerlikon’s SOLARIS single substrate systems – a priority. LOOKING GOOD: Similar to the hydrophobic effect of Oerlikon’s new “anti-smudge” coating for smartphones and tablets, the lotus effect refers to a high level of water repellence shown by the leaves of the lotus flower. The flower’s surface uses water droplets to pick up and carry off dirt particles. This is possible due to the plant’s complex surface structure that minimizes adhesion. Oerlikon now brings this capability to your electronic devices. SEM image of the surface of a lotus leaf “Our new anti-smudge coating is the ideal solution for high end products and new applications,” explains Stephan Voser, Strategic Project Manager. “This process will allow the manufacturer to eliminate batch evaporation tools – the average throughput of our SOLARIS platform can handily beat four batch tools, on less floor space.” Stephan Voser, Strategic Project Manager, Oerlikon Systems CHIP 2013 4.2 ADVANCED NANOTECHNOLOGY 61 DR. VOLKER WÜSTENHAGEN, HEAD OF ADVANCED NANOTECHNOLOGY, OERLIKON SYSTEMS SHINES IN SEMI PRODUCTION NEW APPLICATION FOR OERLIKON’S COMPACT, HIGH-THROUGHPUT SPUTTER SYSTEM “The SOLARIS is a reliable tool that has helped the client increase throughput and lower costs. That’s innovation!” Emerging semi star These devices can now be produced more efficiently and often, more economically. The materials that can be processed include: Configured with the MSQ cathode, the SOLARIS can be Metals (Al, Al alloys, NiV, Ti, Ag, AuGe, etc.) used as either an R&D tool or for production of complex SiN, SiN:H, SiO2, Al2O3 multi-layer systems. The MSQ cathode holds up to SiC, TCO layers (ITO), etc. four different cathodes arranged for co-sputtering. This Various alloys ORIGINALLY CONCEIVED AS A HIGH-THROUGHPUT, SMALL FOOTPRINT PRODUCTION SYSTEM FOR NANOTECHNOLOGY process module can process up to four target materials APPLICATIONS FOR CLEAN ENERGY PRODUCTION, SOLARIS RECENTLY FOUND A NEW APPLICATION: PRODUCTION and substrate sizes with minimal modification costs. OF HIGH POWER SEMICONDUCTORS. THE SYSTEM’S IMPRESSIVE THROUGHPUT, EASY OPERATION AND HIGH Helping lower costs semiconductor manufacturing, SOLARIS is open to a wide SPUTTER RATE ARE HELPING A KEY CLIENT TO SUBSTANTIALLY REDUCE COST OF OWNERSHIP. Currently running 24/7 on the client’s production line, a range of new applications: single SOLARIS tool can replace up to four evaporation Power semiconductors monitor electrical voltage to produce maximum effect with the least use of energy. This helps improve power conversion efficiency, generate clean energy and improve automation for transportation, medical and telecom MARKUS FREI, PRODUCT MANAGER ADVANCED NANOTECHNOLOGY, OERLIKON SYSTEMS applications. Our key client, with design and production centers in Europe and Asia, was impressed with the unprecedented process flexibility of the SOLARIS platform, which has optimized production and saves on costs per wafer. The main system configuration features are: systems for Al deposition. Obviously, the savings in clean room footprint space, maintenance time and operator Combined with the system’s renowned high throughput, small footprint and, now, flexibility to process wafers for Power devices NEMs & MEMs shifts are substantial. Outfitted with an automated Microchips cassette-to-cassette handling system, the SOLARIS LEDs also bumps wafer yield up to a higher level. In addition, OLEDs different wafer sizes (including TAIKO and thin wafers) can Micro-sensors also be processed. Micro fluidic devices (MFD), etc. The SOLARIS is doing double-sided deposition of “The devices being produced on the SOLARIS are used Six process chambers with the ARQ 151 cathode for PVD (DC, DC pulsed, RF) sputtering aluminum on silicon wafers with an automated cassette- for high power semiconductors and refined power MCUs to-cassette handling system, independently processing to inverter components for wind/solar energy,” adds Multi-source (MSQ) cathode four cassettes of 25 (5” and 6”) wafers each. Throughput Gotthard Kudlek, Sales Manager at Oerlikon Systems. Heating station ranges from ≥70 wafers/h for double-sided deposition and “The SOLARIS is a reliable tool that has helped the client Cooling station ≥140 wafers/h for single-sided deposition. increase throughput and lower costs. That’s innovation!” CHIP 2013 4.3 ADVANCED NANOTECHNOLOGY 63 G R E AT S TA R T CLUSTERLINE® GOES TO SWISS TECHNOLOGY CENTER IN NEUCHÂTEL By Dr. Nicolay Sylvain, Head of PV Coating Center / CSEM Chosen as the ideal R&D platform by the EPFL’s Institute Got to be more flexible for Microengineering (IMT) and the Swiss Center for A key focus for the CLUSTERLINE® is currently on Electronics and Microtechnology (CSEM) in Neuchâtel, the CLUSTERLINE® system will be a key research tool for the “Swiss MicroCity,” the new EPFL microtechnologies site in Neuchâtel. The R&D goals for the EPFL and CSEM researchers include development of contact electrodes for high-efficiency PV solar cells, optimizing new materials for LED and OLED applications, and developing new classes of MEMS devices. The CSEM is an R&D company specialized in micro- and nanotechnology, system engineering microelectronics and communications technologies. The IMT is part of the renowned EPFL and develops devices and microcomponents for integration into functional microsystems. Both institutions work together on a range of advanced technologies and production processes and collaborate closely with private industry, including Oerlikon. A growing microtechnology site This year, all EPFL laboratories in Neuchâtel will move to the new Swiss Microcity building adjacent to the CSEM. Combining the strengths of the EPFL’s IMT and the CSEM will make it one of the largest centers for microtechnology in Europe. Part of the City’s R&D work focuses on energyefficient and resource-efficient production, or “green photovoltaics, more specifically, optimizing deposition processes for transparent and conductive layers used in thin film and high efficiency crystalline PV solar cells. The main processes used today include: ZnO by chemical vapor deposition or sputtering ITO, ZnO and several other materials such as metals and dielectric deposited by sputtering However, because of various cost issues, work has recently begun on looking for alternatives to ITO layers and the development of new transparent conductive oxide (TCO) materials (notably with reduced indium content). Last year, when the EPFL took delivery of the CLUSTERLINE® platform, the centre worked with the CSEM team to look for ITO-free materials and more flexible films for flexible electronics. “THE CLUSTERLINE® WILL SUPPORT OUR DEVELOPMENT OF NEW TCO MATERIALS FOR PV APPLICATIONS AND WE’LL ALSO BE USING IT TO RESEARCH ON NEW ENERGY EFFICIENT TECHNOLOGIES.” manufacturing.” This area encompasses numerous fields An important part of this research is finding alternatives to including information technology, electronics, photovoltaics, ITO layers for flexible lighting sources, as ITO films can be robotics, and clean technologies (cleantech). damaged when bent. This work is part of the “Flex-O-Fab” “The CLUSTERLINE® will support our development of new TCO materials for PV applications and we’ll also be using it to research new energy-efficient technologies such as OLED, next generation thin film transistors and smart windows,” explains Prof. Christophe Ballif, Director of the EU initiative, a collaborative research project with experts from 12 European companies and organizations. The overall goal is to develop a reliable manufacturing process for OLED lighting foils, essentially taking flexible OLEDs ‘from the lab to the fab.’ EPFL PV-Labs and the new CSEM PV Center, a center Meeting cost and performance goals dedicated to rapid PV technology transfer. Beyond finding a flexible application, a further technical challenge is finding and optimizing new materials (from abundant and cheap raw materials) that deliver the same high transparency, conductivity and flexibility performance (or better) as ITO. Better materials may also result in layers with transparency/conductivity ratios superior to ITO, which, in turn, can help create higher-efficiency PV solar cells. MSQ helps avoid a lot of extra effort when scaling up a successful process on a smaller substrate. For example, while the team works to take the processes from sheetto-sheet to roll-to-roll deposition, an added benefit of the CLUSTERLINE® is its ability to produce sheet-to-sheet anodes for OLEDs. “There are important cost and performance issues here,” adds Markus Frei, Product Manager Advanced Nanotechnology at Oerlikon Systems. “If the R&D teams develop a material with performance similar to ITO, but which also provides better flexibility at lower production costs, then it could open up the whole organic-based electronics industry as a market. And it will be an important technology boost for the numerous flexible electronic development projects, such as the “Flex-O-Fab” EU initiative.” Markus Frei sees the CLUSTERLINE® as the ideal platform for the research efforts: “Outfitted with the MSQ source, the R&D team can use the tool’s flexibility to deposit and develop many different materials at the same time. In addition, the deposition process is fast and reliable, which is helpful if you want to make a lot of variations on one layer in one day.” Versatile tool: CLUSTERLINE® With the CLUSTERLINE®, any cross-talk issues between deposition electrodes (found with competitive solutions) were completely eliminated. The research team can exploit the layout of a proven production tool and still utilize the individual process modules configured with the MSQ source. With four smaller targets (each with different materials), the Within only a few months, the CLUSTERLINE®, with its exceptional R&D productivity, has become a key tool for the EPFL and CSEM teams. For instance, thanks to recent success in TCO material development, alternatives to replace ITO in PV solar cells and OLED flat panels are now being shown to industrial partners within various institutional projects. And that’s only the beginning. 1.0 2.0 3.0 4.0 5.0 6.0 5.1 CUSTOMER SERVICE 67 1.Speed: It’s all about timing Improved customer satisfaction By Colm Connell, Head of Global Customer Support, Oerlikon Systems We concentrate on our most valuable resource, our staff, as well as our spare parts. People: We continually invest in our staff in order to strengthen the knowledge within our frontline field service teams so that they can complete interventions and resolve issues quickly. Here are some examples of initiatives. I. At the beginning of each year the skills and knowledge of all field teams are assessed. Individual training schedules are drawn up so that our engineers are able to perform their jobs to the best of their abilities and, importantly, at a higher level than the previous year. We work on safety, transferring best known methods, knowledge of new features, software diagnostics etc. II. In addition we send our engineers out for specialized training to OEM sites for example for advanced robotics training. Principles of customer service Within the customer service group, we have set a clear goal, which is built upon a set of three principles to enable us to offer a superior level of service that improves with each year. Spare parts: We focus on having the right mix of parts locally in our regional spare hubs, which is supported by our global stock. We are able to send these to our customers quickly and responsively. Our recent initiatives include: I. All our regional hubs stock all parts categorized as high risk. In addition we have all parts with a usage over 3 consecutive years. II. We have strengthened our support presence in Asia by adding a spares hub in Singapore and will open a spares hub in China later this year. III.We have implemented various solutions to find faster ways to expedite spares. A major improvement was implemented in May with a special carrier service that slashes the delivery time to a record level in our industry. IV.We have set up local agreements with suppliers who hold emergency stock on our behalf and also commit to open up priority slots to manufacture at short notice. 2. Quality: Demonstrate highest quality every time “Continuous improvement for us means a neverending effort to expose and eliminate root causes of problems. Our goal is zero defects.” Some examples of initiatives begun this year include: I. We have further developed our Corrective Action Reporting (CAR) system with new features and have made it easier to use. We have now integrated this process into our SAP system to achieve a complete closed loop quality process. II. We have also started a comprehensive training program for our cross-functional teams on 8D problem solving in order to transfer “best-inclass” skills and methodology to analyze root causes and eliminate repeat issues. III.We have increased awareness within our groups by sharing KPI trends and have scheduled regular steering committee meetings attended by the entire management team to review status on all CARs to drive closure. 3. Customer satisfaction: Strive continuously to exceed expectations We work in a rapidly changing technology environment that requires the service infrastructure to develop and innovate in parallel to address changing needs. Some examples of how we work with customers closely include: I. Customer Improvement Projects (CIP) are an integral part of how we support our existing customers and the extensive installation base, both young and old. II. Customer / supplier face-to-face surveys are completed annually to attain a more detailed customer feedback. In these discussions we speak openly together about ways to improve. III.Taking part in VLSI surveys, which provide independent feedback from customers. After-sales support is a key differentiator in today’s markets and Oerlikon, as a leading innovative and customer-focused technology company, understands this better than most. An important part of our success is built upon the long term relationships we have with our customers. It is through our combined efforts that we develop innovative solutions so that we all stay ahead. CHIP 2013 Year on year Oerlikon Systems has significantly increased customer satisfaction as reflected in VLSIresearch’s annual customer survey results. Measured on a 10-point-ranking scale overall customer satisfaction has increased, each consecutive year, from 7.55 to 8.33 in the period 2009 to 2013. 3 QUICK COMPREHENSIVE COMFORTABLE Configured for Oerlikon production tools, the CATALOGcreator® online spare parts catalog offers quick search and location of any part – even for the most complex production system layouts. By Robert Slepicka, Technical Editor, Oerlikon Systems. T ime is money, especially for service and maintenance teams, and process engineers that need to keep production running 24/7. That’s why CATALOGcreator®, the new online spare parts catalog, offers a simple and intuitive search menu along with numerous key enhancements over previous inventory and process management tools (see sidebar on right). Just launched worldwide and available to all Oerlikon system customers, the CATALOGcreator® has already earned positive reviews from both customer maintenance teams and Oerlikon Field Service specialists working on-site at customer installations: “It’s not just a question of giving the customer access to quick and accurate information, but it also gives our service personnel teams the confidence that everything is under control,” explains Mike Iha, Oerlikon Field Service Specialist for the US and Asia region. Maintaining up-to-date system documentation and maintenance schedules with CATALOGcreator® has also become easier for Oerlikon. Now, the Oerlikon Systems teams can update information with a single key stroke when logged into the “administrator mode.” Best user experience – today and tomorrow By providing a seamless user experience for customers, Field Service personnel and technical administrators, CATALOGcreator® helps minimize costs and risks by improving overall efficiency with a quick and intuitive identification of parts, schedules and documentation. The online catalog also supports up to 16 languages, including the desktop navigation and (if available) system documentation. Another notable update is the online maintenance schedule list where system information is linked to each corresponding task. Automatic updates Forward-looking aspects include ongoing optimization of the online spare parts catalog functions, updating 3D catalogs and maintenance schedules with a single button and the integration of 3D-animated system models for fast and unique location of spare parts. Also, the implementation of an online shopping cart for spare parts will streamline and automate parts identification and the order process. OERLIKON’S NEW ONLINE SPARE PARTS CATALOG PROVIDES INSTANT ACCESS “Compared to industry standards and other system providers, CATALOGcreator® is an extremely powerful tool with leading edge functionality. It’s sure to be an important differentiator for us and a significant value for our customers,” concludes Colm Connell, Head of Global Customer Support at Oerlikon Systems. Everything under control Oerlikon’s new CATALOGcreator® gives the customer instant access to a comprehensive and accurate source of information on spare parts and other key data: Secure access to system data through a personal account / password 24/7 availability worldwide via Internet Access to complete maintenance instructions, schedules and system diagrams for Oerlikon production systems (includes instructions for maintenance and cleaning) Access to all system operating instructions and OEM documentation Review and ordering of retrofit packages for Oerlikon production system retrofits Access to all release notes and customer support information Access to the latest anti-virus software, with weekly updates Quick and easy location of spare parts, with integrated 3D animated models (coming soon) Online shopping cart for spare parts identification and order placement 1.0 2.0 3.0 4.0 5.0 6.0 CHIP 2013 6.1 WORLDWIDE SALES & SERVICE NETWORK 73 SECURING NEW OPPORTUNITIES IN SOUTH KOREA By Dr. Hwan Oh, President & CEO, Woowon Technology, Seoul, Korea The long-established partnership with Woowon Technology is helping Oerlikon Systems establish new sources of demand for its tools in South Korea, one of the most dynamic regions for semiconductor manufacturing. Woowon Technology has been supplying the semiconductor The relationship between the two companies originally goes Future opportunities manufacturing industry in Korea with production tools and back to 2002, so with the post-global downturn in 2009, The CLUSTERLINE® platform is currently the most popular equipment for the past 23 years. Today the business also Oerlikon Systems naturally contacted Woowon for marketing, Oerlikon System product that Woowon offers customers in serves other microelectronics and semiconductor-related sales and support activities for existing and new customers Korea. As Korea’s largest agency Woowon is combining its well- industries, such as light-emitting diodes (LED), flat panel alike. Oerlikon Systems has chosen to work exclusively with known sales and support reputation together with Oerlikon’s displays (FPD), printed circuit boards (PCB) and micro- agency partners like Woowon and DKSH (profiled in Chip 2012), strong performance reputation to launch and supply the newer electromechanical systems (MEMS), which have become more to leverage business opportunities through a well-established HEXAGON sputtering tool. “We see it is a highly productive established in the region over the past 15 years. network of contacts within the Asian semiconductor and tool and typical of Oerlikon Systems’ focus on developing microelectronics industry. Thanks to global brand names, South The global economic downturn in 2008 and portfolio alignment production tools in response to what the customers need,” says Korea has become synonymous with cutting-edge mobile prompted Oerlikon Systems to reorganize its sales and support Dr. Oh. Together with the sustainable traditional PC market, electronics, home appliances and other products that exploit operations in Asia. A result of this effort has been to partner the ever-growing demand for mobile electronic devices, like the latest advances in semiconductor and related technologies. smartphones, tablet PCs, netbooks and laptops, means new with Woowon Technology. The company has seven locations “Oerlikon Systems has been in this business for many years customers in the development of their production processes. and has a strong background in developing reliable innovative Woowon has about 60 staff and is currently hiring new staff as production tools for the hi-tech industries, and its commitment part of expansion plans. to aftersales customer service is second-to-none.” says Dr. Oh. Close relations provide a genuine solution helps when competing in a market Most of Woowon’s offices are within close proximity – in with very large multinational suppliers as well as smaller more At the same time technology giants are outsourcing more of some cases within walking distance – to the main facilities local suppliers, which have traditionally supplied the Korean their semiconductor and microelectronics fabrication activity of customers manufacturing memory FLASH, SRAM, DRAM semiconductor and microelectronics industries,” adds Dr. Oh. as it is so costly for one company to do it all under one roof. and other semiconductor devices, including Samsung Semiconductor and Hynix,. “Where we can, we try to base ourselves as close to our customers as possible. This is a strength of ours, as it means we can support our clients extensively, providing around-the-clock support, seven days a Above: The Woowon Strategic Sales & Marketing Team for Oerlikon innovations in chip packaging and memory device designs are in Korea, including an R&D facility, which it set up to assist its “Its strong commitment to working with us and customers to At least once a week Oerlikon Systems and Woowon hold conference call-based meetings, so there is also a lot of communication on a more daily basis between the respective companies’ sales teams. enabling more features inside smaller thinner formats. Woowon sees these new production formats as being essential to the continued market growth in the Korean semiconductor industry in the coming years, and expects this to drive demand for the smaller and faster HEXAGON tool. As a result the semiconductor and microelectronics supply chains are becoming more complex with more subcontractors entering, specialising in specific component assembly. “There are good synergies between Woowon and Oerlikon Systems that we can exploit in order to capture some of the exciting week,” explains Woowon Technology’s President and CEO, opportunities that are emerging from the Korean semiconductor Dr. Hwan Oh. and microelectronics industries,” observes Dr. Oh. CHIP 2013 6.2 WORLDWIDE SALES & SERVICE NETWORK 77 AND HOW YOU CAN MEET US OUR WORLDWIDE SALES & SERVICE NETWORK SPANS THE GLOBE AND IS OPEN 24/7. TO CONTACT OERLIKON SYSTEMS D I R E C T LY F O R C U S T O M E R S E R V I C E A N D T E C H N I C A L SUPPORT, PLEASE CONTACT THE LOCATION NEAREST YOU. WHERE YOU WILL FIND US OVER THE COURSE OF HIS BUSINESS TRIPS, OUR OERLIKON MAN MEETS NUMEROUS EVERYDAY OBJECTS AND SITUATIONS WHICH CONTAIN TECHNOLOGIES OF OERLIKON. THEY ENABLE HIS MOBILITY, ALLOW HIM TO CONDUCT BUSINESS, HELP HIM COMMUNICATE, STAY HEALTHY, MAKE HIM SMILE, AND LET HIM TRAVEL AROUND THE WORLD QUICKLY AND EFFICIENTLY. Balzers Liechtenstein Aschheim-Dornach Germany St. Petersburg Americas HEADQUARTERS Oerlikon Advanced Technologies Ltd. Iramali 18, P.O. Box 1000 9496 Balzers Liechtenstein REGIONAL OFFICE Oerlikon Deutschland Vertriebs GmbH Karl-Hammerschmidt-Strasse 34 85609 Aschheim-Dornach Germany REGIONAL OFFICE Oerlikon USA Inc 970 Lake Carillion Dr, Suite 300 St. Petersburg FL 33716 United States T: +423 388 4770 info.systems@oerlikon.com S: sales.systems@oerlikon.com CS: service.systems@oerlikon.com T: +49 89 75 505 100 S: sales.systems.mn@oerlikon.com CS: service.systems.mn@oerlikon.com T: +1 877 948 0260 S: sales.systems.sp@oerlikon.com CS: service.systems.sp@oerlikon.com Shanghai China Tokyo Japan Singapore Singapore DKSH China Ltd. 1801 Hongmei Road Innov Tower / Block A Unit 2208 Shanghai China Hakuto Co., Ltd. 1-13. Shinjuku 1-Chome Shinjuku-ku Tokyo 160-8910 Japan ZMC Technologies (Singapore) Pte Ltd 10 Ubi Crescent #05-92/93/94/95 Lobby E Ubi Techpark Singapore 408564 T: +86 21 5383 8811 S: christy.liu@dksh.com S: +81-3-3225-8992 CS: +81-3-3225-8992 S: HKT-oerlikon@hakuto.co.jp T: +65 6285 1161 S: steven_ho@zmc.net CS: jiang_ez@zmc.net STL: carmen_leong@zmc.net Hsinchu Taiwan (ROC) Penang Malaysia Bundang South Korea Central Hub DKSH Taiwan Ltd. 13F-1, No.100, Sec. 1, Jiafong 11th Rd. Jhubei City, Hsinchu County 302 Taiwan (R.O.C.) ZMC Technologies (Malaysia) Sdn Bhd No. 1-3-23 Krystal Point 2 Lebuh Bukit Kecil 6 Penang 11900 Malaysia Woowon Technology Co., Ltd 1903 Parkview Office Tower 6 Jeongja-dong, Bundang-gu Seongnam-si, Kyeonggi-do 463-863 South Korea T: +886 3 657 8788 S: jason.chen@dksh.com CS: ben.lin@dksh.com T: +60 4 646 4586 S: yf_lau@zmc.net CS: pf_lau@zmc.net STL: julie_khoo@zmc.net T: +82 31 783 4770 S: jskim@wwtech.co.kr CS: hongson@wwtech.co.kr CHIP 2013 6.2 WORLDWIDE SALES & SERVICE NETWORK 2000 76 ARRIVING IN LONDON MORNING EXERCISE For testing purposes, the first taxis in London have been equipped with electric drives using special gearboxes of Oerlikon Graziano. Mobile entertainment devices for music or games need ultra-compact semiconductor elements – a core competence of Oerlikon Systems. Trains and buses use transmission components from Drive Systems – the coatings of Oerlikon Balzers come into play in their production. Surveillance cameras are made possible with a variety of Oerlikon Systems technologies. 1201 1045 Large gear systems (as for the London Eye), e.g. for mining, oil platforms, trucks and tractors are manufactured by Drive Systems. Yarns for functional sportswear are made out of chemical fibers produced on Oerlikon Textile machines. 0701 1055 GETTING READY 0625 A LITTLE DISTRACTION Manmade fibers gain importance, even in fashion – produced on Oerlikon machines. 0810 1110 Steel, used for window frames, is degassed with Oerlikon Leybold Vacuum solutions. WHERE YOU WILL FIND US OVER THE COURSE OF HIS BUSINESS TRIPS, OUR OERLIKON MAN MEETS NUMEROUS EVERYDAY OBJECTS AND SITUATIONS WHICH CONTAIN TECHNOLOGIES OF OERLIKON. THEY ENABLE HIS MOBILITY, ALLOW HIM TO CONDUCT BUSINESS, HELP HIM COMMUNICATE, STAY HEALTHY, MAKE HIM SMILE, AND LET HIM TRAVEL AROUND THE WORLD QUICKLY AND EFFICIENTLY. Yarns for home textiles, such as curtains or carpets, are often produced on Oerlikon Textile machines. Nearly 50 % of all the hard disks and read/write heads originate from an Oerlikon Systems machine. The Financial Times at the table: Oerlikon has been listed on the stock exchange for 40 years. Luxury goods, like watches, use coatings from Oerlikon Balzers for functional and design purposes. 1145 1524 0905 FIRST REFRESHMENTS 25 % of all plastic bottle caps worldwide are produced with Oerlikon Balzers-coated molds. WAITING FOR CUSTOMERS Refrigerators and coffee caps need vacuums to function and also in their production processes. Infrastructure: Oerlikon Fairfield produces drive systems for earth moving equipment, construction cranes and aerial lifts. TV sets are produced under vacuum, provided by Oerlikon. 0620 1342 USB and handheld devices have Oerlikon Systems technology inside. HAVING BREAKFAST 2321 WAKING UP TALKING TO CUSTOMERS You switch the light on and energy flows: its production is supported by Oerlikon Systems. LEDs are the future of lighting: Oerlikon Systems provides crucial technology for their production. LED devices need compound semiconductors in order to work; that’s what Oerlikon Systems is for. The production of eyeglass lenses and drying of leather belts take place under vacuum conditions. There is vacuum technology in every new generation of energy-saving light bulbs. Manmade fibers capture more and more applications for infrastructure, e.g. geotextiles. 2000 1640 BACK TO THE AIRPORT Around 50 % of the worldwide tire cord demand is produced on Oerlikon machines. PRESENTATION TO INVESTORS 0640 AT THE HOTEL Clever food packaging exists because of vacuum technology. Architectural glass is coated using Oerlikon vacuum technology to optimize the climate inside the building. Touch panels function with conductive layers which are produced on the SOLARIS machine from Oerlikon Systems. Automotive power electronics, pressure and acceleration sensors, LED lighting, wireless transmission, GPS and control logic systems are all manufactured with Oerlikon Systems thin-film technology. About 500 billion aluminum beverage cans are bottled and closed with a lid. About half of all these cans are closed using an Oerlikon Balzers-coated tool. WALKING TO A RESTAURANT EVENING ENTERTAINMENT Safety belts and interior elements need manmade fibers: modern cars contain 20 kg of such fibres. Food production: Drive Systems supplies transmission solutions for agricultural equipment. HEADING TO THE NEXT APPOINTMENT 2205 SAFETY FIRST LUNCH WITH CUSTOMERS Oerlikon Graziano is the market leader for innovative gear solutions in sports cars. Your electrical shaver is full of Oerlikon technologies, e.g. coatings, to increase durability. 1527 ON THE ROAD AGAIN The Aston Martin DBS driven by James Bond in the movies “Casino Royal” and “Quantum of Solace” uses an Oerlikon Graziano gearbox. Every diesel injection pump contains a DLC (diamond-like carbon) coated part. In order to meet the standards for an environmentally friendly car, the injection pressure is increased over 2 000 bar. This is only possible with coated mechanical parts. Numerous automobile parts are hardened under vacuum conditions to ensure performance and durability. 1815 CHECKING IN About one-third of all cell phones see, hear or transmit through thin films produced with Oerlikon Systems technology. BACK IN ZURICH The gangways at your airport are likely to use components produced by Oerlikon Fairfield. Most of the tow tractors used at airports use Oerlikon Graziano automatic transmission to get your luggage the baggage claim area. Air conditioners, airport security scanners, and automotive hydraulic systems all need a vacuum to function. Learn more at www.oerlikon.com/systems/