Construction of a High-PRF Pulsed Ku-Band Radar for

advertisement
"!
#%$'&)( *+,-.%( /
.(0.123/4 &56
%7&)8 &)3'9:
;&5 ;$=<>&?
@BADCFEG)HJILK?MNPOQRMN%SMCOTNUEVWOXY%Z\[^]_[a`7bac
d3eUfgd.NTMQhIjikikMNl>EamnEG5opEaNUEaqgEC
r
A
ZsNUEr
tFEuOTI\oOUt
rvIwCOUiLf.YsrFEam x%EaNPOTyzEC
r#{=ILOkOUADCFE|l~Ma} OU
CvIwN
Y%K
NTA€G5`bvg‚ab7b7`
!
" #$&%')( *'+%,.- /
0+132 45768:9<;=?><><@,ACB+=ED8F6GH1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1 L
0+1M0 NO68PDQ@IRTS@,U><9WV8X4576Y8P9<;=?>Z>7@,A[B+=?DQ8F6G\1I1I1J1I1J1I1I1J1I1K1J1I1J1 ]
0+1_^ N`@,U@,=?a@,5O4cbP@,V5Zde1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1 f
0+1hg B+=?DQ8F6Gjik><VYimlOVQ=E9Z@no6G?U,pPGE6Y>7=?VQ8q1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1r2Q2
s tuvF%w(xyz{vF}|
)/
^:132 ~Nc 1I1J1I1I1J1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1r2L
^:1M0 4O€N‚ƒB)„`=?><U…b†ˆ‡ @,5<6Y>7=?VQ8Š‰‹1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1r2L
^:1_^ ŒW8><@,8P8P6ŽV5Z>\1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1r2
^:1hg ‘[=?8P=E;’pP;“~ˆ@”>7@,U”>…6•:GE@IB+=?DQ8F6G–1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1r2f
! —
')Q')˜ '[z{vF}|
"F™
gP132 NOš›Œœ;‡PGE=3FUw6Y><=EVQ8ž1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0 gP1M0 NOš¡š=EG3>7@,5 1I1J1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0 gP1_^ nuVQp:‡PGE@5 1I1I1J1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0+2
gP1hg NOš¡~ˆ@>7@U><VQ5q1J1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0Yg
gP1ML ¢mš¡Œœ;‡PGE=3FUw6}>7=EV8
68PA[š=?G?>7@5<=?8PD£1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0QL
gP1_] ¢mš¤~ˆ@><@,U><VQ5¥1J1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0]
gP1M¦ ŒWAPAP=E8:D68[œS9<@>§1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0Q¦
gP1_ N`@¨@5<@,8:U,@J©u5768:U…bª1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0
/ «I}vPƒQvP¬­%w%w&')%
"Š®
L+132 ‘[VpP8¯>7=E8:D
nubP=?‡P91I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0
L+1M0 °±=?5<@I©uVQ8PA:=E8PD–1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0f
L+1_^ ²5<VQp:8PAP=E8:D³1I1J1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0f
L+1hg ‘[@,69<p:5<@,;@,8¯><9œVQ8[6nuVQ;´‡:GE@”µqnu=E5ZU,pP=3> 1I1I1J1I1J1I1I1J1I1K1J1I1J1Ÿ0f
L+1ML B+VpP5<U@,9OV¨TNO6AP=E6Y>7=?VQ8 1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1^Q L+1_] ‘[@U…bF68P=?Uw6GŽ5<V•PGE@;´9¤1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1^Q L+1M¦ ~6;´6DQ@A[nuVQ;´‡ VQ8P@8¯>79ž1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1^:2
L+1_ ‘[=?9<U@,GEGE68P@VQpP9“1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1^:2
¶ ¶ *C#H#·ƒ(e¬.v:. %
sŠ"
z «ˆvP%¹¸­ƒ%”´vPº#¼»')¯½Qv: %
sŠ¾
0
— z{
Šs
nœ132 ŽV„ @,5ˆB+pP‡P‡:G?dXnuVQ8:8P@,U”>7=EV8P9¡1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1]Q^
nœ1M0 4pP8P=?8PD´4c=?;´@5 1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1]Q^
nœ1_^ nuVQ;;VQ8[‘[@w69ZpP5<@;´@8¯>79 1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1]g
* ‹z{·'+%
Š/
~{132žŽV„ @,5ˆB+pP‡P‡:G?dXnuVQ8:8P@,U”>7=EV8P9¡1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1]¯L
~{1M0¥©uVwµ[lOpP;{• @,5<9O68:A[ŽGE6U,=?8PD 1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1]¯L
~{1_^ B+=?DQ8F6G &=?;´=3>79 1K1I1J1I1J1I1I1J1I1J1I1K1J1I1I1J1I1J1I1I1J1I1K1J1I1J1]¯L
`
,
4cbP@ ¨pP8PU><=EVQ8 VY¨ 6ˆ9<Uw6}><>7@5<VQ;@><@,5T=?9><Vˆ;´@,69<p:5<@u><bP@c‡V„ @,5T5Z@F@U><@,A•d’6œ>…6Y5<DQ@”>w1
4cbP@OF5<9 >c9<U,6Y><><@,5ZVQ;´@”>7@5<9 „ @,5Z@ˆAP@”aQ@,G?VQ‡ @,A=E8¹>7b:@ˆ@w65ZG?dq2f^Q h9­><V’AP@”>7@,U”>`6=?5<U,5<6Y¨y>
=?8 ><bP@¹9 ¯d 68PA 9ZbP=E‡:9JVQ8e>7b:@´9Z@w6[p:9<=E8:DCU,V8><=E8pPVQp:9I„u6waQ@ ƒn °º‰><5768:9<;=?><><@,5Z9,1
4cbP@OpP9Z@œV¨n ° >75<68P9<;=?>Z>7@5<9D¯6waQ@O„o6wd ><V’‡PpPG?9<@A><5768P9Z;´=3><><@,5<9><VJUVQGEG?@,U>­5<68PDQ@
=?8:¨VQ5<;´6Y><=EVQ8¤576AF6Y5…‰”1X4cbP@
p:9<@VY¨`9<Uw6}><>7@5<VQ;@><5Zd ‡P=?U @,A›p:‡›6D¯6=?8 =?8 ><bP@GE6Y>7@
2f]Q h9`„`b:@,8†=3>c„o69WpP9<@AC¨VQ5`V)U,@w6Y8C;@w69ZpP5<@;´@8><9O><V´UVQ5<5Z@,GE6Y>7@K„`=E8PAX9<‡ @,@AC>7V
•F6YU )9ZUw6Y>Z>7@5<@,A „u6waQ@,91­B+@a@,5<6G:;=E9Z9<=EV8P9><VœUVQGEG?@,U>=E8+¨VQ5<;´6Y>7=?VQ8VQ8’„`=?8PA’a@,GEV)U,=3> d
•¯d pP9<@V¨c9<U,6Y><><@,5ZVQ;´@”>7@5<9{VaQ@5J><bP@V)U,@,68xbF6waQ@´>…6 Y@,8›‡PGE6U,@9<=?8PU,@>7bP@F5<9 >{=E8
2f]¯¦+1
¢ 8 •Pp:=EGEA:=E8PD¤6 9Z=E8PDGE@ 68¯>7@8P8F6³9ZUw6Y>Z>7@,5ZVQ;@>7@5 KVQ8P@ bF69XGE=?;´=3>7@,A±U…bPV=EU,@ =E8
;@><bPV+A:9>7Vx9Z@,‡F6Y576Y><@†>7bP@†><5768P9Z;´=3><><@,A£68:A£5<@,U@,=3aQ@,A±9Z=EDQ8F6YGE9,1 ˆ8P@ U…b:VQ=EU@†=E9
><bP@´U=E5ZU,pPGE6Y>7VQ5 „`bP=EU…b Uw68 ‡P5<Va)=EAP@pP‡ >7V[^Q TAF© VY¨o=E9ZVQG 6}>7=EV8†„`=?>7b :1_^q><VC^TAP©
V¨œ=E8P9Z@,5 >7=EV8³GEV9<9,1£nu=E5<UpPG 6}>7VQ5Z96G?GEV„ 6xn ° 9<=?DQ8F6Go><V • @X><5768:9<;=?><><@,A c><bP@,9Z@
5<6AF65Z9´U,68³>75<68P9Z;´=3>6Y8PA³5Z@,U,@=?a@†9<=?;{pPG?>768P@VQpP9<G3dQ1±‘X=EU,5ZV„o6waQ@C•P5<=?APDQ@,9Cƒ^TAP©
AP=?5<@U>7=?VQ8F6G&UVQpP‡PG?@,5<9 ¼‡ V„u@5ˆAP=?a)=?AP@,5Z9 ¼@”>7UY1 ‰[U,68e6G?9<V• @{pP9Z@,A ·68PA†‡P5ZVa+=?AP@’p:‡
><V¹6•Vp:>`^Q TAF©£V¨=?9<VQGE6Y>7=?VQ8 •Pp:>O6Y>c6¹9<6U,5Z=?FU@V¨]TAF©£GEVQ9Z9o‡PG?pP9c=E8P9Z@,5 >7=EV8GEVQ9Z9,1
‘[=?U,5ZV„u6waQ@I•P5<=?APDQ@9OU,68X6GE9ZV6GEG?V„±><5768P9Z;´=3>`68PAX5<@U,@=?aQ@I9<=?;{pPG3>…68P@VQpP9ZG?dQ1
Œœ8´6G3>7@,5Z8F6Y><=?aQ@o6‡P‡P5ZV¯6U…b¹>7VJn ° =?9T>7bP@`‡PpPG?9<@A´9ZUw6Y>Z>7@,5ZVQ;@>7@5,1 ‘XpPU…b• @>Z>7@,5
=?9<VQGE6Y>7=?VQ8U,68[• @K6U…bP=?@a@,A[„`=3>7b>7b:=E9o;@><bPV+A¼1 W9<pP6GEG3d P8F6Y5<5<V„º‡PpPG?9<@,9O65Z@pP9<@A
„`=3>7b¤6 APp:> d¡Ud)UGE@qV¨ “ :132 1¡Œ\AP576w„`•F6U ¡V¨WpP9Z=E8PDe9<p:U…b³8F65Z5<V„“‡:pPGE9Z@,9¹=E9
><bF6Y>`>7bP@J6waQ@576DQ@I>75<68P9<;=?>Z>7@AX‡ V„u@5œ=?9OG?V„ pP8PG?@,9Z9ˆ6 aQ@,5 dXG 65ZDQ@‡@,6 q‡V„ @,5O=E9
pP9Z@,A·1¹¢ 8 DQ@,8:@,576YG &bP=EDb ‡@,6 †‡ V„ @,5I=E9A:= U,pPG3>ˆ>7VXDQ@”>„`bP@,8 pP9<=?8PDq9<VQG?=EA 9Z>76Y>7@
@GE@,U”>75ZVQ8P=EU9,14Vœ6U…bP=?@aQ@ bP=EDbJ=?9<VQGE6Y>7=?VQ8K68PAJ;6=?8¯>…6=?8I5Z@w69ZVQ8F6•:GE@ 6a@,5<6DQ@u‡ V„u@5 6 G 6Y5<DQ@APp+> dUd)U,G?@I68PAXbP=EDQbq‡Pp:GE9<@K5Z@,‡ @>7=3>7=?VQ8
¨5<@ !)p:@,8PU”d ƒŽ NWšT‰cU,68q•@KpP9Z@,A·1
°±=?><b†>7bP@6waY6=EGE6•P=?GE=?> d
V¨­bP=EDQb)i 9<‡ @,@Ae@,GE@U><5<VQ8P=?U{9Z„`=3>7U…bP@9JU,6‡F6•:GE@{V¨ Uw6Y5<5Zd¯i
=?8PDXNWš 9<=ED8F6GE9p:‡ >7V†0 #² "%$ „`=?><b 68 =E9ZVQG 6Y><=EVQ8 ;=E8:=E;{pP; V¨ug¯ TAF©‹6Y8PA›08P9
9 „`=?>7U…b:=E8PDq>7=?;´@9 9Z„`=?><U…b 8P@”> „uV5 9{Uw6Y8 • @p:9<@,A =E8 9<=E8:DQGE@68¯>7@8P8F6X9Zd)9Z><@,;9J>7V
=?9<VQGE6Y>7@c>7b:@œ>75<68P9<;=?>Z>7@A´¨5<V;‚><bP@ˆ5Z@,U,@=?a@,Aq9<=?DQ8F6G?9,1°±=3>7b6J9Z„`=?><U…bP=E8:D{8P@> „ VQ&5 '
><bP@><5768:9<;=?>J68PA 5<@,U@,=?@aQ@Uw68P8:V>’V)U,UpP5’9Z=E;{p:G?>…6Y8P@,VQp:9<G?d14cbP@´9<U,6Y><><@,5<V;´@”>7@,5
„ VQ5 9
•¯d 9<@8PAP=E8:DxVQp:>‡PpPGE9Z@,9 W6Y8PAH• @> „ @,@,8£><bP@†‡PpPG?9<@9 `5<@U,@,=3a)=E8PD >7bP@†@U…bPVP1
Œ‚bP=?DQb Ž NOš =?9KpP9<@A >7VX=E8PU5<@,69<@¹>7bP@9<‡ @,@A›68P(A pPG3>7=?;6Y><@,G3d ¼><bP@6YU,U,p:576U”d VY¨
5Z@,9<p:G?>791
©u@Uw6pP9Z@{9<U,6Y><><@,5ZVQ;´@”>7@5<9ˆGE6U 5768:DQ@’AP=?9<U5<=E;=E8P6Y>7=?VQ8 :><bP@dXbF6waQ@J> „uV
9Z@,5Z=EVQpP9
AP5<6w„`•F6U 9 )c2‰D5<VQpP8:AUGEp:>Z>7@5 6Y>­68¯d{AP=?9Z>768PU,@`=?9‡F65Z> VY¨ ><bP@`;´@,69<p:5<@,;@,8¯>­68:A
0Q‰’8:Ve> „ V >765<D@>79 =?8›>7bP@q• @w6; VY¨W><bP@X68¯>7@,8:8F6 6Y5<@q9<@‡F6576Y•PGE@Y1¤B+Uw6Y>Z>7@5<VQ;@”i
><@,5<9W65Z@Ka@,5 d[p:9<@¨p:G&¨V5`><bP@I;´@,69<pP5Z@,;@,8¯>OV¨TA:=E9Z><5<=?•Pp:>7@AX>765<D@>79 Š9<pPU…b 6Y9O9ZVQ=E*G g
a@,DQ@”>…6Y><=EVQ8:9<8PV„#Š@><U1¨VQ5c@w6Y5Z>7bX9<U=E@8PU,@J6‡P‡PG?=EU,6Y>7=?VQ8P9,1
¢ 8><bP@W‡P69Z> :9<U,6Y><><@,5ZVQ;´@”>7@5<9ubP6a@œ• @,@8
pP9<@A
¨VQ5>7bP@O¨VQGEG?V„`=E8PDI6‡P‡:GE=EU,6Y>7=?VQ8P9)
B)VQ=E*G );VQ=E9 >7pP5Z@
U,VQ8¯><@,8¯>U,68¡•@qAP@”>7@5<;=E8P@A¤• @,U,6pP9Z@q><bP@q•F6U9<U,6Y><><@,5Z@,A
P@,GEAq=?9c5<@,GE6Y>7@A>7V¹>7bP@K9<V=EG h9 W68:A[9ZpP5Z¨.6U@K5<VQpPDbP8P@,9Z9,1
@DQ@>76Y>7=?VQ8 );VQ=E9 >7pP5Z@ˆU,V8><@,8¯>WUw68X•@KAP@”>7@5<;=E8P@AX• @,U,6pP9Z@I><bP@J;VQ=?9Z>7p:5<@
UVQ8¯>7@8>W=E9c‡:5<VQ‡ VQ5Z><=EVQ8P6G><V w1
B)8PV#„ )´;@w69ZpP5<@;´@8¯>79{U,68›•@APVQ8P@><V†AP@”>7@,5Z;´=?8P@><bP@
@ !pP=3aY6GE@8>J„o6}>7@,5
aVQGEp:;´@ V¨+>7bP@­9<8PV„K1T4cb:=E9=E9&•@Uw6p:9<@o9Z8PV„¡AP@,8P9Z=?> dK=?95Z@,G 6}>7@,AK>7VO•F6U 9ZUw6Y> i
><@,5Z@,AX‡V„ @,5 68:AX@ !pP=?aY6G?@,8¯>`„o6Y><@,5caQVGEpP;@=E9c5Z@,G 6}>7@,A><V9Z8PV„ AP@8P9<=3> dQ1
4cbP@`9<U,6Y><><@,5ZVQ;´@”>7@5TAP=E9ZU,pP9Z9<@A=E8{><bP=E95<@,‡ VQ5 >=E9TA:@,9<=?DQ8P@A¹><V9<@8P9<@O9<bPV5Z>Zik9<U,6GE@
9Z@w6
9<p:5Z¨.6U@ 5<VQpPDbP8P@,9Z9.U,6‡P=EG?G 65 d
„u6a@,97‰”1’4cb:@{9Zd)9Z><@,;§A:@,9<=?DQ8 =E9WU,VaQ@5<@A ¨5ZVQ;
6¹>7bP@VQ5<@”>7=EU,6G&‡@5<9Z‡@U>7=3aQ@Y1œ4cbP@I>7576Y8P9<;=?>W68PAC5<@,U@,=3aQ@’•:5768PU…b:@,965Z@’UVa@,5Z@,A =E8
><bP@
VQ5ZAP@,5{VY¨`9<=ED8F6G >7576wa@,G „`=?>7b›@,6U…b›U,V;´‡ VQ8P@8> _9J¨pP8PU><=EVQ8x68PA›AP@9<U,5Z=E‡:><=EVQ8
• @,=?8PDeAP=E9ZU,pP9Z9<@,A¼1³lO@”µ)> ­>7bP@5<@q=E9¹6e9<@U>7=?VQ8¤AP@,9ZU,5<=?•P=E8:DeaY65Z=EVQp:9 ‡P5<VQ•:GE@,;9 68:A
><bP@,=?5’9<VGEp:><=EVQ8P9K>7bP6Y>{U,6;´@6• VQp:>’„`b:@,8¡•PpP=?GEAP=?8PDX><bP@
9ZUw6Y>Z>7@,5ZVQ;@>7@5,1 š=E8F6G?G?d ><bP@,5Z@I=?9O6¹9Z@,U”>7=EV8[UVa@,5Z=E8PD´6GEG¼V¨><bP@IU,VQ;‡V8P@,8¯>79c><bF6Y>c„ @,5<@JpP9<@A[V8X><bP@F8F6G
=3>7@,5<6Y>7=?VQ8·1
¥ˆ
T
"!#!%$'&)(*+,-
4cbP@ >7576Y8P9<;=?>Z>7@,5V¨ 6œ‡Pp:GE9<@u9<Uw6}><>7@5<VQ;@><@,5T9 d)9Z>7@; U,V8P9<=?9Z>79V¨6œ9Z>76•PGE@ VQ9<U=EG?G 6Y><VQ5
><bF6Y>TD@,8P@576Y><@,9T>7b:@cNWš[Uw65Z5<=E@5,14cbP=E9U,VQ8¯>7=?8pPVQpP9T„u6waQ@c9<=?DQ8F6G)=E9;´V)APpPGE6Y>7@A’•¯d 6
•F6Y9<@,•P68PAq‡PpPG?9<@9<=?DQ8F6*G 9<@,@8[=?8š=?DQpP5<@’2 P68:A
>7bP@8X5<6AP=E6Y>7@A·1T4cbP@‡Pp:GE9<@ˆ„`=?A:>7b
=?9uAP@”F8P@,A[6/9 .(:>7b:@ˆ‡PpPG?9<@ˆ‡ @,5Z=EV)A
=E/9 0 ·.9<@@Kš=EDpP5<@J2‰ 68:A
>7bP@‡Pp:GE9<@œ5<@,‡ @><=?><=EVQ8
¨5Z@ !pP@,8:Ud ƒŽ NOš2‰ 13*4Ÿ2€Y41
š=?DQpP5<@†0Hƒ6Q‰´9<bPV„`9q68£Vp:>7DQV=E8PD ‡PpPGE9Z@,AH><5768P9Z;´=3><><@,AH9Z=EDQ8F6YGW=?8³>7bP@[>7=E;@
APV;6=?8·51 13¹=?9J><bP@‡PpPG?9<@5<@‡@”>7=3>7=EV8 ¨5<@ !)p:@,8PU”d¡68P6A 187 ><bP@Uw65Z5<=?@,5’¨5Z@ !pP@,8:UdQ1
4V{U,5Z@w6Y><@ˆ>7bP=?9 ><5768P9Z;´=3><><@,A9Z=EDQ8P6G +6’‡PpPG?9<@œ><576=?:8 9<;)> =Z‰ V¨„`=EA+>7b .q68:AŽ NW?š 1@ 9Z=E;=EG 6Y5>7V’><bF6Y> 9<bPV„`8=?8
š=?DQpP5<@I2 )=E9­;´V)APpPGE6Y>7@A´•¯d6JUVQ8¯>7=E8pPVpP9u„u6a@ˆ9<=?DQ8F6*G UVQ9ƒ80 AB187<=Z‰ 1¢ 8X><bP@>7=?;´@ˆA:VQ;6Y=E8
>7b:=E9c9<=?DQ8F6*G :AP@”F8P@A†6*9 9CZ> =Z‰ P=E9`DQ=3aQ@,8q•¯d
9CZ> =ZD‰ 4E9<;F =ZD‰ GUVQ9ƒ80 AB137H=Z‰
 2‰
L
V
τ
T
t
š =EDQpP5Z@{2)­ŽpPGE9Z@KDQ@,8P@576Y><VQ5cVQp:><‡Pp:>
¢ 8q>7bP@¨5Z@!pP@,8:UdqAPVQ;´6=?8
>7bP@IšFVQpP5Z=E@,5o><5768:9Z¨VQ5Z; V¨ 9CZ>=Z‰o=E9
CZ 1¼‰D4 9<=?8  A .A 13. 13”‰ 02  1
137 ‰ 02  1187…‰
 0Q‰
„`=3>7bq8 ¢u68:A\• @,=E8:D¹><bP@KAPp:> dqU”d+UGE@Y1
 0‰¼5<@,‡:5<@,9Z@,8¯>79&>7b:@T¨5<@ !pP@8PUdI9Z‡@U>75ZpP; V¨><bP@>7576Y8P9<;=?>Z>7@,A9Z=EDQ8P6GwUVQ8P9Z=E9Z><=E8PD
V¨6 AP=?9<U,5Z@><@I9Z=E8PU ik¨pP8:U>7=?VQ8U,V8aVQG?a@,Ax.;V+APp:G 6Y><@,AŠ‰­><V¹•@U@,8¯>7@5<@,AC65<VpP8PA>7bP@
U,65<5Z=E@,5I¨5<@ !)p:@,8PU”d›9<‡ @,U”>75<p:;[1e¢ 8¡š=?DQpP5<@
0P.•F‰I>7bP@´¨5<@ !pP@8PUdx9<‡ @,U><5<pP; V¨c>7bP@
‡Pp:GE9<@X;V+APp:G 6Y><@,A¡Uw65Z5<=?@,5¹=E9¹AP@‡P=EU”>7@,(A o9<bPV„`=?8PD VQ8:G?dx><bP@[‡ VQ9Z=?>7=3aQ@qbF6G3¨ˆV¨W>7bP@
9Z‡@U>75ZpP;X1
4cbP@JAP@,9Z=EDQ8†VY¨>7b:@J>75<68P9Z;´=3><>7@5`9Zd)9Z><@,; =E9O5<@,GE6Y>7=3aQ@G?d9Z=E;‡PGE@KVQ8PU@J><bP@I„u6waQ@ i
¨VQ5Z; =E9&AP@”>7@,5Z;´=?8P@,A¼14cbP@ ;´VQ9 >=?;´‡ VQ5 >…68¯>&U,V8P9<=?AP@,5<6Y>7=?VQ8J=?9><bF6Y>>7bP@ AP@a)=?U,@ ><bF6Y>
9Z@,‡F65<6Y>7@9œ>7b:@I><5768P9Z;´=3>ˆ6Y8PAC5Z@,U,@=?a@{U…bF68P8:@,G8:@,@,A:9œ>7V´•@J9<@,G?@,U”>7@,ACUw65Z@¨pPG?G?d>7V
6U…b:=E@a@’bP=?DQbX=E9<VG 6Y><=EVQ8 P69W9Z=EDQ8:=?FU,68¯>WG?@w6 }6D@IVY¨T>7bP@K>75<68P9Z;´=3><>7@5`9<=ED8F6G>7V¹>7bP@
5Z@,U,@=?a@,5`Uw68X• @I=?8¯>7@,5Z‡P5<@”>7@AC69`5Z@,U,@=?a@,AC9Z=EDQ8P6G·‡ V„ @,51
+ $ $ !%/ % !#!%$'& (* +/-
c4 bP@W;6Y=E8¹U,VQ8:9<=EA:@,576}>7=EV8P9 9<‡ @,U,=3FUW><VJA:@,9<=?DQ8P=?8PD’6I9<=?8PDQGE@O68¯><@,8P8F6I9<U,6Y><><@,5<V;´@”>7@,5
9 d+9 >7@; „`=?><b¡6CbP=?DQb+imŽ NOšº9 „`=?>7U…b:=E8PD†8:@> „ VQ5x=?8PU,G?pPAP@ )¹><bP@
=?9<VQGE6Y>7=?VQ8 • @> „ @,@8
><5768P9Z;´=3>68PA’5Z@,U@,=?a@u•P5<68PU…bP@968PAI>7bP@uŽ NWš[68PA’A:p:> dIUd)U,G?@uV¨P><bP@ ><5768:9<;=?><><@,A
9Z=EDQ8F6YG 14cbP@=?9<VQGE6Y>7=?VQ8 =E9I68 6Y><><5<=?•Pp:>7@¹V¨u>7bP@9Z„`=3>7U…bP@9’• @,=E8:D[pP9Z@,A·14cb:@
Ž NWš
68:A¡><bP@APp:> dxUd)U,G?@q65<@U…bPV9<@,8¤>7V 9<6Y>7=?9Z¨yd ><bP@576Y8PDQ@U,V8PAP=?><=EVQ8:9,1 4cbP@q9<=ED8F6G
5Z@ F@U>7=?8PDVYSeV¨6¹>…65ZDQ@>OGEV)Uw6}>7@,A[6Y>W6´A:=E9Z>768PU@ 6„u6wdq¨5<VQ;“><bP@’6Y8><@,8P8P6´bF69
6q5<VQp:8PA ><5<=E‡ V¨c0 {1
4cbP@¹>7=?;´@ '= >7Vq>75<6a@,G >7bP=?9KAP=E9 >…68PU@6}>{6aQ@GEV)U,=?> d ! =E9
DQ=3aQ@8X•¯d><bP@K5<@,GE6Y>7=?VQ8)
]
τ
1/f0
t
1/f r
!"
|S(f)|
fr
sin x
x
f0 - 1/ τ
f0 + 1/τ
f0
f
$#%'&)(*,+-/.
0"12
.3"
45,( 6
š=EDQpP5Z@I0 )45768P9Z;´=3><><@,Aq‡PpPG?9<@K9<=?DQ8F6G·=?8
>7bP@><=E;@K68PA¨5<@!)p:@,8PU”d[A:VQ;6Y=E8
0 ƒ^¯‰
4cbP@a@,GEV)U,=3> d=?8
¨5<@@,9<‡P6U,@I=E9u><bP@K9<‡ @,@A[V¨G?=EDQb¯> :^ `2 F;€Y9,1 šFVQ5c6 DQ=3aQ@8[Ž NOš ><bP@K;6}µ+=?;{pP;AP=E9 >…68PU@KAP@><@,U>76•PG?@I=?8X6¹9Z=E8PDGE@Ud)UGE@K=E9cDQ=3aQ@8[•¯d
><bP@K5<@,GE6Y>7=?VQ8)
Ž NWš 4 0 2 4 0 4 0 GŽ NOš
.g‰
°±bP@8H>7bP@[5<@,U@,=3aQ@C„u6waQ@¨V5<; .^F.•F‰<‰=?9´UVQ8¯aQVQG3aQ@AH„`=?>7b³VQ8P@C‡:pPGE9Z@›ƒ^Pƒ6¯‰Z‰ ><bP@W8:VQ5<;´6GE= $,@AUpP5Za@ y>7576Y8P9<GE6Y>7@A´>7VIAP=?9Z>…6Y8PU,@w‰ 9ZbPV„`9u>7bP@O‡ VQ5Z><=EVQ8V¨¼><bP@O9<=ED8F6G
¦
=D4
7
9;:=<
>9?:=<
*8
Normalized Receive Waveform
Normalized Transmitted Energy (single pulse)
1
1
0.8
0.8
0.6
0.6
0.4
0.4
0.2
0.2
0
0
0.2
0.4
Time, s
0.6
$&) /./
4/,
0.8
0
0
1
0.2
0.4
0.6
Time, s
−6
x 10
( 6
#%
,+=,
0.8
1
−6
x 10
=1 ./
š =EDQpP5Z@^)° 6a@¨VQ5Z;´9c><V• @KU,VQ8¯aVQG?a@,A·1
5Z@,U,@=?a@,A±9<@,@¹FDQp:5<@gŠƒ6¯‰Z‰”1lWV><@¹><bF6Y>J=?¨­>7bP@¹>…6Y5<DQ@”>JG?=E@9=E8e>7bP@8pPGEG5Z@,DQ=?VQ8=?>
„`=?GEG)8PV>• @oAP@”>7@,U”>7@A·1¢k¨Š>7bP@`Ž NOšC„u@5<@cGEV„ @,5Z@,A¹@,8PVpPDQb Q><bP@o>…65ZDQ@>„uVQp:GEA U,V;´@
•F6YU=?8¯>7VJa)=?@„K14V 6U,UVQpP8¯>u¨V5­><bP=E9­‡PbP@8PVQ;@,8PVQ(8 :VQ8P@WU,VQp:GEAp:9<@W> „ V{AP=3S¼@5<@8>
Ž NOš9¹68PA¡9Z„`=3>7U…b¤•@”> „u@@,8¤>7bP@
> „ VP1›4cbP=?9 9<Uw6}><>7@5<VQ;@><@,5 APV)@,9 8:V>¹pP9<@q9ZpPU…b
69 d)9Z>7@; ·68PA =E9`>7b:@,5<@”¨VQ5<@’VQ8PG?dXpP9Z@¨pPG=E8†6´9<‡ @,U,=3F@,Ae9ZbPVQ5Z>œ5768:DQ@q><V
‡P5Z@aQ@8¯>
6G?= 69Z=E8PD¯‰”1
²=?aQ@8†>7bP@’;6}µ+=?;{pP; 68PA ;=E8P=?;{pP;\9Z‡F6U,=E6G5768PD@{V¨ >7bP@’576AF6Y5 ><bP@¹Ž NWš
68:AA:p:> d Ud)UGE@cUw6Y8• @cAP@”>7@,5Z;´=?8P@,A¼1T4cbP@c‡PpPG?9<@c„`=EA+>7b .(¯=E9T9Z@>­•¯d{>7bP@o><5<=?‡{>7=E;@
V¨><bP@{;=E8P=?;{pP;“A:=E9Z>768PU@’„`bP=EG?@J><bP@{‡ @,5<=?V+(A 0 ¼=?9œ9Z@>K•¯d[><bP@’><5<=E‡[>7=E;@IV¨>7bP@
;´6}µ+=E;{p:; AP=?9Z>768PU,@Y1 W9Z=E8PDX@ !pF6Y><=EVQ8 .^¯‰W>7VqAP@”>7@,5Z;´=?8P@{>7b:@,9<@¹>7=?;´@9 ·><bP@¹APp:> d
U”d+UGE@ rU,68X•@KUw6YGEU,p:G 6Y><@,A F6Y8PA>7bP@IŽ NWš¡;´6}µ+=E;{pP;=E92 0I1
4 0 . x2w Q  LQ‰
4cbP=?9o9Zd)9 >7@,;Ÿ„o69cA:@,9<=?DQ8P@Aq><V’„ VQ5 ¨5<VQ; 2 ’>7V’g¯ T; +9<V¹6¹0 ‚APp+> d
Ud)UGE@68:A
6¹Ž NWš›V¨T^ ‘ "%$„ @,5Z@JpP9Z@,A·1
4cbP@5<@=E9{6C>7576YAP@”ikVS³• @> „ @,@8 ><bP@
;=E8P=?;{pP;ªAP@”>7@,U”>…6•:GE@AP=?9Z>…6Y8PU,@6Y8PAx>7bP@
‡ V„u@5cVQp:>7‡:p:> )T><bP@ˆ9<b:VQ5Z><@,5o>7b:@;´=?8P=E;{p:; AP=E9 >…68:U,@ +>7bP@DQ5Z@w6Y><@,5u><bP@K6;VQpP8¯>oVY¨
‡ V„u@5O• @,=?8PD DQ@8P@,5<6Y>7@A[•¯d
><bP@  >7bF6}>`DQ@><9cAP=?a@,5Z><@,A[6w„o6wd¨5<V;Ÿ• @,=?8PD >7576Y8P9 i
;=?>Z>7@,A¼1Tˆ8P@o„ VQpPG?AG?= Y@o>7VAP@GE=?a@,5 69;’pPU…b¹‡V„ @,5¨5<VQ; >7bP@ £>7V>7bP@`6Y8><@,8P8P6
¨VQ5c5<6AP=E6Y>7=?VQ869`‡ VQ9<9Z=E•PG?@ˆ>7V¹=E8PU5<@,69<@>7b:@I‡ V„u@5W@ U,=E@8PUdqVY¨><bP@K9Zd)9Z><@,;X1

7
Normalized Receiver Response ( F(R) ), dB
Normalized Receiver Response
1
0.8
0.6
0.4
0.2
0
0
10 20 30 40 50 60 70 80 90 100 110 120 130 140 150
0
−20
−40
−60
−80
−100
−120
0
10 20 30 40 50 60 70 80 90 100 110 120 130 140 150
Target Distance, m
$ Target Distance, m
,..,( . , / /
#*
./5/ 4+;.,( ./, /"
š=?DQpP5<@g) NO@U,@,=3aQ@5O5Z@,9Z‡VQ8:9<@K>7V¹>…65ZDQ@>`AP=?9Z>768PU,@´=EAP@,6G·9Z„`=3>7U…bP@9…‰ 1
$ $B,$'
$ 4cbP@5<@ 65Z@†9<@”aQ@,5<6Gˆ¨.6U”>7VQ5Z9
„`bP=EU…b±=E8 FpP@,8:U,@ 9<U,6Y><><@,5ZVQ;´@”>7@5‡@5Z¨VQ5Z;68:U,@†9ZpPU…b
69K>7b:@´>75<68P9Z;´=3><>7@A ‡ V„u@5BC >7bP@´D¯6=?8 V¨u>7bP@>7576Y8P9<;=?>Z>7=E8:D[6Y8><@,8P8P6 C >7bP@
@”S¼@U>7=3aQ@6‡@5Z><pP5<@V¨u><bP@5Z@,U@,=?a)=?8PDC6Y8><@,8P8P6* ><bP@´A:=E9Z>768PU@ • @> „ @,@8x>7bP@
68¯><@,8P8F6[68PA ><bP@¹>…65ZDQ@>’68PA >7bP@576AP65JU5<VQ9Z9’9Z@,U><=EVQ8HƒNcncB:‰ ³VY¨u>7b:@´>…6Y5<DQ@”>w1
4cbP@WNcncB´VY¨68VQ• Z@U> =E9­AP@F8:@,A69­6KFU><=?>7=?VQpP9 65Z@w6I=E8¯>7@5<U,@‡:>7=?8PDK>7bF6Y> 6;VQpP8¯>
V¨O‡ V„u@5¹„`b:=EU…b „`bP@8 9ZUw6Y>Z>7@,5Z@,A¤=E9ZV>75ZVQ‡P=EU,6GEG3d ‡P5<V)APpPU@,9¹6 ‡V„ @,5 AP@8P9<=3> d¡6Y>
><bP@q5<@,U@,=3aQ@,5´@ !pF6Gc><V ><bF6Y>‡P5<V)APpPU@,A •d›><bP@XVQ• Z@,U”>w1³šŠV5¹><bP@q9<U,6Y><><@,5<V;´@”>7@,5
AP@9<=?DQ8›6G?GT>7bP@9<@¨.6U”>7VQ5Z9’;{p:9Z>{• @UVQ8P9Z=EAP@5<@,A =E8 V5<AP@5J>7VC@,9Z><=E;´6Y>7@ ><bP@
‡ V„ @,5
><bF6Y>T=?95Z@ F@U><@,A¹•F6U K>7VO>7bP@o9ZUw6Y>Z>7@5<VQ;@><@,5,1¢k¨F>7bP@ >7576Y8P9<;=?>Z>7@,568:A{5<@U,@,=3aQ@5 65<@
G?V+U,6Y>7@A’6Y>>7bP@ 976;@­‡PG 6U@ 6GEG¯>7b:@,9<@­¨.6U><VQ5<965<@ =E8PUVQ5<‡ VQ5<6Y>7@AJ=?8J>7b:@u;VQ8PVQ9 >…6Y><=EU
5<6AF65c@ !)pP6Y>7=?VQ8qDQ=?a@,8X•d
'C C B/4
ƒ]¯‰
.g A ‰ ¢k¨­>7bP@¹9<6;´@68¯><@,8P8F6q=E9p:9<@,Ae¨VQ5• V>7be>75<68P9Z;´=3>K68PAe5<@U,@=?aQ@ I=E95<@G 6Y><@,A >7V
C•¯d
C */4
 ¦Q‰
gA
f
68:Aq><bP@K;´V8PVQ9Z>76Y>7=?U576AP65c@!pF6Y><=EVQ8q• @,UVQ;´@9
ƒ¯‰
. g A‰ "OV„ @a@,5 >7b:=E9{9Z=E;‡PGE@´@!pF6Y><=EVQ8›APV)@,9 8:V> =E8:U,GEp:AP@68¯dxG?VQ9<9Z@,9 6Y9’¨VQ5{=?8P9Z>768PU,@
G?VQ9<9Z@,9`=E8>7b:@K><5768:9<;=E9<9Z=EVQ8G?=E8P@W¨5<VQ; ><5768:9<;=?><><@,5u><V68¯>7@,8:8F6:1
Œœ8:V>7bP@5=?;´‡ VQ5Z>768¯>U…bF6576YU>7@5<=?9Z>7=?U­><bF6Y>9<‡ @,U=?F@9>7bP@ 5<@U,@,=3aQ@5h9T‡@5Z¨VQ5Z;6Y8PU,@
=?9c=?>79c9Z@,8P9Z=?><=?a)=?> d :14cbP@I9<@,8:9<=?><=?a)=?> d=E9cAP@”>7@5<;=E8P@A[•¯d
><bP@K8PVQ=E9Z@=E8¯>75ZV+A:pPU,@A
=?8><V ><bP@C9 d+9 >7@;[1 RTa@,5Zd³U,VQ;‡ VQ8P@,8¯>V¨K6 ><@,;‡@576Y><pP5<@C6•Va@ 6•P9ZVQGEp:><@ $@,5ZV
‡P5ZV+A:pPU,@9I8:VQ=E9Z@ •@Uw6pP9Z@¹V¨ ><bP@,5Z;6YGa)=E•P5<6Y>7=?VQ8P91’4cbP=?9=E9ˆU,6GEG?@,A†>7b:@,5<;´ 6GT8:VQ=E9Z@ 724
#0 7:1u¢k>œAP@‡@8PAP9WVQ8†©uVG?> $;6Y8P8 h9OUVQ8P9 >…68¯
> 4 2 M^ x2w °±9…€ ><bP@I6•P9<VGEp:><@5<V)VQ; >7@;´‡ @,5<6Y>7p:5<@ 0 74 0f ‹68:AX><bP@K8PVQ=E9Z@K•F68PA:„`=?A:>7bXV¨>7bP@
5Z@,U,@=?a@,5 h9‡:5<@”ikAP@><@,U”>7=EV8’FG?><@,5 +14cbP@ 9<=?DQ8F6Gjik>7V}i 8PVQ=?9<@T5<6Y>7=?V`•@”> „u@@,8{>7b:@u‡ V„ @,5
V¨&><bP@ˆ9<=?DQ8F6G¼6Y>o><bP@=E8P‡:p:>cV¨68=?AP@w6G5<@U,@,=3aQ@5`68PA>7bP@ˆ><bP@,5Z;6YG¼8PVQ=?9<@ˆ‡ V„u@5c=E9
><bP@,8
4 4
ƒf¯‰
7 #0 7
¢ 8[6 5<@w6YG5<@,U@,=3aQ@,5W6APA:=?>7=?VQ8F6G8PVQ=?9<@ =?9c=E8¯>75ZV+A:pPU,@A[=?8q><bP@IAP=?S@,5Z@,8¯>`5<@U,@,=3aQ@5
9 >…6DQ@9 œ¨V5=E8P9 >…68PU@e•d³>7bP@ 6;‡PGE=3F@,5Z9,1 4cbP@ 8:VQ=E9Z@†FDQpP5Z@ ¡AP@,9ZU,5Z=E• @,9>7bP@
5Z@,APpPU”>7=?VQ8eV¨­9<=ED8F6G3i >7VYik8PVQ=?9<@I576Y><=EV• @> „ @,@,8 >7bP@ 5Z@,U@,=?a@,5K=E8:‡Pp:>I68PAe=3>79ˆVQp+>7‡Pp:>
69
4   #‰ !‰ "%$ C 4 "%$ C G "%$ C 4 G G G) & ‰
m2 ¯‰
„`bP@5<@ ‚=?9O><bP@IVa@,5<6GEG5<@U,@,=3aQ@5œD¯6=?8·1oŒW9<9<p:;´=?8PD´><bP@J5Z@,U@,=?a@,5W=E9W6Y>œ5ZV+V;“>7@,; i
‡ @,5<6Y>7pP5Z@ 0 7/4 0fQ ' +>7bP@K5<@U,@=?aQ@5W8:VQ=E9Z@ˆFDQpP5Z@I• @,UVQ;@,9
4 2 4 2 #0 7 (
m2Q2‰
4cbP@8:VQ=E9Z@œFDQpP5Z@ˆ¨VQ5c6{‡F6Y9<9<=3aQ@UVQ;´‡ VQ8P@8¯>c„`=?>7b´>7bP@=?8P9<@5Z>7=?VQ8GEV9<*9 )¡=E9oA:@F8P@A
6,9 + .2 4 2 º/ ) £2‰ 0 0 7
m20Q‰
¢k¨>7bP@I6;’•P=E@8>c><@,;‡@576Y><pP5<@ 0 4 0 7`>7b:@I8:VQ=E9Z@ˆFDQpP5Z@I9Z=E;‡PGE=3F@,9 >70V 41)c1
2 B,4
BC C 9
7
ŒW8e6U><pF6G5Z@,U@,=?a@,5UVQ8P9<=?9Z><9V¨­6U><=?a@¹68PA ‡F69Z9<=3aQ@ AP@”a+=?U,@9Uw69ZUw6AP@A >7VQDQ@”>7bP@5,1
Œ „u6wd>7V¹Uw6G?U,pPGE6Y>7@ˆ><bP@K8PVQ=?9<@ˆFDQp:5<@KV¨6U,69<U,6AP@,A[9Zd)9Z><@,;\=?9+_0 2 GGG
41
H 2 H
m2^¯‰
„`bP@5<,@ ) 4 2 ¨VQ5`6¹‡F69Z9<=?a@KAP@a)=EU@1
¢k>O=E9`UGE@w6Y5`¨5ZVQ; m2^¯‰u>7bF6}>œ6GEV„ 8:VQ=E9Z@J6Y;´‡PG?=?F@5u„`=?><b[5Z@,GE6Y>7=3aQ@,G3dbP=?DQbqD¯6=E8X68:A
6 GEV„8PVQ=?9<@
FDpP5<@q9<b:VQpPGEA¡• @‡PG 6YU,@,A¤69¹UGEVQ9Z@
>7V >7bP@q6Y8><@,8P8P6 69 ‡ VQ9Z9<=E•:GE@
>7V
5Z@,APpPU@W9Z=EDQ8P6G:>7VI8PVQ=?9<@`576}>7=EVA:@,DQ5<6AF6Y><=EVQ8 6Y9 ><bP@`8PVQ=?9<@cFDQpP5Z@`V¨¼><bP=E9AP@”a)=EU,@`„`=EG?G
APV;´=?8F6Y>7@W>7bP@K8PVQ=?9<@ˆFDpP5<@KV¨>7bP@KUVQ;´‡ VQ8P@8¯>79c>7bF6}>O¨VGEGEV„K1
"WV„ @a@,5 O>7bP@[AP@P8P=?><=EVQ8 V¨8PVQ=?9<@XFDQpP5Z@C=E8  2^¯‰ @,;´68F6}>7@,9¨5<VQ; 6 9<=ED8F6G
9ZVQpP5<U@o6Y>5ZV+VQ; ><@,;‡@576Y><pP5<@ 0 7 • @,=?8PDO¨@A{AP=?5<@,U”>7G3d=E8¯>7V`>7b:@u5<@U,@=?aQ@59 d+9 >7@;[1¢ 8
6O‡P576U”>7=?Uw6G576YAF659Zd)9Z><@,; >7bP@u68¯>7@,8:8F6W>7@;´‡ @,5<6Y>7p:5<@ 0 ­6G?9<VœbP69><Vœ• @ >76 @8{=E8¯>7V
6UU,VQp:8>W„`bP@,5Z@ 0 K=E9`>7bP@K>7@;´‡ @,5<6Y>7pP5Z@JVY¨T>7bP@I@,8¯a)=E5ZVQ8P;@,8¯>œ„`b:=EU…b[><bP@{6Y8><@,8P8P6
VQ•:9<@,5 aQ@9,1e4cbP@
9 d+9 >7@; 8PVQ=?9<@><@,;‡@576Y><pP5<@´=E9I>7bP@8 0 4 0 0 „`bP@,5Z@ 0 =?9’>7bP@5Z@,U@,=?a@,5 8PV=E9<@
><@,;‡@576Y><pP5<@
68PA¡=E9{U,6GEUpPG 6}>7@,A ¨5<VQ; >7bP@5Z@,U@,=?a@,5¹8PVQ=?9<@
FDpP5<@ 6,9 +h^ 0 /4‹ H2‰ 0 7
m2wg‰
°±=3>7:b 0 :><bP@K9Zd)9Z><@,;r9<@8P9<=3>7=?a)=3> d
• @,U,V;´@9
4 #0 (
m2LQ‰
ŒW965<p:GE@’VY¨>7bpP;{• =3>ˆUw6Y8 •@{9<6=EA[>7bF6Y>ˆ69<=?DQ8F6G=E9W5<@GE= 6Y•PG?dqAP@”>7@U>…6Y•PGE@{=3¨ =?>W=E9
2 TAP© 6Y•VaQ@K>7b:@I8:VQ=E9Z@ FV+V5 PAP@”>7@5<;=E8P@A[•¯d P1
:
:
:
:
9
9
(* +/-3! , $ - - ! ,
4cbP@W9<@,6{=E9 68
@”µ)><@,8PAP@A>…65ZDQ@>)><bP@œ5Z@>7p:5<8P@A9<=ED8F6GŠU,VQ;@,9­¨5<VQ;‚;´68¯d¹9<U,6Y><><@,5 i
=?8PDq‡VQ=?8¯>ˆ>…65ZDQ@><9„`bPVQ9<@GEV)Uw6}>7=EV8P965Z@¹¨.6Y=E5<G3dC5<68PAPV;§68PA 8PVX9<=?8PDQGE@ 9ZUw6Y>Z>7@5<@,5
APV;´=?8F6Y>7@9,1›¢ 8¡>7bP=?9 Uw69Z@X><bP@q;6YDQ8P=?><pPAP@V¨O>7b:@X5Z@><pP5<8P@A³9Z=EDQ8F6YGoAP@,‡ @,8:AP9VQ8
><bP@ 65Z@w6
=EG?GEpP;=E8P6Y>7@A[•¯dC><bP@{5<6AF65ˆ• @w6Y;[1K4VUw6G?U,pPGE6Y>7@I>7bP@{‡ VQ9Z9<=E•:GE@{5<68PDQ@{VY¨
5Z@,U,@=?a@,Ax‡ V„u@5 ><bP@@”S¼@U><9’V¨ >7bP@•@,6;ªVQ8 ³;{pP9 >’• @´6U,UVQpP8¯>7@A ¨VQ5K=E8e>7bP@
5<6AF65@ !pF6Y><=EVQ8 6Y8PA 6ˆ;V+AP=3F@,A =E9=E8¯>75ZV+A:pPU,@A·14cbP@c9Z@w6ˆ@U…bPV=E98PV„ A:@,9<U5<=?•@A
=?8q><@,5Z;´9cV¨>7b:@I5<6AF65cU5<VQ9Z9W9Z@,U”>7=EV8 e‡ @,5`pP8P=3>O65<@,6 69
7 4
m2]¯‰
2Q2
„`bP@5<@ G A:@,8PV><@,9O@,8P9Z@,;{•:GE@I6waQ@,5<6DQ=?8PDP1
©o69Z@,A¹VQ8’><bP=E9AP@P8P=?><=EVQ8{VY¨Š8PVQ5<;´6G?= $,@A’5<6AF65U,5<V9<99<@U><=EVQ8Y>7bP@o5<6AF65@!pF6}i
><=EVQ8£;{p:9Z>q8PV„ •7 @e;V+AP=3F@,A >7V¤6U,UVQpP8¯>q¨VQ5><bP@†aY65<=E6Y>7=?VQ8H=E8 7 6YU,5<V9<9>7bP@
• @w6;J„`=EA:><b·1W¢k¨ =E9WAP@F8:@,A†¨VQ5œ6´DQ=3aQ@,8 A:=?S@,5<@8¯>7= 6YG6Y5<@w6´68PA†>76 =E8PD´=E8¯>7V6U”i
UVQpP8¯>>7bP@c@”S¼@U><9­VY¨ ><bP@`68¯>7@8P8F6• @w6; ‡F6Y><><@,5Z8 Q>7bP@c5<6AF65@ !pF6Y><=EVQ8 =?9T;´V)AP=3F@,A
><V¹><bP@K;´V5<@DQ@8P@,5<6GUw69Z@KV*¨ + g BC 7
B 4
m2¦Q‰
.g A&‰ A „`bP@5<@K>7bP@K=?8><@,DQ5<6G·=?9cVa@,5c>7b:@I• @w6Y;\65<@,6:1
šFVQ5W68F67 5Z5<V„ •@,6;r9Zd)9Z><@,;ž9ZpPU…b 69W><bP=E9 ><bP@’>75<68P9Z;´=3><>7@AC‡ V„u@5 ¼><bP@’AP=?9 i
>768PU,@`6Y8PA U,68•@cUVQ8P9<=?AP@,5Z@,AU,VQ8:9Z>…6Y8> 6U5<VQ9Z9>7bP@`68¯><@,8P8F6K• @w6;‹68PA‡PpPGEG?@,A
VQp+>uV¨¼>7bP@O=E8¯>7@DQ576YG 14cb:@œ=E8¯><@,DQ5<6GŠ=E9 >7bP@89<VGE@,G3d6I¨pP8:U>7=?VQ8VY¨¼>7b:@ˆ68¯>7@8P8F6’DQ6=E8
68:Aq><bP@I65<@,6=?GEG?pP;´=?8F6Y><@,A)
'C 7 ' 4
m2¯‰
g A‰ A 4cbP@W65Z@w6=EG?GEpP;=E8F6}>7@,A’=E9AP@”>7@,5Z;´=?8P@,A•¯d >7bP@`5<6AF65 _9¨V)V>7‡P5Z=E8¯>w14cbP@`¨V+V><‡P5<=?8¯>=E9
69Z9<pP;@,A><V{•@K6JU,=E5ZU,G?@œ„`=?><b
576A:=EpP9 4e>768 +„`bP@,5Z
@ ’=E9u><bP@68:DQGE@ˆ• @> „ @,@8
8F6YAP=E5’68PA›>7bP@bP6G?¨ ik‡V„ @,5 68:DQGE@ 0 68PA¤NŸ=?9’>7b:@AP=E9 >…68PU@•@”> „u@@,8¡>7bP@
68¯><@,8P8F668PA†9Z@w69ZpP5Z¨.6U@1WšFVQ5W9Z;6YGEG&68PDGE@,9 >…68 9<V Š„`bP=?U…b†=E9
6{aY6GE=?Aq69<9ZpP;‡:>7=?VQ8¨VQ5o>7bP=?9c8F65Z5<V„`•@,6;\68¯><@,8P8F6+1
©u@Uw6pP9Z@o>7bP@u68¯>7@8P8F6ˆbF696œU,=?5<UpPG 65• @w6; }><bP@c68¯><@,8P8F6WD¯6=E8JUw68 • @ „`5Z=?>Z>7@,8
=?8¤><@,5<;9V
¨ P Q‰ VQ8PG3dQ1±B)@aQ@576GW9Z>768PAF65ZA ¨pP8:U>7=?VQ8P9¹„u@5<@†‡:GEV>Z>7@,A¤=E8³‘C6Y><G 6•
9ZpPU…bH69¹9Z=E8 U,VQ9 o68PA¤9<=?8PU =E8¡VQ5ZAP@,5 >7VeF8PA¡>7b:@X¨pP8:U>7=?VQ8›>7bF6}>:>79•@9Z>¹>7bP@
;´6=E8›G?VQ• @V¨W><bP@[68¯>7@,8:8F6 • @w6Y; VaQ@,5¹>7b:@XbP6G?¨ ik‡V„ @,5¹•@,6;’„`=?A:>7bº* "WŽ © °º‰”1
4cbP@IU,V9 P ‰<‰ ¨p:8PU><=EVQ8XUw6;@>7bP@IU,G?VQ9<@9Z>œ68PA[=E9`pP9<@AC=?8X><bP@J5<6AF65`@ !)pP6Y>7=?VQ8 „`bP@5<@ ³=?9ˆ6
UVQ8P9Z>768¯>I68PA UVQ9 P Q‰Z‰ 4 0 Q0
6}>œ>7b:@ "œŽ © ° 1&B+VG?a)=E8PD¨VQ5 DQ=3aQ@9 4 0^ _]Q:1°±=3>7b /7W69c>7bP@K;´6}µ+=E;{pP;D¯6=?8X6Y>`•V5<@,9Z=EDQb¯> •@U,VQ;@,9
4 /7¼ P ‰<‰ 4 /7ŠU,VQ9w P ‰<D
‰ 4 /7ŠU,VQ9 ‰
m2f¯‰
¢ 8P9Z@,5 >7=E8:D  2wf¯‰u=E8¯>7V[m2¯‰ P>7bP@K5<@U,@=?aQ@AC‡ V„u@5OU,68X•@KUw6YGEU,p:G 6Y><@,Aq69
' 4
'C 7
g
,+
!"*$%) #&7 %(' %) 7 7
-
A‰ 20
UVQ9  Q ‰*A(A(.
ƒ0 ¯‰
„`bP@5<@­A(.œ=?9>7bP@ =E8¯><@,DQ5<6Y>7=?VQ8K65<VpP8PAK>7bP@­U,=?5<U,p:;¨@5<@,8¯><= 6GQA:=E;@,8P9Z=EVQ8V¨+>7bP@ • @w6;X1
lOV„ pP9Z=E8PD
><bP@{=?AP@,8¯>7=3> d 0TUVQ9  ‰*4  2 ³UVQ9ƒ0 ‰<‰œ6Y8PA 9<pP•:9Z>7=3>7p:><=E8PD=E8¯>7Veƒ0 ¯‰
DQ=3aQ@9
'C 7 7 ' 4
2
,+
"$#&%'
*%) 7 - )%7
 g A‰ 0
¢ 8¯>7@DQ576}>7=E8:D¹„`=3>7bq5<@9<‡ @,U”>W><V .68PA { DQ=3aQ@,9
BC 7 7 A
+”2
U,VQ9 0 Q ‰ -,AA(.
0 0 U VQ9 0 ‰
0 Z9 =E8· 0 Q ‰
ƒ0+2‰
ƒ0Q0Q‰
. g A‰ 7"&#&%('
šFVQ5’><bP@q68¯>7@8P8F6 Q0 4¥2Mf 4ž _ Q^Q^o5<6A­68PA¡@”a6YGEpF6Y><=E8PD¤ƒ0Q0Q‰JD=?aQ@9{>7bP@
F8P6G·¨VQ5<;ŸV¨>7bP@K5<@U,@=?aQ@AC‡ V„u@5W6Y9
B 4
'C 7 7 2 M0Q¦Yg 2 ƒ0^¯‰
4cbP=?9&Uw68J•@ ;V+AP=3F@,AK>7VW6UU,VQpP8¯>¨VQ5>7bP@ 5Z@,U,@=?a@,5DQ6=E8I696c¨pP8PU><=EVQ8IV¨:AP=E9 >…68PU@
´ K‰9<@,@IgŠ•Š‰<‰o69
B 4 7
'C 7 7 G
2 0¦Yg x2 ´ K‰
ƒ0Yg‰
B+=?8PU,@ BC =E8 ><bP@´5<6AF65@!pF6Y>7=?VQ8 =E9ˆ>7b:@¹><5768:9<;=?><><@,Ae‡ V„ @,5J6Y>K>7b:@´6Y8><@,8P8P6
><@,5<;=E8P6GE9G?VQ9<9Z@,9ˆ=?8C>7bP@J> „uVq9Z„`=3>7U…bP@9.9Z@,@¹š=?DQpP5<@’LQ‰W;’pP9Z>K6YGE9<V•@’>76@8 =E8¯>7V
6UU,VQp:8> „`bP@,8U,6GEUpPG 6}>7=E8:DK><bP@œ5Z@,U@,=?a@,A‡ V„u@5,1ŒœG?9<VI>7bP@W5<@,U@,=3aQ@,A‡ V„u@5o=E9­Uw6YG3i
UpPG 6Y><@,A†=?8 6waQ@576DQ@ 9Z@,8P9Z@ 9ZV BC =E8› 0^¯‰`;{pP9 >ˆ• @’„u@=EDQb¯><@,Ae•¯d[><bP@{APp:> dCUd)U,G?@1
ŒW9<9<p:;´=?8PD68†=?8P9<@5Z><=EVQ8[GEVQ9Z9œV¨ 0AF© ‡ @,5W9Z„`=3>7U…be68PA†6APp:> dCUd)U,G?@{V¨­0 >7bP@
6waQ@576D@O><5768P9Z;´=3><><@,A ‡ V„ @,5­• @,U,V;´@9 'C 4 0 AF©u;  œ¦Q‰:AF© g AF6© 4 fTAF©u;X1
°±=3>7b 4\0 0Q¦U; 68PA ,7 4\7 0fTAF© =­=?8P9<@5Z><@,Ax=?8£ 0Y^¯‰ >7bP@¹aY6GEp:@,9J¨V5K><bP@5Z@”i
U@,=?a@,AX‡V„ @,5 A:@,‡ @,8PAP=?8PDVQ8 6Y8PA 65Z@KGE=E9 >7@A=E8q46Y•PGE@ 2Q1
4V³9<‡ @,U=?¨yd£><bP@ 5Z@,U@,=?a@,5[9<@,8:9<=?><=?a)=?> d œ>7b:@ 5Z@,U,@=?a@,5C8:VQ=E9Z@ePDQpP5<@ ;{pP9 >[P5<9Z>
• @U,6GEUpPG 6Y><@,A·1X4cbP@
8:VQ=E9Z@´FDQp:5<@´¨V5J>7b:@576YAF65’5Z@,U@,=?a@,5{=?9JA:VQ;´=?8F6Y><@,A •¯d >7bP@
=?8P9<@5Z>7=?VQ8±GEVQ9Z9V¨I>7bP@ 68¯>7@8P8F6¡9Z„`=?><U…b 6Y8PA ><bP@eF5<9 > &lOŒ¥9 >…6D@1‚Œœ9Z9<pP;=E8PD
2^
B 4 7
_ :2 7 4 2 Q Q B 2 T;‰ i)]gP1_Q^TAF©u;
iF2wgP1_Q^TAF©u;
B.g¯ T;‰ i+¦]:1_¯¦AF©u;
i)0]:1_¯¦AF©u;
46•PG?@ 2 )­NO@U,@,=3aQ@A[‡ V„u@5
7 4
><bP@9 „`=?>7U…b›bP69 68x=?8P9<@5Z><=EVQ8 GEVQ9Z9’V¨O0AP©–68PA ><bP@
5<@U,@=?aQ@5{VQ‡ @,5<6Y>7@9{6Y>’5<V)VQ;
><@,;‡@576Y><pP5<D@ 0 7 }><bP@ 9 „`=?><U…b_98PVQ=?9<@FDQpP5Z@ =?9 D41) 4º0AF© 2Q1M]+1R9Z><=E;´6Y>7=?8PD
6 8PVQ=?9<@ˆFDpP5<@ ¨VQ5o><bP@ lOŒ V¨T0AF©º6YGE9<V:>7bP@5Z@,U@,=?a@,5O8PVQ=?9<@ˆFDQp:5<@K•@U,VQ;@,9
4 / £2‰ ) 4 2_] ¤ _] G¯2M] 4 0 ML] g 32AF©
4V¡Uw6G?U,pPGE6Y>7@C><bP@ 9Zd)9 >7@,; 8:VQ=E9Z@†>7@,;‡ @,576}>7pP5Z@ `>7bP@ 68¯>7@8P8F6x>7@;´‡ @,5<6Y>7p:5<@ bF69
F5Z9Z>J>7VC• @@,9Z><=E;´6Y>7@A·1qŒœUU,VQ5ZAP=E8PDX>7V + g - ><bP@
šF5<@9<8P@G 5Z@ F@U><=EVQ8xUV+@ U,=E@8¯>’¨VQ5
><bP@†V)U,@w6Y8H=E9 ML 68:A³>7bP@†68¯>7@,8:8F6 >7@;´‡ @,5<6Y>7pP5Z@C=?9@”µ+‡P5Z@,9Z9<@,Aº69 0 4
m2 ‰ 0 724 2L ¹1P°±=3>7bX>7bP=?9`aY6G?pP@I68PAx 2wg‰u><bP@J9 d)9Z>7@; 8:VQ=E9Z@I><@,;‡@576Y><pP5<@
=?9
0 4 0 ?0 /4 0  £2‰ 0 74 2L {2 ML] G}0fQ 4º] ¯0 hg 4cbP@9 d)9Z>7@;\8PVQ=?9<@ˆ•F6Y8PA:„`=EA+>7b {=?9o9<@”>O•d´>7bP@8P65<5ZV„ @,9 >`FG?><@,5o=?8
>7bP@KNOšx9Zd)9 i
><@,; )„`bP=?U…b
=E8>7bP=?9uU,69<@W=E9 ><bP@Wa)=?AP@,V{Vp:>7‡Pp+>o9Z>76DQ@œ6Y¨y>7@5 >7b:@œ@,8¯a@,GEV‡@ˆA:@>7@U><VQ5,1
4cbP=?9KVQ‡+im6Y;´‡eU,=?5<UpP=?>KbF6Y8PAPGE@9I><bP@¹FG?><@,5<=?8PDq68PA 9<@”>79K>7bP@‡P5<V‡@5IVp:>7‡Pp+>’5<68PDQ@
><bF6Y>`„`=EG?G¼;´6Y>7U…bq>7bP@I6=?5<U576Y¨y> _9O68F6YGEVQD AF6}>…6¹9Zd)9Z><@,;X1 ŒW9<9<p:;´=?8PD><bP@K•F68PA+„`=EA:><b
V¨>7bP=?9c•F68PA:‡F69<9OFG?><@,5c>7V¹• @´2w Q
"%$K„`=EAP@ P>7bP@I5<@U,@,=3aQ@5W9Z@,8P9Z=?>7=3a)=?> dU,6GEUpPG 6Y><@,9
><V• @
4 #0 ( 4 2 _^Q 2 G]Q ¯0 hg GE2w Q " $ 4  _^ 2 °
4 iŠ20 +1MTAF© ;
4cbP=?9 ;´=?8P=E;{p:;¥A:@>7@U>76•PGE@9Z=EDQ8P6GuU,6GEUpPG 6Y><=EVQ8 =E9 69Z9<pP;=E8:D†>7bF6Y>’>7bP@q8PVQ=?9<@
FV)VQ5I=E9KAPVQ;=E8P6Y>7@A •¯de>7bP@5<;´6G 8PVQ=E9Z@1q¢ 8 ><bP@
6U”>7pF6YG­=?;´‡:GE@,8¯>76Y>7=?VQ8 ·>7b:@,5<@
65<@
V><bP@,5o¨.6YU>7V5<9o>7bP6Y>`U,VQ8¯><5<=E•:p:>7@;{p:U…bX;VQ5Z@ˆ>7V{>7b:@I8:VQ=E9Z@ FV)VQ5 P5<6=E9Z=E8PDJ>7bP@;=E8P=ji
;{p:; AP@”>7@,U”>…6•:GE@K9<=?DQ8F6G·9Z=EDQ8:=?FU,68¯>7G?d1
:
9
:
:
7
7
9
2wg
Antenna
LO
T/R
T/R
AMP
Reference
IF detect/
amp (HG)
Filter
Detector
CPLR
IF detect/
amp (LG)
T/R
AMP
Detector
š=?DQpP5Z@KL )­©uGEV)UA:= 6DQ5<6;V¨9 d)9Z>7@;[1
{ ” ’
c4 bP@9 >…6•:GE@
VQ9ZU,=?GEG 6}>7VQ5VQ5{G?V+U,6G­VQ9<U=EGEGE6Y>7V5 I‰ T=E9I>7bP@b:@w65 > V¨`>7bP@
><5768:9<;=?>
•P5<68PU…b·1 4cbP@ 9Z=EDQ8F6YGWDQ@8P@,5<6Y>7@A±•d >7bP@ §=E9´>7bP@ U,65<5Z=E@,5¨5<@!)p:@,8PU”dH>7bF6}>=E9
><5768P9Z;´=3><><@,A·1T4cb:=E9u9ZUw6Y>Z>7@5<VQ;@><@,5opP9Z@,9`6{AP=?@,G?@,U><5<=?UW5Z@,9<V8F6Y>7V5oVQ9<U=EG?G 6Y><VQ5Oƒ~NcK‰
• @,U,6pP9<@IV¨>7bP@KbP=?DQb ‹68PAXGEV„ ¨5<@ !pP@8PUdXAP5Z=?¨y>`VaQ@5O><@,;‡@576Y><pP5<@´APpP@K>7V >7bP@
@ µ:U@,G?GE@,8¯>><@,;‡@576Y><pP5<@c9 >…6•P=?GE=3> dJVY¨ ><bP@cU,@576;=EUu;6Y><@,5Z= 6G?9pP9Z@,AŠ‰ 1¢k>„o6Y9­UpP9Z><VQ;
;´6AP@¨V5’>7bP=?9{‡P5ZV Z@,U”>68PA¡VQp:><‡Pp:>79¹6†UVQ8¯>7=?8)p:VQpP9 ­9<=?8PDQG?@”ik¨5Z@ !pP@8PUd 9<=ED8F6GuVY¨
2^+1 0+2L² " $K6Y> 0Y TAF©u;\68:AX=?9`;´@U…bF68P=?Uw6G?G?d¹>7pP8P6•PGE@„`=3>7bP=?8 –L ‘ "%$Y1
8( "! $' ! ,
4cbP@’576Y><@{6Y>W„`bP=EU…b†><bP@{9Z„`=3>7U…bP@9K65<@J9Z„`=3>7U…bP@A =?9WUVQ8¯>75ZVQGEG?@,A†•¯dC6´>7=?;´@5…€YAP5Z=?a@,5
U=E5<UpP=?>,1Œ nc‘†KB><=E;@,5c=E9cp:9<@,AX>7VDQ@,8:@,576}>7@>7bP@K‡Pp:GE9<@„u6waQ@¨V5<; 68PA[6 AP5<=3aQ@,5
• V+V9Z>79c><bP@K9<=ED8F6G·pP‡q>7V ><bP@K‡P5<VQ‡ @,5caVQG?>76DQ@ˆ>7VVQ‡ @,5<6Y>7@ˆ><bP@J9 „`=?><U…bP@,91 4cbP@K> „ V
G?=E8P@9U,VQ8¯>75ZVQGEG?=E8PD
><bP@9Z„`=?><U…bP@,9’65<@¹¨@,Ae„`=?><b =?8¯aQ@,5Z9<@„o6waQ@”¨VQ5<;9,14V[;´=?8P=E;= $@
><bP@>75<68P9Z;´=?9<9<=?VQ8 VY¨œNOš @w6U…b¡UVQ8¯>75<VGuGE=?8P@¹¨@,@,A:=E8PD†><bP@
9Z„`=3>7U…bP@9 bF69 6†9Z@,5Z=E@,9
=?8PAPpPU”>7VQ5´68PA³6 ‡F65<6GEG?@,GcU,6‡F6U=?>7V5,1H4cbP@[‡F65<6GEG?@,GcUw6Y‡F6U,=3>7VQ5Z96Y5<@X‡PG 6U@,A Yg
6w„u6d[¨5<VQ;r>7b:@ 9Z„`=?><U…bP@,9K=?8†VQ5<A:@,5ˆ>7Vq6Y‡P‡@,6569ˆ68eVQ‡@8 U,=?5<U,p:=?>6}>ˆ>7bP@ 9 „`=?><U…b
2L
š =?DQpP5<@K] )¢ 8><@,DQ5<6Y>7@ACNOš›U,=?5<U,p:=?>`=E8q• Vwµ¼1
¨VQ5><bP@uNOšu14cbP@ =E8PA:pPU><VQ5<9pP9<@A 65Z@u6• VaQ@ >7bP@=E5&9<@G?¨ ik5<@,9ZVQ8F68¯>¨5<@!)p:@,8PU”dƒB:NWšT‰ •Pp+>J9 >7=?GEG‡:5<Va)=EAP@9<VQ;@ =E;‡ @,AF68:U,@6Y>>7b:@´NOš£¨5<@ !pP@8PUd V¨ VQ‡ @,5<6Y>7=?VQ8·1 W9Z=E8PD
6›9<=?;´‡:GE@ ;V+AP@GˆV¨’68 =E8PAPp:U>7V5q69X68º=?8PAPpPU”>7VQ5 œU,6‡F6U=?>7V5 ˆ6Y8PAº5Z@,9Z=E9Z><VQ5=E8
‡F6Y576G?GE@,G*6Y8PA 8PV„`=E8PD†><bF6Y>J>7bP@
=?;´‡ @,AP68PU,@´=E9JDQ5<@,6Y>7@9Z>¹6Y>J>7bP@B:NWš VQ8:@Uw6Y8
AP@”>7@5<;=E8P@ >7bP@u6‡P‡P5ZVwµ:=?;6}>7@aY6GEpP@­V¨FU,6‡F6U=?><VQ5,14cbP@,(8 ¯pP9<=?8PDW><bP@oNOšX¨5Z@ !pP@,8:Ud 68X6‡:‡P5<Vwµ+=E;´6Y>7@=?;´‡ @,AP68PU,@U,68X•@¨VQpP8:A·1
š=?DQpP5<@¦ ) 4c=E;@,5…€}AP5<=3aQ@,5uU,=E5ZU,pP=3>w1
4cbP@o9 „`=?><U…bP@,9Tp:9<@,A „ @,5Z@`‘[Œ€ncI‘\‘XŒB)°º0 Q Q W9Z=E8PDGE@”ik‡VGE@ APVQpP•:GE@”i >7bP5ZV„
2]
ƒ B:Ž ~ˆ4O‰ P„`bP=?U…bC‡P5ZVa)=?AP@I6;=E8P=?;{pP;‚V¨g¯ TAF©±V¨=?9<VQGE6Y>7=?VQ8¨5ZVQ;\~Knº0Y ² " $1
©u@Uw6p:9<@JQ TAF©±V¨T=?9<VQGE6Y>7=?VQ8
=E9cA:@,9<=?5<@AC• @> „ @,@,8[>7576Y8P9<;=?>O68:A[5Z@,U,@=?a@ 69 „`=?><U…b
8P@”> „uV5bF6A><V’• @œAP@9<=ED8P@,A
9ZpPU…b
>7bP6Y> ><bP@,5Z@65Z@œ6G3„o6wd)9 > „ V 9Z„`=3>7U…bP@9o9<@‡F65<6Y>Zi
=?8PD{>7bP@><5768P9Z;´=3>O68PAq5<@U,@=?aQ@I•P5<68PU…bP@9,1
ANT
ANT
receive branch
LO
ISO
50 Ω
reference channel
receive branch
LO
ISO
50 Ω
reference channel
./
#*
,+ š=EDpP5<@K)4576Y8P9<;=?>O68:AX5Z@,U@,=?a@J9 >…6Y><@,9OV¨9Z„`=?><U…bP=E8:D8:@> „ VQ5Š1
°±bP@8 >75<68P9Z;´=3><>7=?8PD¡9<@,@XF.6¯‰<‰ ­><bP@  9Z„`=3>7U…b 6GEG?V„`9{>7bP@q9<=?DQ8F6G >7VFV„
><V><bP@’ŒœlW4 9 „`=?>7U…b†68PA[><V´><bP@’6Y8><@,8P8P6:1c4cbP@’ŒœlW4 9 „`=?>7U…bC‡P5<Va)=EAP@9W=?9<VQGE6Y>7=?VQ8
• @> „ @,@8x>7bP@¹>75<68P9<;=?>Z>7@A 9Z=EDQ8F6YG 68PA ><bP@5<@,U@,=3aQ@•:5768PU…b¼1X4cb:@´¢ BP 9 „`=?><U…bx=E9
><VQDQDQG?@,A ><V >7b:@†;6}>7U…bP@AHGEV¯6YAH68PAH><bP@,5Z@¨VQ5Z@C‡P5ZVa+=?AP@,9;´VQ5Z@C=?9<VQGE6Y>7=?VQ8¡V¨>7bP@
><5768P9Z;´=3>o¨5<V; 5<@U,@=?aQ@Y1
°±bP@85Z@,U@,=?a)=?8PD.9Z@,@`F•Š‰<‰ >7bP@ £9Z„`=3>7U…b¹=E9¨@,@AP=E8PDˆ><bP@o5Z@¨@,5Z@,8PU@`U…bF68P8P@G 1
4cbP@ ŒœlW4 9Z„`=3>7U…be=E9ZVQG 6Y><@,9œ><bP@{5Z@,U,@=?a@•:5768PU…b ¨5ZVQ;ž6Y8dCGE@,6 }6DQ@’¨5ZVQ; ><bP@ 
9 „`=?>7U…b¼1T4cbP@c¢ BP±9Z„`=?><U…b¹9<=E;‡PG3d’6YGEGEV„`9>7bP@c9Z=EDQ8F6YG+>7VœU,VQ8¯>7=?8pP@cAPV„`8><bP@o5Z@,U,@=?a@
•P5<68PU…b·1
4cbP@q9<‡ @,U”>75ZpP; V¨O>7bP@q9<=?DQ8F6Go6Y> >7bP@X68¯><@,8P8F6e‡ VQ5Z> ¨VQ5¹6Y8¤=?8P‡Pp:>¹9Z=EDQ8P6Gc6Y>
><bP@  ‡ VQ5 >oV¨­2^:1M0+2L#² "%$O„`=?><b6{‡V„ @,5cV¨^+1_gAF© ;Ÿ=?9o9<bPV„`8q=E8´FDQpP5Z@f:1Œœ9
@ µ:‡ @,U”>7@A ¯>7bP@c9Z‡@U>75<6GFGE=?8P@,9bF6waQ@O6ˆAP=?9Z>…6Y8PU,@cV¨^I‘ " $c•@”> „u@@,8@w6U…bV>7b:@,568:A
><bP@¹9<=ED8F6G h9@,8¯a@,GEV‡@¹=E9K6q9<=?8PU”i ¨pP8PU”>7=EV8·1 4cbP@ F5<9 > $@,5ZVQ9KV¨ ><bP@¹9<=?8PU”i ¨pP8PU><=EVQ8
65Z@ˆ6Y2> 187 £2 8. D4E137 ¡L 1@4 2^ M0+2L#² "%$ 2L‘ " $68PA
><bP@,5Z@K65<@J2 ƒ0 XLQ‰
bF6Y5<;VQ8P=EU9c=E8>7b:@I;´6=?8GEVQ• @1 lOV>7@>7bP6Y>`>7bP@KbF65Z;´V8P=EU9cUw68P8:V>O•@K5<@9<VQG3aQ@AC69
AP=?576U A:@,G?>76
¨pP8PU><=EVQ8:9I9Z=E8PU@ >7bP@”d „uVQp:GEA 5<@ !pP=?5<@68 =E8:P8P=?><@ •F68PA:„`=?A:>7b V¨­>7bP@
9Z‡@U>75ZpP;r68F6YG?d $,@5 h9`a)=?AP@,V FG3>7@,51
2¦
7
−10
Power (dBm)
−15
−20
−25
−30
−35
−40
−45
13.17
13.19
13.21
13.23
Frequency (GHz)
13.25
š =EDQpP5Z@Kf)­B+‡ @,U”>75<p:;\V¨><5768:9<;=?><><@,A9Z=EDQ8F6YG:9Z‡F68 2 Q ‘ " $1
4cbP@‡V„ @,5 ;´@,69<pP5Z@,A =E8ª>7bP@;´6=E8 bF65Z;´V8P=EU–V¨ >7bP@9<‡ @,U”>75ZpP; „o69
"%$ C 4 iŠ2wgAF©u; „`b:=EU…b =E;‡PGE=?@,9W68e=E8P9Z@,5Z><=EVQ8 G?VQ9<9VY¨W2¦+1_gAP©œ1·46 =E8PDq=E8¯><Vq6U”i
UVQpP8¯>’><bF6Y>I>7bP@9<=?DQ8F6G =E9K>75<68P9Z;´=3><>7@A „`=3>7b 6[APp:> d U”d+UGE@´V¨`0 yi+¦AF©o‰I68:A
6G?9<VX9<pP•+>7576YU>7=?8PD ¦AP© ¨VQ5>7bP@´bF65Z;´VQ8:=EU¹AP=E9 >75Z=E•Pp:><=EVQ8eV¨u>7bP@´‡V„ @,5 >7bP@5<@5Z@”i
;´6=E8†^:1_gAP© ;VQ5Z@ GEVQ9Z9<@,9KAPp:@{>7V>7bP@¹9 „`=?>7U…b:@,9,1 4cb:@¹><V>…6YGT‡V„ @,5K@,8¯><@,5<=?8PD>7bP@
9 „`=?>7U…b¤=E9{><bP@q9<pP; VY¨W><bP@q‡V„ @,5¹V¨œ><bP@¨pP8PAF6Y;´@8>76Gc68:A³6G?Gu>7b:@XbP65<;VQ8P=?U,9
U5<@w6}>7@,AX•¯d>7bP@K9 „`=?>7U…b:=E8PDP1
!$B !
4cbP@J;´=?9<;´6Y>7U…bC6Y>O>7bP@ 6Y8><@,8P8P6‡V5Z>W=E9W6´aQ@5Zd[=E;‡VQ5 >…68¯>`¨.6U”>7VQ5O=E8X>7bP@{Va@,576YGEG
‡ @,5 ¨VQ5<;´68PU@ V¨’><bP@e9Zd)9Z><@,;X1Ÿ4cbP@ ‡ V„ @,5X5<@ F@,U”>7@A •F6U H><V¡><bP@ U,=E5ZU,pP=3>Uw6Y8
@8><@,5¹>7bP@q5Z@,U,@=?a@[•P5<68PU…b¤„`bP=EG?@
>7bP@q9Z„`=3>7U…b =E9 9Z„`=3>7U…bP=?8PDP1¤4cbP@qGE@8PD>7b¤V¨O>7bP@
UVQ8P8P@U>7=?VQ8¹•@”> „u@@,8><bP@`‡V5Z> 68:A><bP@O68¯>7@,8:8F6IA:@>7@5<;=E8P@9bPV„H;{p:U…b´5Z@ F@U>7@A
9Z=EDQ8F6YG¼@,8¯><@,5<9c><bP@K5<@,U@,=3aQ@K•P576Y8PU…b[A:pP5<=?8PD 9Z„`=3>7U…bP=?8PDP14cbP=?9c=E9c• @,Uw6YpP9<@>7b:@9<=ED8F6G
‡P5ZVQ‡F6DQ6Y>7@96Y>6 +µ:@A³aQ@GEV)U,=3> d c68:A >7b:@,5<@”¨VQ5<@X>7bP@5<@[=E9´6 U,@5Z>76=E8 6;VQpP8¯>VY¨
@8P@,5ZDd›‡ @,5 pP8P=3> GE@8PD><b¤VY¨W><5768P9Z;´=?9<9Z=EVQ8xG?=E8P@ £><bP@
GEV8PDQ@,5J>7bP@qGE=?8P@ T><bP@;VQ5<@
@8P@,5ZDd›=?>{=E9 Uw6Y5<5Zd)=?8PDP1 4cb:@q„ VQ5Z9<@q>7bP@;=E9Z;6Y><U…b›=E9 ><bP@qG 65ZDQ@,5I>7bP@q5Z@ F@U>7@A
9Z=EDQ8F6YG 1’ŒW8¯de9<=ED8F6GT@8><@,5Z=E8PD>7b:@5Z@,U@,=?a@¹•P5768:U…b >7bP6Y>K=E98PVY>I6q5Z@,U@,=?a@,A 9<=ED8F6G
=?8PU,5Z@w69Z@,9c>7bP@K8PV=E9<@ FV)VQ51
2
$ !%$ ! -$ (*+,-
0
−10
−20
−30
−40
−50
−60
−70
−80
−90
−100
−110
−120
−130
−140
−150
50
50.05
50.1
50.15
50.2
Normalized Receiver Response ( F(R) ), dB
Normalized Receiver Response ( F(R) ), dB
"O=EDQb{DQ6=E8 6Y8><@,8P8P69 bF6waQ@cG 6Y5<DQ@ AP=E;@,8P9Z=EVQ8:9TU,VQ;‡F65Z@,A{><Vˆ>7bP@u„u6waQ@GE@,8:D>7bU,6pP9 i
=?8PDH>7bP@; ><V G?V+Vº@GE@,U”>75Z=EUw6YGEG?dº69e6HA:=E9Z><5<=?•Pp:>7@A @,GE@;´@8¯>w1 N`@P@,U><=EVQ8:9e>76@
‡PGE6U,@K6}>c9<@”aQ@,5<6G&AP=?S@,5Z@,8¯>OGEV)Uw6Y><=EVQ8:9u=E8
><bP@I68¯>7@8P8F6¹9Z>75ZpPU><pP5<@KG?@w6AP=?8PD{>7V¹6 AP=?9 i
‡ @,5Z9<@,A†68¯>7@,8:8F6GEV9<9œ=?8X><bP@J><=E;@KAPVQ;´6=E8·1u4cbP=E9W‡PbP@,8:VQ;´@8PVQ8†V)U,UVQpP5Z9œ=E8X;VQ9Z>
68¯><@,8P8F6Y9 ±@”aQ@8¤=?8 ><bPVQ9<@„`=?><b›6[‡ @,5 ¨@,U>{=E;‡@AF68PU@´;´6Y>7U…b =E8 >7bP@¨5<@ !pP@8PUd
APV;6=?8º n ° 9<=?DQ8F6G ‰ •@Uw6pP9Z@C>7b:=E9¹> d+‡ @CVY¨;6}>7U…b³=?9´A:pP@[><Vx6 U,68PU@,GEGE6Y>7=?VQ8
V¨u5<@ F@,U”>7=EV8P9,1q4cbP@9<@
5Z@ F@U>7=?VQ8P9I¨5<VQ;§><bP@6Y8><@,8P8P6CUw68 65<5Z=?a@6Y>I>7b:@´5Z@,U,@=?a@
•P5<68PU…b[6Y>c>7b:@I• @,D=E8P8P=?8PD¹V¨>7bP@K5<@U,@=?aQ@KU”d+UGE@ Š><bpP9O6APAP=?8PD >7V >7bP@K8PV=E9<@ FV)VQ51
0
−10
−20
−30
−40
−50
−60
−70
−80
−90
0
10 20 30 40 50 60 70 80 90 100 110 120 130 140 150
Target Distance, m
Target Distance, m
$ " " , 4 4
.
6
#%
, '/+3
6 /
,+=
š =EDpP5<@ 2 ) lWVQ8+ik=EAP@,6GE=3>7=?@,9u@”S¼@U><=E8PD¹8PVQ=?9<@ FV)VQ5,1
š=?DQpP5Z@’2 F.6¯‰ 9ZbPV„`9`><bP@;´6}µ+=E;{pP;‚5Z@,U,@=?a@,5cD¯6=?8¨VQ5u>765<D@>79cp:‡
>7V0 TU;“6w„o6wd
¨5ZVQ; ><bP@¹68¯>7@8P8F6q‡VQ5 >w1’Ra@,8¯>7b:VQpPDQb >7bP@ 5Z@ F@U>7=?VQ8P9KVSx><bP@¹68¯>7@8P8F6q;6wd[•@
9Z;6G?G)•@Uw6p:9<@>7bP@”dV)U,U,p:5c9<V ¨.65o¨5<V;><bP@I68¯>7@,8:8F6 ‡VQ5 > :><bP@d
Uw68qV¨y><@,8q576=?9<@
><bP@K8PVQ=E9Z@ PV+VQ51­šŠV5`><bP=E9`9ZUw6Y>Z>7@,5ZVQ;@>7@5`9Zd)9Z><@,; ><bP@K=E9<VG 6Y><=EVQ8;{p:9Z>O;´=?8P=E;´6G?G?d
• @ Q TAF© • @,G?V„ >7bP@ 5<6AP= 6}>7@,AC‡V„ @,5,1IlOV>7@’><bF6Y>=3¨ 6
5<@ F@,U”>7=?VQ8 V+UU,pP5Z9K6Y>{2; =3>o„`=EG?G¼• @K6Y><><@,8pF6Y><@,AX•d
VQ8PG?d
0^TAF%© P9<V=?¨=E9u8PV>WLQ¦AF©£• @,G?V„ >7b:@K><5768:9<;=?><><@,A
‡ V„u@5 F=?>c„`=?GEG576=?9<@ˆ>7b:@I8:VQ=E9Z#@ PV+VQ5`6Y•VaQ@ˆi) TAF©œ1
ŒœG?9<VK9<b:V„`8=E9TFDQp:5<@I2 F.•F‰ Q„`bP=?U…b9<b:V„`9 >7b:@O@”S¼@U>­>7bF6}> 8:VQ8+i =?AP@w6YGP9Z„`=3>7U…bP@9
bF6wa@{VQ8[><bP@’8:VQ=E9Z@ FV)VQ5,1`4cbP@’8PVQ8+ik=EAP@,6GE=3> d
V¨T><bP@{9 „`=?>7U…bC=E9`>7bP@JGE@w6 Y6YDQ@JVY¨T>7bP@
><5768P9Z;´=3><><@,A 9<=?DQ8F6G)>7Vˆ><bP@c5<@U,@,=3aQ@`•P5<68PU…b·1 ©u@Uw6p:9<@`@w6U…b9Z„`=3>7U…b¹‡P5ZVa)=?AP@,9 g¯ TAF©
V¨=E9ZVQG 6}>7=EV8 )><bP@> „uV9Z„`=3>7U…bP@9O><VQDQ@”>7bP@5O5<6=E9Z@ˆ>7bP@K8PV=E9<@ PV+VQ5o><V¹iQ TAF©œ1
2f
` *
* ’
- !% /
¢ 8xV5<AP@5J>7VC•V)VQ9Z>I>7b:@
9<=?DQ8F6G pP‡ ><V†GE@”aQ@GE9J><bF6Y>J>7bP@´AP=EV)AP@A:@>7@U><VQ5’U,68x„uV5
„`=3>7b NOš 6;‡PGE=3FUw6Y><=EVQ8 =E9 8:@,@,A¼1 4cbP@q6;‡PG?=?F@5’;V+AP@GupP9Z@,A¡=E9{><bP@š=?G?><5<VQ8P=?U
B+VGE=EA B)>76Y>7@ ‘[Œœg:2Q2xƒ²I6YŒœ9´Ž "WR­‘[4O‰ „`bP=EU…b³‡P5<Va)=EAP@9[2wTAF©ŸV¨œD¯6=?8¤¨5ZVQ;
:1ML 2wg­² " $c„`=?>7b6I> d)‡P=?Uw6G 8PVQ=?9<@`FDQpP5Z@WV¨&0AF©œ1)4cbP5<@@œV¨·><bP@,9Z@6;‡PGE=3F@,5Z9­65<@
pP9Z@,A¹¨VQ56G?G:NOš 6;‡PGE=3FUw6}>7=EV8’=E8{><bP@c9Zd)9 >7@,;X1TŒW8´6Y;´‡PG?=?F@5=?96GE9ZV‡PG 6YU,@,A =?8{>7bP@
5Z@¨@,5Z@,8PU@{U…bF68:8P@,G9<V><bF6Y>œ><@,;‡@576Y><pP5<@J68PACV>7bP@5œ@8a)=?5<VQ8P;@,8¯>76G=?8P9Z>76•P=?GE=?><=E@9
U,68C• @’;VQ8P=3>7VQ5Z@,A·1`©u@Uw6p:9<@ 68¯d[6Y>Z>7@,8pF6}>7=EV8[=?8[>7b:@’9<=?DQ8F6G&G?@aQ@GA:@,DQ5<6AP@,9O>7bP@
9Z=EDQ8F6YG·>7V8:VQ=E9Z@J5<6Y>7=?V :>7b:@JF5Z9Z>œ6;´‡:GE=?P@,5c=E9O‡PGE6U,@ACAP=?5<@,U”>7G3dq6Y¨y>7@5O><bP@I9Z„`=?><U…b 6Y>
><bP@K68¯>7@8P8F6¹‡VQ5 >c>7V @@,‡X>7bP@K9<=?DQ8F6G >7V¹8PVQ=?9<@5<6Y>7=?V bP=EDQb:@,5,14cb:@IVY>7bP@5`> „ V´65<@
=?8X9Z@,5Z=E@,9O6Y¨y><@,5o><bP@INWšxFG3>7@,51
- !$'
4cbP@
PG?>7@5 AP@,9Z=EDQ8:@,A¡=E9 6C><bP5<@@q‡VQG?@•F6Y8PAP‡F69Z9 FG?><@,5{U@,8¯>7@5<@,A³6Y>2^+1 0+2L² " $1
4cbP@;´6=?8
‡PpP5Z‡VQ9Z@KV¨&>7bP=?9o•F68PA:‡F69<9cFG3>7@5o=E9u><V •F68PA)i GE=?;´=3> >7bP@5Z@,U,@=?a@,AX9<=ED8F6G
=?8¤V5<AP@5¹><Ve9<pP‡P‡:5<@,9Z99Z‡PpP5<=?VQpP9¹9<=?DQ8F6G?9’¨5<V; >7bP@qVQp+>79<=?AP@@,8¯a)=E5ZVQ8P;@,8¯>´•@”¨VQ5<@
><bP@ @,8¯aQ@GEVQ‡ @¹AP@><@,U”>7VQ51’4cbP=?99<@,U”>7=?VQ8 „`=EGEG=E8¯><5<V)APpPU,@ 9ZVQ;@{•F69Z=EU{>7b:@,VQ5 d 6• VQp:>
UVQpP‡PG?@,AIGE=E8:@FG?><@,5AP@9<=?DQ8’6Y8PAI6GE9ZVOA:=E9<UpP9<9&>7b:@­A:@,9<=?DQ8 }9<=?;{pPG 6}>7=EV8ˆ68PAI;@w69ZpP5<@A
5Z@,9<p:G?>79`V¨>7b:@K¨.6Y•P5<=?Uw6Y><@,AFG?><@,51
Œ ¨VQp:5’9<@U><=EVQ8 >7bP5Z@,@5<@9<VQ8P6Y>7VQ5J•F68PA:‡F69<9{PG?>7@5J„u69{AP@9<=?DQ8P@,A›=?8 >7b:@
;´=ji
U5<V„o6wa@ AP@9<=?DQ8±9<V¨y> „u65<@ Œœ~KB1o4cbP@eŒœ~KB³9<U…bP@;6Y><=EU†„`=?8PAPV„`9q=E8PUGEpPAP=?8PD›6G?G
AP@9<=?DQ8´‡P6576;@><@,5Z9u68:A><bP@W9Z=E;{p:G 6Y><@,A¹5<@9<pPG3>79 ¨VQ5 •V><b ¯>7bP@OAP=?5<@,U”>7=?VQ8F6GPUVQpP‡PG?@,5
68:Aq><bP@K•F68PA:‡F69<9`FG3>7@,5`6Y5<@K9<bPV„`8[=E8qŒœ‡P‡ @,8:AP=3µqŒJ1
4cbP@IU…bF65<6U><@,5<=?9Z><=EUK=E;‡@AF68PU@KV¨><bP@I=E8P‡Pp+>O68PACVp:>7‡Pp+>WG?=E8P@9c=E9WL ‹ 4
0f 0fQL;´=?Gy‰¼¨VQ5T;´6Y>7U…b:=E8PDˆ‡:pP5<‡ VQ9<@9,14cbP@cU@,8¯>7@5¨5<@ !pP@8PUd{V¨Š>7bP@oFG3>7@5T=E9T9Z@> •¯d
><bP@ GE@,8:D>7bKV¨)>7bP@ 9Z@,U><=EVQ8:9 „`bP=EU…bI=E9&6‡:‡P5<Vwµ+=E;´6Y>7@G?d €YgP14cbP@ •P68PA:„`=?A:>7bKV¨)>7bP@
FG3>7@5=?9&9<@>•¯dJ6A ZpP9 >7=E8:DO><bP@­„`=?A:>7(b 68:AJ>7b:@,5<@”¨VQ5<@ >7b:@ U…bF6Y576U”>7@,5Z=E9 >7=EU =?;´‡ @,AF6Y8PU,@
V¨W>7bP@q>7b:5<@,@X5<@9<VQ8P6Y>7VQ5GE=?8P@,9 o68:AH6G?9<Ve•¯d¤aY65 d)=E8PDe>7bP@X9<‡P6U,=?8PD • @> „ @,@8H>7bP@
UVQpP‡PG?=E8PD>7576Y8P9<;=E9Z9<=EV8[G?=E8P@9,1œ4cb:=E9œbP69œ>7b:@’@S@,U”>V¨ 9Z@><><=E8PD@w6U…b 5Z@,9<V8F6Y>7V5W>7V
69<G?=EDQb¯><G?dXAP=?S@,5Z@,8¯>K¨5<@ !)p:@,8PU”d 69„u@GEG69KU…bF6Y8PDQ=E8:D
>7bP@¹U,VpP‡PGE=?8PD• @> „ @,@,8 >7bP@
5Z@,9<V8F6Y>7V5<9,1u¢ 8X><bP@JA:@,9<=?DQ8C‡:5<V)U,@,9Z9W¨VQ5c><bP=E9cFG3>7@,5 P>7bP@IVQ‡:><=E;= $,6Y>7=?VQ8
5<Vp:>7=?8P@,9`=E8
Œœ~KB„ @,5Z@’pP9Z@,AC><V6A ZpP9Z>O>7bP@IGE=?8P@ˆ„`=EA+>7b[68PAC9Z‡F6U=E8PD=E8XVQ5<A:@,5c>7V6U…bP=E@”aQ@K>7bP@
AP@9<=?5<@,A FG3>7@55<@9<‡ VQ8P9Z@1­B+=?8PU,@u¨5Z=E8PD=E8PDœP@,GEA:96Y>T><bP@c@,8PA:9V¨Š>7bP@o5Z@,9ZVQ8F6Y><VQ5<9bF6wa@
><V´• @I>76 @8†=E8¯><V6UU,VQp:8>ˆ68PA[9<=E8:U,@K>7bPVQ9Z@JF@GEAP9OU…bF68:DQ@J„`=3>7bqa6Y5Zd)=E8PD>7bP@IGE=?8P@
0 „`=?A:>7b+>7bP@G?@,8PD><bV¨&>7bP@ˆ><5768:9<;=E9<9Z=EVQ8GE=E8:@,9u„u69O6GE9ZV{GE@”¨y>`69`68:V>7bP@5cAP@,D5<@,@VY¨
¨5Z@,@,A:VQ; ¨VQ5o><bP@KVQ‡:>7=?;´= $w6Y><=EVQ8´‡P5<V)U,@9<91
ŒW¨y><@,5><bP@ VQ‡:><=E;= $,6Y>7=?VQ8¤68PA£9<=?;{pPGE6Y>7=?VQ8 „u69
5ZpP8±=E8HŒœ~KB ><bP@†•F68:AP‡F69Z9
FG3>7@5„u69T¨.6•P5Z=EUw6}>7@,A’•F69<@A¹VQ8{>7bP@u5<@,9ZpPG?><9,1lOV>7@ >7bF6Y>¨VQ5TVQ‡+>7=E;= $=E8PDc><bP@cAP@,9Z=EDQ8
‡F6Y576;@><@,5<9=?8 Œˆ~KB >7bP@ G?=E8P@{9Z‡F6U=E8PD„u69K5<@,9 >75Z=EU><@,A >7Vq• @ G 65ZDQ@,5W>7bF68 L;=EGƒ1
4cbP=?9W=?9`•@Uw6pP9Z@’=?8X¨.6•:5<=EU,6Y>7=?8PD{>7b:@IFG3>7@5 F>7bP@K>7VGE@,5<68PU@IVY¨>7b:@’@><U…bP=E8:D´‡P5ZV+U@,9Z9
U,68
5<@9<pPG3>u=?8=?;´‡P5ZVQ‡ @,5 9<‡F6YU,=E8:D{•@”> „u@@,8>7b:@œGE=?8P@,9œ.U,6GEG?@,ApP8PAP@5<Up:> ‰ =3¨·>7b:@œGE=?8P@
9Z‡F6U,=?8PD¹=E9`6G?GEV„ @,A>7V¹• @I9Z;6YGEGE@5,1
4cbP@K9<=?;{pPGE6Y>7=?VQ8´„u69c>7bP@8¨pP5Z><bP@,5cVQ‡+>7=E;= $@,A„`=3>7bX68qŒˆ~KB´><V+VG¼Uw6YGEGE@AX‘[VYi
;@,8¯>7p:; „`b:=EU…b›9<=?;{pPGE6Y>7@9I><bP@
U,VpP‡PGE=?8PD†• @> „ @,@8¡><bP@¨VQp:5’‡ VQ5Z><9{pP9<=?8PD 6C8p+i
;@,5Z=EUw6YG>7@U…bP8P= !)p:@’Uw6YGEGE@A
>7bP@’‘[@”>7bPV)A[VY¨‘[V;´@8><9,1­4cbP=E9`DQ=3aQ@9W6¹;VQ5<@‡P5Z@,U=E9<@
9ZVQGEp:><=EVQ8[>7bF68†><bP@{9 >…68PAP65<AeŒˆ~KBC9Z=E;{p:G 6Y><=EVQ8·1œ4cbP@ •F68PA:‡F69<9ˆPG?>7@5œ„u69ˆ¨.6•P5Z=3i
U,6Y>7@A 68:A ;@w69ZpP5<@A „`=3>7b >7b:@´9Z‡@U>75ZpP; 68F6YG?d $,@5K68PAe>7bP@¹5<@9<pPG3>79J65<@ 9ZbPV„`8
=?8¡š=EDQp:5<@†2Q2 .6¯‰
 iiˆi ‰J=?8›U,VQ;‡F65Z=E9ZVQ8x„`=?><bx>7bP@q5<@9<pPG3>79{¨5ZVQ; 6 ‘[V;´@8><pP;
9Z=E;{pPGE6Y>7=?VQ8e º‰”1Œ ‡:bPV>7V¹V¨>7bP@¨.6•:5<=EU,6Y>7@A
FG?><@,5`=E9c9ZbPV„`8C=?8qš=EDQp:5<@ 2Q2´.•F‰”1
ŒœG3>7bPVpPDQb’><bP@oU,@8¯>7@,5¨5<@ !pP@8PUd{V¨F>7bP@ ¨.6•P5<=?Uw6Y><@,AJU,=E5ZU,pP=3>T=?99<G?=EDQb¯><G?dAP=3S¼@5<@8>
¨5ZVQ; >7bP@u9<=E;’pPG 6Y><=EVQ(8 ><bP@u5Z@,9ZpPG?><9T65Z@o6UU,@,‡+>…6•PG?@1T4cb:@o5<@”>7pP5Z8{GEVQ9Z9=?9• @>Z>7@,5>7bF68
iŠ2w^TAF©ŸVaQ@5>7b:@†@,8¯>7=?5<@[•F68PA:„`=?A:>7b³V¨KQ Q ‘ "%$1º4cbP@C=?8P9<@5Z><=EVQ8 GEVQ9Z9´¨VQ5¹>7bP@
9Z=E;{pPGE6Y>7@A•F68PA:‡F69<9cFG3>7@5o„o69W0AF%© :>…6 =?8PD¹=E8¯>7V¹6UU,VQp:8>O9ZpP•P9Z><576Y><@JG?VQ9<9Z@,9`68:A
><bP@F8P=3>7@´U,VQ8PA:pPU><=?a)=?> d V¨c><bP@
U,V‡P‡@5{G 6wd@,5,1C4cbP@6U”>7pF6G ;´@,69<p:5<@,A›=?8P9<@5Z><=EVQ8
G?VQ9<9 6}>2^:1M0+2L#² "%$„o69¹^+1 LAF©‹„`b:=EU…b¡Uw68¡• @
@”µ+‡PGE6=E8:@,A›•¯dx5<6AP= 6}>7=EV8 GEV9<9<@9,1
©up+> 9<=?8PU,@><bP@5<@U,@=?aQ@5 U…bF68P8:@,GobF6Y9 6AP@ !)pP6Y>7@D¯6Y=E8 6Y8PAx>7bP@
PG?>7@5’=?9’GEV)Uw6}>7@,A
6Y¨y><@,5o><bP@ lOŒŸ>7bpP9`bF6wa)=E8:D´6¹;=E8P=?;6GŠ@”S¼@U>OVQ8q>7bP@K9Zd)9 >7@,;\8:VQ=E9Z@ˆFDQpP5Z@‰u>7bP@
=?8P9<@5Z>7=?VQ8GEV9<9`;´@,69<p:5<@,AX=E8><bP=E9o><@,9Z>O=?9c9Z>7=?GEG¼6U,U@,‡:>76•PGE@Y1
*
- $'
4VJ=?8PU,5Z@w69Z@c>7bP@`A:d)8F6;=EUc5<68PDQ@`V¨ >7b:@W9 d+9 >7@; )6KU,VQpP‡:GE@,5 =?9pP9<@A´>7V¨@@,A
6ZG?V„
D¯6Y=E8 ´U…bF68P8P@G 6Y8PA†>7bpP95Z@,APpPU@,9ˆ><bP@{976}>7pP5<6Y>7=?VQ8†GE@”aQ@GTV¨>7bP6Y>ˆU…bF6Y8P8P@,G•¯dX>7bP@
UVQpP‡PG?=E8PDC¨.6YU>7V5,1 Œ\0 TAF©–U,VQp:‡PGE@5{„o69 p:9<@,A¤=E8x><bP@‡P5ZV>7V> d)‡ @;´V)AP@G 1 R­6YU…b
U…bF6Y8P8P@,G&bF6Y9O=3>79cV„`8XAP@><@,U><VQ5`U,=?5<U,p:=?>w1
4cbP@U,VQp:‡PGE@A G?=E8P@{A:=E5<@U><=EVQ8F6YGTU,VQp:‡PGE@5ˆ„o69IAP@9<=ED8P@,A pP9<=?8PDXŒˆ~KB1š=E5<9 >>7bP@
9ZpP•P9Z><576Y><@¹‡F6576Y;´@”>7@,5Z9K68PA 6
¨5<@ !)p:@,8PU”de9Z„ @,@,‡ ‡PG 6Y8e„ @,5Z@¹9<‡ @,U,=3F@,A¼1 4cbP@,8e>7bP@
AP@9<=?DQ8eDQV¯6G?9ˆ•@”> „u@@,8 ><bP@{¨5<@ !pP@,8PU”d 5768PD@ V¨œ2w^:1?2¹6Y8PA 2w^:1M^ #² "%${„ @,5Z@¹9<@>J69
><bP@¨VQGEG?V„`=?8PD
nuVpP‡PGE=?8PDX <‰ );´=?8 4 i+0)2AF© F;´6}µ 4 iŠ2fTAF©
4cb:5<VQpPDbx Z‰ ) ;=E8 4 i :1M^QLAF©
0+2
0
−5
S21
S11
−10
|S| (dB)
−15
−20
−25
−30
−35
−40
12
12.5
$ '&)
6 #*
13
13.5
14
Frequency (GHz)
"
4, ./,
%5/
"
(%/
.
'
14.5
'& (
".3"4 .3
1 .
+-%
š=?DQpP5<@’2Q2)­nuVQpP‡:GE@,AXGE=?8P@ˆ•F6Y8PAP‡F69Z9OPG?>7@5,1
0Q0
15
¢ 9ZVQG 6}>7=EV8e <‰ )­;´6}µ 4 i)^¯LAP©
N`@ P@,U><=EVQ8  <‰ );´6}µ 4 i)L TAF©
4cbP@q;=EU5<VQ9 >75<=?‡xGE=?8P@„`=?A:>7bx¨VQ5¹6 L ‚GE=?8P@´„u69¹Uw6YGEU,p:G 6Y><@,A›„`=?><b &=?8P@no6YGEU69
4 0f Mf¯0L;´=?Go68PA¤5<@”>…6=?8P@,A¡¨VQ5 >7b:@XUVQpP‡PG?@,5¹>7VeDQpF6Y5768¯>7@@[;´6Y><U…bP=E8PD:1 4cbP@
G?@,8PD><b›68PA›9Z‡F6U=E8PD V¨c><bP@> „ VeU,VQpP‡:GE=E8:DCGE=?8P@,9I„u@5<@
aY65Z= 6•PG?@,9J68PA›VQ‡+>7=E;= $@,A
6UU,VQ5ZAP=E8:D†>7V >7bP@qAP@9<=?DQ8¡DQV¯6G?9’„`=?><b›Œˆ~KB1T4cb:@X9Z=E;{p:G 6Y><=EVQ8 „u69 >7b:@,8›¨pP5Z><bP@,5
VQ‡+>7=E;= $@,AC„`=3>7b ‘[VQ;@,8¯><pP;[1JŒW¨y><@,5>7bP@ GE6wdQVQp:>„u69K9<‡ @,U=?F@A >7bP@¹U=E5<UpP=?>œ„o69
@”>7U…bP@A =?8³>7b:@C¨.6•P5Z=EUw6}>7=EV8³G 6Y•³>7VQD@>7b:@,5„`=3>7b³> „ V¡;´VQ5Z@CUVQpP‡PG?@,5<9´„`bP=EU…b£bF6YA
9ZGE=EDb><G?d´a6Y5<=E@AC‡F6Y576;@><@,5<9 =?8[V5<AP@5O><V
6UU,VQpP8¯>W¨VQ5O¨.6Y•P5<=?Uw6Y><=EVQ8>7VGE@,5<68PU@,9,1`¢k>
><pP5<8P@A´Vp:> >7bF6Y>><bP@`U,VQp:‡PGE@5 „`=3>7b >7b:@OV5<=ED=E8F6G?G?dK9Z=E;{pPGE6Y>7@A a6YGEpP@9bF6A¹>7bP@`• @,9Z>
‡ @,5 ¨VQ5<;´68PU@1TR 6U…b¹‡ VQ5Z>TV¨Š>7bP@oUVQpP‡PG?@,5T„u69U,V8P8P@,U”>7@A><Vˆ>7bP@`B:‘[Œ£U,VQ8:8P@,U”>7VQ5Z9
6Y>WVQ8P@J@,8PACV¨T>7b:@’U,=?5<UpP=?>O• V¯65<A¼1c4cbP@{GE=?8P@,9c„ @,5Z@{;6YAP@JG?VQ8PDQ@5O><bF68[8P@,U@,9<9<65Zd
=?8 VQ5ZAP@,5><V[9Z@,‡F65<6Y>7@>7bP@¹¨VQpP5K‡ VQ5Z><9I¨5ZVQ;§@,6U…b V><bP@,5J68PA ;´=?8P=E;= $@’UVQpP‡PG?=E8PD
• @> „ @,@8 >7b:@UVQ8P8P@U><VQ5<9´.9Z@,@´š=EDpP5<@
20Q‰”1{4cb:=E9ˆ„u69KAPVQ8P@¹VQ8PG3dC¨VQ5;@w6Y9<pP5Z=E8PD
‡Pp:5<‡ VQ9<@9=E8{>7b:@o>7@,9 > U,=?5<U,p:=?>w14cbP@`U,VpP‡PGE@5>7bP6Y>=E9=E8{>7b:@oF8F6G+•V¯6Y5<A bF699ZbPVQ5 >7@,5
G?=E8P@9,1
1
3
2
4
š =EDQpP5Z@ 20 )­nuVQp:‡PGE@5`><@,9 >WU=E5ZU,pP=3>o„`=?><bX@ µ)>7@,8:AP@,A†;=EU5<VQ9 >75Z=E‡GE=?8P@,91
nuVQ8PU@,5Z8P=E8PDO>7bP@­;@w69ZpP5<@A’5<@9<pPG3>79Y>7b:@uGE@8PD><bJV¨+>7bP@­;=EU,5ZVQ9Z><5<=?‡IG?=E8P@9&•@bP=E8PA
><bP@c> „uVJU,VQpP‡:GE@,A´GE=?8P@,9VQ8:G?d =?8 FpP@8PU,@A´>7b:@W5Z@>7p:5<8GEVQ9Z9<@,9 8PV> >7b:@WUVQpP‡PG?=E8PD
UV+@ ´U=E@8>KV5ˆ=E9ZVQG 6Y><=EVQ8¼1ˆ4cbP@¹9<=?;{pPG 6}>7@,A› +‰W68PAe;@w69ZpP5<@A yioi i ‰W5Z@,9<p:G?>79VY¨
><bP@KAP=E5Z@,U><=EVQ8P6G¼UVQpP‡PG?@,5O65<@9ZbPV„`8C=?8[š=EDQpP5Z@{2^:1
0^
0
−10
|S| (dB)
−20
−30
S21
S31
S41
−40
−50
−60
−70
12
12.5
13
13.5
14
Frequency (GHz)
14.5
15
š=EDpP5<@ 2^ )­B+=E;{p:G 6Y><@,A  ‰o68PAX;´@,69<p:5<@,A yiiim‰cBi ‡P6576;@><@,5Z9cV¨UVQpP‡PG?@,51
4cbP@ˆUVQpP‡PG?=E8PDK¨5<V;‡ VQ5 >œ2œ><V{‡ VQ5Z>u^ ‰ 6Y>W2^:1M0+2L²#"%$W=E9i+0^TAF©³=?8P9Z><@w6A
V¨)i+0 TAF© 69&>7bP@­U,@8><@,5¨5<@ !pP@8PUdJV¨+>7bP@­U,VQp:‡PGE@5=?99ZGE=?DQb¯>7G?dœVS14cbP@ =E9ZVQG 6Y><=EVQ8VY¨
‡ VQ5Z> g´ Z‰T=E96Y>Ti^Q^TAF© Q><bP=E9=?98PV>­69DQV)V)A´6Y9@”µ+‡@U><@,A+•Pp:> 9Z><=EG?GP6UU,@,‡+>…6•PG?@1
4cbP@ VaQ@576G?G‡ @,5 ¨VQ5<;´68PU@V¨>7bP@AP=?5<@U>7=?VQ8F6GYU,VQp:‡PGE@5=E9¼„`=3>7bP=?8AP@,9Z=EDQ8K‡F65<6;@>7@5<9
68:A£6U,U@,‡:>76•PG?@C¨VQ5p:9<@†=?8³>7bP@C9<Uw6}><>7@5<VQ;@><@,51 °±bP=EG?@X><bP@†UVQpP‡PG?@,5UVQpPG?AH•@
¨pP5 >7bP@5TVQ‡:><=E;= $@,A Y=E8PUGEpPAP=?8PDˆ5Z@”ik><pP8P=?8PD=?>>7VK6ˆU,@8¯>7@,5¨5Z@ !pP@,8:Ud V¨2^+1 0+2L² " $
><bP=E9T‡:5<V)U,@,9Z9T„uVpPGEA • @u>7=?;´@ U,VQ8:9<pP;=E8PDˆ„`=3>7b{8:V9<=ED8P=?FU,68¯>=E;‡P5ZVa@,;@,8¯>=?8’>7bP@
‡ @,5 ¨VQ5<;´68PU@V¨><bP@K9<U,6Y><><@,5<V;´@”>7@,5`9 d+9 >7@; 5Z@w6G?= $@,A·1
*
$ !$ ! ŒO¨y>7@,5`6YGEG·V¨>7b:@J6Y;´‡PG?=?FU,6Y>7=?VQ8
6Y8PAFG?><@,5<=?8PD{>…6 @,9O‡PGE6U,@ :>7bP@K9Z=EDQ8F6YG·5<@U,@,=3aQ@A†6Y>
><bP@68¯>7@8P8F6 =E9I>7bP@8¡9<@,8¯> ><bP5<VQp:DQb¡68›@,8¯a@,GEV‡@AP@”>7@U>7V5 U,=E5ZU,pP=3>w1†4cb:@9<=ED8F6G
6Y¨y><@,5o><bP@KAP@><@,U><VQ5`5<@‡P5<@9<@,8¯><9œ>7bP@I6waQ@576D@I9Z=EDQ8P6G·‡ V„ @,5OGE@”aQ@G5<@,U@,=3aQ@,A¼1
4cbP@W9Z>…6Y8PAF65ZA
AP@><@,U”>7VQ5 U,=E5ZU,pP=3>­U,VQ8P9Z=E9 >79uVY¨6J9Z@,5Z=E@,9 AP=EV)AP@c¨VQG?GEV„u@A´•¯d´6J‡P65 i
6G?GE@Gc5<@,9Z=E9 >7VQ568PAHU,6‡F6U=?>7V5,1 4cbP@ Ncn >7=?;´@qUVQ8P9Z>768¯>=E9´U…bPVQ9Z@,8£9<V >7bF6Y>´>7bP@
U,65<5Z=E@,5`¨5<@ !pP@,8PU”d¤ 2w^:1 0)2L² " $‰c=E9W@,GE=?;´=?8F6Y><@,Aq„`bP=EG?@I><bP@{9<=?DQ8F6G=E9O;´6=E8¯>…6Y=E8P@A·1
4cbP@ŒWGE‡PbP6¹no~Knc¦]Q^Q }i Q Q I=E9u><bP@bP=EDb+i 9Z‡@@,AAP=?V+AP@W>7bF6Y>o=E9opP9Z@,A¨VQ5u><bP=E9uAP@><@,U”i
><VQ5,1­©u@Uw6pP9Z@’U…bF6Y5<DQ@>7@8PAP9O>7V¹•PpP=?GEAqpP‡XVQ8X><bP@IAP=EV)AP@ +>7bP@5<@I8P@,@AP9O><V´• @I6G?V„
0Yg
=?;´‡ @,AF6Y8PU,@`‡F6Y><b><VJD5<VQpP8:A><VJAP5<6=E8¹=3>w14V Y@,@‡>7bP@ONOš 6Y8PA´9ZpP‡P‡P5Z@,9Z9u>7bP@W~Kn
6 Yg¹9<bPVQ5 >7@A[9 >7pP•[=E9c‡PGE6U,@A Zp:9Z>O•@”¨VQ5<@><bP@KAP=EV)AP@1
š =?DQpP5Z@{2wg )"O=EDQbqD¯6=?8•P576Y8PU…b†NOš›AP@><@,U><VQ5`VQ8F8F6G• V¯65ZA·1
Œœ8:V>7bP@5´‡:5<VQ•PG?@,; „`=3>7b¡>7bP@XAP@”>7@,U”>7VQ5´=E9 >7bP6Y>¹>7bP@XAP=EV)AP@qAPV)@,98PV>‡P5Z@,9<@8¯>
6
UVQ8P9Z>768¯>I=E;‡@AF68PU@1J©u@,U,6pP9<@ ><bP@ =E;‡@AF68PU@{=E8†><bP@ VQ‡ @,5<6Y>7=?VQ8F6G5<@DQ=EVQ8 VY¨
><bP@ˆAP=?V+AP@œ=E9oDQ5Z@w6Y><@,5u><bF68X0 :6 }g{9<@U>7=?VQ8qV¨ 2 Q H>75<68P9Z;´=?9<9<=?VQ8
G?=E8P@O„o69
‡PGE6U,@AqAP=E5Z@,U><G?d•@”¨VQ5<@K=?>u>7VbP@,G?‡X5Z@,APp:U,@K>7bP@K;=E9<;´6Y><U…b·1
4cbP@q;@w69ZpP5<@A¤;=E8:=E;{pP;ªA:@>7@U>76•PGE@9Z=EDQ8P6Gu•¯dx><bP@AP=EV)AP@e‡V„ @,5 9Z@,@,8 6Y>
68¯><@,8P8F6
‡ VQ5 > ‰`„u69ˆ;@w6Y9<pP5Z@,A†>7V•@Ji]Q TAF©u;X1œ4cbP=?9ˆ9<@8P9<=3>7=3a+=3> dX=E9œ8:V>K69WbP=EDQb
69JAP@,9Z=E5Z@,A T•:p:>{>7b:@
6;‡PGE=3F@,5Z9K=E8 ><bP@5Z@,U,@=?a@
•P576Y8PU…b¡65<@´8PV>{6GEG 9<b:V„`=?8PD 68
2TAP© D¯6=?8·1`¢k¨T>7bP=?9œG?VQ9Z>WD¯6=?8X„ @,5Z@{5<@U,G 6Y=E;@,A F>7b:@’;=E8P=?;{pP;“AP@”>7@U>…6Y•PGE@J9<=ED8F6G
V¨>7b:@I5Z@,U@,=?a@J•:5768PU…bq„ VQpPGEAX• @;{pPU…bCG?V„u@5,1
*
- !% / &
- !$' +
4cbP@JAP@><@,U”>7@,AC9<=ED8F6G=E9`>7b:@,8†6Y;´‡PG?=?F@AX68PAC‡:p:>W><bP5<VpPDQb†6¹FG?><@,5c>7V´=E9ZVQG 6Y><@ˆ>7bP@
9 „`=?>7U…b:=E8PD ¨5<@ !pP@,8PU”d ƒ^ ‘ " $‰ 1­4cb:=E9o¨5<@ !pP@,8PU”d„o6Y9OU…b:VQ9<@8[>7V¹‡P5ZV+U@,9Z9O• @,U,6pP9Z@
=3>c=E9u><bP@G 6Y5<DQ@9Z>cV¨>7b:@9<‡ @,U><576GUVQ;‡VQ8:@,8¯>79cDQ@8P@,5<6Y>7@A[•¯d´>7bP@K9Z„`=3>7U…bP=?8PDP14cbP@
9Z=EDQ8F6YGW=?9F5Z9Z>q6Y;´‡PG?=?F@A u><bP@,8HFG3>7@5<@,A£=?8H68 nŸ>768 Š1 4cbP=E9´9<=?DQ8F6GO=E9>7bP@8
6;‡PG?=?F@A 6YD¯6=E8¼1
©u@Uw6pP9Z@´><bP@ VY¨o>7bP@ n >…6Y8 AP@,‡ @,8:AP9{VQ8 >7bP@@ !pP=3a6YGE@,8¯>
‡F6Y576G?GE@,G5<@,9Z=E9 >…68PU@>7VXDQ5ZVQpP8PA T6[G 6Y5<DQ@¹5<@9<=?9Z>7V5J;{p:9Z>J•@´‡PG 6U@,A AP=E5Z@,U><G?d 6Y¨y><@,5
><bP@ F5<9 >I6;´‡:GE=?PUw6Y><=EVQ8[9Z>…6YDQ@¹•@”¨VQ5<@{><bP@ FG?><@,5,1 ‘[pPU…b VY¨ ><bP@ D¯6=?8 V¨ ><bP=E9ˆP5<9Z>
9 >…6DQ@I=E9`9<6U,5Z=?FU@,A •Pp:>O„`=?><bPVQp:>`>7b:=E9O6;‡PGE=3F@,5 :>7bP@J=E;‡@AF68PU@KU…bF68PDQ@9œV¨>7bP@
NOš›AP@><@,U><VQ5`AP=EV)AP@ˆ„ VQpPG?A[6}S¼@U>`>7bP@FG3>7@5,1
0QL
k¢ > =E9=E;‡V5Z>…6Y8>>7bF6}>T>7bP@cVYS¼9Z@>V¨ @,6U…b6Y;´‡PG?=?FU,6Y>7=?VQ8I9Z>…6YDQ@o=E9T >7V‡P5Z@aQ@8¯>
68¯dIpP8P8:@,U,@9<9<65Zd UGE=?‡P‡P=E8:DWAPp:@ >7V Z576=?GE=?8PDcVQp:> ¹.@ µ:U@,@AP=E8PDˆ><bP@ aVQG?>76DQ@­A:d)8F6;=EU
5<68PDQ@
VY¨O><bP@
VQ‡)im6;‡Š‰”1 ¢k¨`><bP@9<=?DQ8F6G­=E9{8:V> U,@8¯>7@,5Z@,A¤=E8 ><bP@A:d)8F6;=EU5768PD@
UGE=E‡:‡P=E8PDU,68 V+UU,pP5K@w6Y5<GE=?@,5ˆ><bF68 =?>„ VQpPGEA V><bP@,5 „`=E9<@Y1Œ $,@5<VXVS9<@>IaQVG?>…6YDQ@ =E9
6U…b:=E@a@,A •¯d ‡P5<@9<@8><=E8PD><bP@ 976;@ 5<@9<=?9Z>…6Y8PU,@{><VXD5<VQpP8:Ae¨V5ˆ•VY>7b†>7@5<;=E8F6YGE9œVY¨
><bP@KVQ‡+im6Y;´‡·1
4cbP@ 6;VQpP8¯>qV¨’6;´‡:GE=?PUw6Y><=EVQ8 =E9AP@‡@8PAP@,8¯>CVQ8£>7bP@eAP@9<=E5Z@,A 5<@9<‡ VQ8P9<@ {=?¨
><bP@
6;‡PG?=?FU,6Y>7=?VQ8 =E9JbP=EDQ(b >7b:@,8 ><bP@5<68PDQ@´Va@,5’„`b:=EU…b ><bP@VQp+>7‡Pp:>J„`=EG?G­9 „`=E8PD
• @¨VQ5Z@ Z5<6=EG?=E8PD VQp:> ›=E9
9Z;6G?GE@5´>7bP68£=?¨K>7b:@ 6;‡PGE=3FUw6Y><=EVQ8¤„u@5<@ G?V„ @,51‹4cbP@
6;‡PG?=?FU,6Y>7=?VQ8¡V¨I@w6U…b±V¨><bP@ ¢mš‹• Vµ+@9
„u@5<@ 6G?><@,5Z@,A³><V›9<pP=3>>7b:@ U…bF68P8P@Gœ>7V
„`bP=?U…bX><bP@d65<@KU,V8P8P@,U”>7@A·1
š=?DQpP5<@’2L )¢mš¡U,=E5ZU,pP=3>c=E8q• Vwµ¼1
*
$ !$ ! 4cbP=?9 AP@><@,U”>7VQ5{„ VQ59¹=E8›@9<9Z@,8¯>7=E6GEG3d ><bP@976;@
„u6dx69{>7b:@qNWš AP@”>7@U>7V5,1x4cbP@
9Z=EDQ8F6YG ><bF6Y>o@;´@5<DQ@9u¨5<V;‚><bP=E9 AP@><@,U”>7VQ5o=?9o68
6a@,5<6DQ@V¨><bP@ˆ9<=?DQ8F6GŠ@8><@,5Z=E8PD :=?>
=?9`~Knœ1
4cbP@†9Z@,5Z=E@,9´Uw6‡P6U,=3>7VQ5 c=E9¹>7V @,GE=?;6Y8F6Y>7@
><bP@†~Kn UVQ;‡VQ8:@,8¯>¨5ZVQ; >7bP@
9Z=EDQ8F6YG 1O4cbP@{‡F65<6GEG?@,G&=E8:APpPU><VQ5 ) ·=E9`>7VAP576=?8†VS 68¯dCVY¨>7bP@’~KnºaQVQG3>…6DQ@J•PpP=?G?>
pP‡ 6Y>¹8PV)AP@XŒ’14cbP@aY6G?pP@qV¨W><bP=E9 =?8PAPpPU”>7VQ5¹9ZbPVQpPG?A • @qU…bPVQ9<@8³9ZpPU…b³>7bP6Y> >7bP@
=?;´‡ @,AF6Y8PU,@´9<@,@8›>7VC><bP@
9<=?DQ8F6GW=E8 ><bP=E9JUw69Z@q^ ‘ "%$w‰J=E9IaQ@,5 dxGE65<DQ@5<@G 6Y><=?a@´>7V
><bP@`=E8P=3>7= 6YG)@ !pP=?aY6G?@,8¯>­=E;‡@AF68PU@c6Y> >7bP@c8:V+AP@Y1T4cbP@OAP=EV)AP@o=?9VQ5<=?@,8¯>7@A´9ZpPU…b´><bF6Y>
><bP@¹8P@,D¯6}>7=?a@><@,5Z;´=?8F6GT=?9K6Y>I8PV+A:@ŒŸ68PAe>7b:@‡ VQ9Z=?>7=3aQ@ >7@5<;=E8F6YGT=E9K6Y>I8PV)AP@© 0]
C1
in
A
B
out
C2
L
R
š =EDQp:5<@ 2])¢mš›AP@”>7@U>7V5,1
6G?GEV„`=E8:DJVQ8:G?d¹>7bP@W8P@,DQ6Y>7=3aQ@ˆ@8a@,G?VQ‡@W>7bP5ZVQpPDQb·14cb:@5<@w6Y9<VQ8¨V5 >7b:=E9uV5<=E@8¯>…6Y><=EVQ8
=?9o>7bF6Y>c><bP@KVS9<@>O=?8q><bP@K9<pP•:9<@ !pP@8¯>9Z>76DQ@K=E8¯aQ@5Z><9`><bP@K9<=?DQ8F6Gƒ1
*
& & + $ !
CF
+
5V
−
RB
RF
−
v_in
−
RS
v_out
+
CG
+
š =EDQp:5<@ 2¦ ) ~Kn£VS9<@”>œ6Y8PAC6Y;´‡PG?=?FU,6Y>7=?VQ8·1
4cbP=?99Z>…6YDQ@¹=E9 ZpP9 >J6q9ZpP;;´=?8PD6;‡PGE=3F@,51J4cb:@9Z=EDQ8P6G¨5<V; >7b:@ AP@><@,U><VQ5K=E9
6A:AP@,A›>7V†6C:µ+@,A ~Kn aVQG?>76DQ@ 6Y8¤VYS¼9Z@> =?9 6APAP@Ax>7V†><bP@9<=?DQ8F6Gƒ1 ©u@,U,6pP9Z@XVY¨
><bP@ =E8¯aQ@5Z><=E8PDUVQ8:FDQp:576Y><=EVQ8 >7bP@ VS9<@”>K=E9ˆ8:@,D¯6Y><=?a@1’4cb:@8:@,D¯6Y><=?a@{@,8¯aQ@GEVQ‡ @¹=E9
><bP@¹=E8P‡Pp+>I><VX><bP=E9K9 >…6DQ@ 9ZVX><bP@9<=ED8F6GT;=E8P=?;{pP; =E9K9<@”>’•¯d ><bP@¹VS¼9Z@>’68PAe>7bP@
;´6}µ+=E;{p:; =E9`9Z@>ˆ•¯dq>7b:@J‡ VQ9<=3>7=3aQ@K976Y><pP576}>7=EV8XaVQG?>76DQ@KV¨><bP@IVQ‡+im6Y;´‡·1 4cbP@’DQ6=E8
V¨c><bP@9<=?DQ8F6G ¨5<V;¥><bP@AP@><@,U”>7VQ5 =?9’9<@”>¹•¯d ±6Y8PAx>7bP@D¯6=E8 V¨`>7bP@+µ:@A
~Kn‹=?9J9Z@> •¯d „`bP@,5Z@ r2Q1[4cbP@
U,6‡F6U=?>7V5 ³• @> „ @,@8
><bP@=?8a@,5 >7=E8:D[>7@5<;=E8F6YG 68PAxDQ5ZVQpP8PA =E8 U,VQ8 Zp:8PU><=EVQ8 „`=3>7b ³=E8 >7bP@¨@,@AP•F6U G?V+VQ‡[‡P5<@”aQ@8>I>7b:@{U,=?5<U,p:=?>œ¨5ZVQ; VQ9<U=EGEGE6Y>7=?8PDP1W4cbP@~Kn VYS¼9Z@>9ZbPVQpPG?A 8PV>K‡P=?8C>7bP@
VQp+>7‡Pp:>6Y>T>7b:@o8P@,DQ6Y>7=3aQ@o9<6Y>7pP5<6Y>7=?VQ8’aVQG?>76DQ@oV¨F><bP@cVQ‡+i 6;‡ Q=E8’VQ5<AP@5>7V9Z@,@c9Z;6G?G
U…bF6Y8PDQ@,9`=?8q><bP@9<=?DQ8F6GaQVQG3>…6DQ@œVQ8>7VQ‡qV¨>7bP@KVS9<@”>caQVQG3>…6D@ :><bP@KVS¼9Z@>`8P@@,AP9`>7V
• @I6•Va@K><bP@K;´=?8P=E;’pP;–aQVQG3>…6DQ@Y1
0Q¦
*
$ $B$B $ ? 4cbP@X5<@”¨@,5Z@,8PU@C•P5<68PU…bHV¨W>7bP@X9<U,6Y><><@,5ZVQ;´@”>7@5=?9 >7V ;VQ8P=3>7VQ5J>7bP@XU…bF68PD@,9´><bF6Y>
6;’•P=E@8>¹@”S¼@U><9´;´6wd›bF6waQ@XVQ8¡>7bP@qU,=?5<UpP=?> UVQ;‡VQ8:@,8¯>79­‡ @,5 ¨VQ5<;´68PU@1›°±bP@8
‡ V„u@5=?9{AP=3aQ@,5 >7@A³6w„u6d ¨5<VQ; >7bP@X68¯><@,8P8F6 ‡ VQ5Z> =?> =?9’¨@,A¤=E8¯>7V†><bP@5<@”¨@,5Z@,8PU@
•P5<68PU…b·1ŒO¨y>7@5u>7bP@  9 „`=?>7U…(b :>7bP@5<@6Y5<@W><bP5<@@K0 TAF©£6}><>7@8pF6Y>7V5<9o=?89<@5<=?@,9c68:A
><bP@,8£6Y8HNOš‹6;‡PGE=3F@,5X ‘[ŒžgP22‰”1£4cbP@C5<@,9 >
V¨œ>7bP@XU,=?5<U,p:=?>´=E9 ZpP9Z>>7bP@CNWš
AP@”>7@U>7V5 P„`bP=?U…b[=?9o>7bP@K976Y;´@I69`=E8
><bP@KV>7b:@,5`•P576Y8PU…bP@,91
c
T †
°±bP@8P@a@,5OVQ8P@ˆ• @,D=E8P9o><V =E;‡PGE@;´@8>u68q=EA:@w6{=E8bF65<A+„o65Z@ P><bP@,5Z@9Z>…6Y5Z>79`6 ZVpP5 i
8P@”d=E8¯><V¹><bP@K5<@,6GE=3>7=E@9oV¨;6}>7@,5Z= 6GGE=?;´=3>…6Y><=EVQ8P91
/!+ k¢ >c=?9o8P@U,@,9Z9765 d>7V D¯6Y=E86{UVQ;¨V5Z>…6Y•P=EG?=?> d{„ VQ5=?8PD „`=?>7b
> „ @,@$,@5<9`>7V ;´68P=E‡:pPG 6Y><@
><bP@9<= $,@ˆUVQ;´‡ VQ8P@8¯>79o>7bP6Y>odQVpq„`=?GEG pP9<@Y1 ­VQp;{pP9 >`•@ˆ9 >7@,6A:dq68:A9<pP5Z@V¨&dQVQpP5
F8:@O;V><VQ5T9=?GEGE9T><VK;´VQp:8> U…b:=E‡P9„`=?><bPVQp:> A:@,9Z><5<Vd)=E8PDK>7b:@,;–=E8{><bP@`‡P5<V)U,@9<9 £>7b:@
Y@d[=E9œ5Z@,GE6}µ:6Y>7=?VQ8·1c° V5 =E8PD„`=?><bC>7bP@J;´=?U,5ZVQ9<UVQ‡@J=E9OU,5ZpPU,=E6G=?8[><bP@’‡P5ZV+U@,9Z9 ·9ZV
9Z‡@8PA ><=E;@DQ@>Z>7=E8:D 6YU !pF6=?8><@,A¤„`=?>7b¤GEV)Uw6}>7=E8:D†dQVQpP5¹> „ @,@ $@,5Z9
=E8›>7b:@Xa)=E@”„`=E8PD
65Z@w6:1T°±b:@,8X;´VQp:8><=E8PD{6¹U…b:=E‡>7V¹6 ;@>…6YG¼9<p:5Z¨.6U@ F9<=?G?a@,5c@,‡ Vwµ)d=E9op:9<pF6G?G?d´pP9<@A
69{><bP@q6APb:@,9<=3aQ@Y1›Ž5<@,‡P65<@>7b:@9<=EG3aQ@5{@,‡ Vwµ+d›68PA¡9<‡P5Z@w6A¡@8PVQpPDQb¤V¨O=?>{VQ8x>7bP@
9ZpP5Z¨.6U@[9ZV ><bF6Y>´6 G?=?>Z>7GE@´„`=EGEGu9 !pP@,@ $,@†Vp:>¹¨5<VQ; p:8PAP@,5¹>7b:@[U…bP=?‡³VQ8:U,@X‡P5<@9<9<@A
APV„`8·1J¢k>=E9œpP9<@”¨pPG>7Vp:9<@¹9<bF6Y5<‡ @,8P@A ><V+V><bP‡P=?U )9W>7VAP@GE=?a@,56Y8PAe5<@,;VaQ@ 9Z=EG?a@,5
@‡Vwµ)dK¨5<VQ; >7b:@ U=E5<UpP=?>,1Tno6Y5<@¨p:GEG?dK‡:G 6U@­><bP@ U…b:=E‡ 68:A pP9Z=E8PDWVQ8P@ 9<@>V¨P> „ @,@ $,@,5Z9
=?8[@,6U…b†bF68:A ‡:5<@,9Z9ˆVQ8X>7bP@IaQ@,5 dX@,APDQ@9ˆV¨>7bP@JU…bP=E‡X„`bP@,5Z@’>7bP@5<@’65<@I8PV´a)=?9<=E•:GE@
UVQ;´‡ VQ8P@8¯>79W><V9Z@>ˆ=3>œ=?8[><bP@’9Z=EG?a@,5O@,‡ Vwµ+d1œ¢k>W=E9W=E;‡VQ5 >…68¯>c><bF6Y>œ><bP@’9ZpP5Z¨.6YU,@’VY¨
><bP@`U…bP=E‡´=E9 Š6Y> 6D¯6Y=E8P9 >>7bP@OGEV„ @,5 9<pP5 ¨.6U,@W68PA¹>7bP6Y> ><bP@,5Z@`=E9 9<=?G?aQ@5 @,‡ Vµ)d¹a)=E9Z=E•PG3d
‡Pp:9<bP@ACVQp:>`¨5ZVQ; pP8:AP@,5Z8P@w6Y><b[><bP@KU…bP=E‡XVQ8[6GEG9<=EA:@,9,1­¢k¨>7bP@IU…bP=E‡q=?9O8:V> FpP9ZbCVQ5
=3¨ ><bP@¹9<=EG3aQ@5@”µ+‡Vwµ)d =E9K8PV>K@”aQ@8PG?deAP=?9Z><5<=E•:p:>7@A pP8:AP@,5Z8P@w6Y><b &>7bP@5<@=E9K6qU…bF68PU@
V¨•P5Z@w6 =?8PD >7bP@KU…bP=?‡q„`b:@,8q„`=E5<@ i • VQ8PAP=?8PDP1ŽGE6U,@ˆ><bP@ˆ„`bPVQG?@U,=E5ZU,pP=3>c=E8q620L n
VaQ@8 ¨V5gL;´=?8p:>7@9ˆ>7Vq@,8P9ZpP5<@´6¨pPG?G?d[U,VQ8PA:pPU><=?aQ@@,‡ Vwµ)d =?¨ ><bP@¹@,‡ Vµ)d =?98PV>
¨pPG?G?d´AP5<=?@,A :=?>c„`=EG?G¼8PVY>WUVQ8PAPp:U>`„u@GEGƒ1
0
$
,&
+
°±bP@8£„`=E5<@C•VQ8:AP=E8PDO=3>
=?9=E;‡ VQ5Z>768¯>>7V )8:V„žbPV„ ><bP@C¨V)V>
VY¨I><bP@†>7V)VQGO=E9
9ZbF6‡ @,A O=?¨OdQVQp³;´=?9 ZpPAPD@
>7bP@[AP=E9 >…68PU@q•@”> „u@@,8H>7b:@X><V+VQG`6Y8PA ><bP@[U…b:=E‡ =?>=E9
‡ VQ9<9Z=E•PG?@ˆ>7V¹•P5Z@w6 ><bP@KU…bP=E‡q„`=?><b>7bP@K•F6U VY¨><bP@¨V+V>,1
¢k¨’><bP@e•V8PAP@,5q=?98PV>q• VQ8PAP=?8PD›„u@GEG W=?>=?9pP9<pP6GEG3dHU…bF68:8P@,G¹2e>7bF6Y>q=?9
>7bP@
‡P5ZVQ•PG?@,;X1†~ˆ=?S@,5Z@,8¯>{UVQ8PAP=3>7=?VQ8P9’;´6wd 5<@ !pP=?5<@
A:=?S@,5<@8¯> 9<@”><>7=?8PDQ9 ><bP@><=E;@68:A
><bP@K‡V„ @,5`Uw68X• @J6YA ZpP9Z><@,AX>7V „uV5 „`=3>7bX;68¯dAP=?S@,5Z@,8¯>O9<pP5 ¨.6U,@9 Š•Pp:>O=?>`;´6wd
>76 @K‡F6Y><=E@8PU,@I68PAX‡ @,5<9Z=E9 >…68PU@1
¢k¨=3>`=E9OAP= U,p:G?>c>7V´•V8PA Š;6 @I9<pP5Z@I><bF6Y>O>7bP@I•V8PAP=E8:D´9ZpP5Z¨.6U@J=?9`9<VQG?=EAPG3d
=E8
‡PGE6U,@ ¯=?¨Š>7b:@c•VQ8:AP=E8PD9ZpP5Z¨.6YU,@`=E96Y•PGE@o><V;´VaQ@IpP‡68PA¹APV„`8Š‰„`=?><b{>7bP@`‡P5Z@,9Z9<pP5Z@
V¨¼>7bP@O>7V)VQG Q><bP@,8><bP@œ• VQ8PA:9 „`=EG?GF•@W@”µ)>75Z@,;@,G3d´bP65<A´=?¨8PVY>u=?;´‡ VQ9<9Z=E•PG?@o>7VJ;6 Y@1
& +
² V+V)A›DQ5ZVQpP8PAP=?8PDe=E9{a@,5Zd¡=?;´‡ VQ5 >…68¯>’><V 9 d)9Z>7@; ‡@5Z¨VQ5Z;6Y8PU,@ u68:A DQ5ZVQpP8PA:=E8PD
‡P5ZVQ•PG?@,;9cUw68qU,5ZVQ‡XpP‡[@”aQ@5Zd„`bP@,5Z@1
4cbP@5<@ 65<@ ‡PGE6U,@9VQ8><bP@uNOš•VQ65<AK„`bP@,5Z@­a)=E6`b:VQGE@96Y5<@ 5<@!)p:=E5<@A
U,VQ8:8P@,U”>7=EV8
><V D5<VQpP8:A¡><bP5<VpPDQb›>7bP@q9ZpP•P9Z><576Y><@‰ 1 © @,Uw6YpP9<@q>7bP@q•F6YUxV¨O>7bP@q• V¯65<A¡pP9Z@,A¤=E9
6G?pP;=E8pP; ><bP@,5Z@=E9’8:V†„o6wd ><V 9<VQG?AP@,5J>7V†=3>q.=3>{AP=?9<9Z=E‡F6Y><@,9{b:@w6Y>{><V !pP=EU G?d >7V
;´6 @’6´9ZVQGEAP@5 ZVQ=?8¯> ‰”1`4cbP@JF5<9 >ˆ;@>7b:V+A[V¨APVQ=?8PD´><bP@Ja)=E69W„u69œ><VU,p+>69Z;6G?G
bPVGE@œ=?8>7b:@9<pP•P9 >75<6Y>7@ˆ><V{>7bP@ˆD5<VQpP8:A‡PG 68:@68PA
FG?G=3>u„`=3>7b9<=?G?a@,5o@‡Vwµ)dQ1°±bP@8
><bP@{9<=?G?a@,5œ@‡Vwµ)d AP5Z=E@9 ¼=3>ˆ9Z@,@,;9ˆ>7VU,VQ8¯>75<6U>6
•P=?>ˆ68PA Uw6Y8 U,576YU ›.@”aQ@8 =3¨ 8PV>
a)=E9Z=E•PG?@´><V†>7bP@8F6 @,A¤@d@‰ uUw6YpP9<=?8PD†>7bP@UVQ8P8P@U><=EVQ8›>7V 8:V>¹•@9<VQG?=EA·1 RTaQ@8³=?¨
6U,V8P8P@,U”>7=?VQ8e=E9DQV)V+A†><V•@DQ=E8 „`=3>7b =3>Uw68 ¨.6=?GT6Y¨y><@,5K6
¨@„ AF6wd)9KV¨ubP68PAPG?=E8PD
><bP@ˆ• V¯65<A¼14V¹6waQV=EA
>7b:@ˆ9<=?G?aQ@5o@,‡ Vwµ)d F6{9Z@,U,V8PA[;@><bPV)A„o6Y9cAP@a@,GEV‡@Aq><V pP9<@
•P5<69<9W8F6=?GE9,1o©u@,DQ=?8[•¯dXAP5Z=EGEG?=E8PD¹6bPVQG?@I=?8X><bP@’• V¯65ZA[><bF6Y>W=E9c>7bP@J9<= $,@IV¨T>7b:@J8F6Y=EG
=?>WbF69ˆDQ5Z=E‡P9W8P@w6Y5œ>7bP@’bP@w6A†><bF6Y>W„`=EGEG&bPVGEA†=3>œ=?8Š‰W68:A†>7bP@8 =E8P9Z@,5Z><=E8PD>7bP@’8F6=EGƒ1
lO@”µ)> U,p+> VYS
>7bP@`@ µ:U@,9Z9u8F6=?G:„`=?><b¹bP@w6wad¯i APp:> d¹„`=?5<@cU,p+><>7@5<91 š=E8F6YGEG?d 9ZVQGEAP@5T>7bP@
><VQ‡qV¨><bP@K8F6=?G¼>7V >7b:@I‡P6AX><V¹•@KDQ5ZVQpP8PAP@A·1
°±bP@8CpP9Z=E8PD´;{pPG?><=E‡PG?@ˆVQ‡+i 6;‡P9c=E8q>7bP@I976Y;´@KU,=?5<UpP=?> F=?>`=E9`=?;´‡ VQ5Z>768¯>c>7VpP9<@
9ZbP=E@GEAP@Ae„`=?5<@9K><VqU,VQ8P8:@,U>I>7b:@UVQ;;´VQ8†aVQG?>76DQ@ 9ZVQpP5<U@1²K5ZVQpP8PA ><bP@¹•P576=?AP@,A
9ZbP=E@GEA>7V¹‡P5Z@aQ@8¯>68¯d ZU5<VQ9Z9Z>76G >7bF6}>W;=EDb>oUw6pP9Z@KVQ9<U=EGEGE6Y>7=?VQ8P91
$'D $ $B/!% , / -$ "!
ˆ8PU@ 6GEGOV¨><bP@†U,V;´‡ VQ8P@8><965Z@ 65<5<68PDQ@A£VQ8³><bP@†976Y;´@†• V¯65ZAc=?>•@U,VQ;@,9
AP= U,pPG3>c=?¨8PV>`=?;´‡ VQ9Z9<=E•:GE@`>7V¹=E9ZVQG 6Y><@W><bP@K9<pP•P9 d)9Z>7@;´91 4cb:@I;VQ9 >`pP9<@”¨pPG9Zd)9Z><@,;
0f
V¨;@w69ZpP5<@;´@8>­pP9Z@,A¹„o6Y9­><VJ6}><>…6YU…b68´BP‘XŒ Š6Y8PDQ@,AU,V8P8P@,U”>7VQ5><VJ6K~Kn¡•PGEV)U
68:A
U,VQ8:8P@,U”>c=?> >7V{6J9<‡ @,U”>75ZpP; 6Y8F6G?d $@,5,14cb:@ˆ;´@,69<p:5<@,A‡V„ @,5o=?9 VQ8:G?d¹5<@G 6Y><=?a@
68:A>7bP@œ‡P5<VQ• @œ‡:=EU 9opP‡5<6AP= 6}>7=EV8†@aQ@8
„`bP@,8q9ZbP=E@GEAP@AŠ‰ )9<V{><bP=E9 ;´@”>7bPV)A=?9 ¨.65
¨5ZVQ; =?AP@w6Gƒ1B+V;´@”>7=E;@,9u=?>c• @,UVQ;´@9`8P@,U@,9<9<65Zd><V )8:V„ =?¨6 U,@5Z>…6Y=E8qU,VQ;‡ VQ8P@,8¯>
=?9„ VQ5 =E8:D.• @,=E8:Dˆ=E9ZVQG 6Y><@,A’696ˆ‡ VQ9<9Z=E•PG?@u‡P5ZVQ•PGE@; UVQ;‡VQ8:@,8¯> ‰ Q9<Vˆ><bP@oGE=?8P@­;{pP9Z>
• @´Up:>JVQ8 @=?><bP@,5I9<=?AP@68PA ‡:5<VQ• @,9I•P5<VpPDQb¯>’=?8 ><V[‡ @,5 ¨VQ5<; 6q5<VpPDQb ><@,9 >{6‡P65Z>
¨5ZVQ;Ÿ><bP@K5<@,9 >OV¨><bP@KU,=?5<U,p:=?>w1
4@,9Z>O‡ VQ5Z><9u„ @,5<@KpP9Z@,AqVQ8qVQ8P@VY¨><bP@=?><@,5<6Y>7=?VQ8P9uVY¨>7bP=?9c9<Uw6}><>7@5<VQ;@><@,5o=?8
>7bP@
¨VQ5Z;rV¨u0 TAF© UVQpP‡PG?@,5<91K4cbP@dCAP=EA†8:V>‡P5ZVa@{>7V
• @{pP9Z@¨pPG•@Uw6pP9Z@{>7bP@’9<=ED8F6G
„u69¹GEVQ9 >¹=E8x><bP@qbP=EDQb¡8PV=E9<@ PV+VQ51x4cbP@qbP=?DQb¡8PVQ=E9Z@ FV)VQ5{„o6Y9A:pP@>7V 6 GEV> VY¨
5<6AP= 6}>7@,Aq‡ V„u@5`¨5ZVQ;Ÿ><bP@ 1 {1F9Z„`=3>7U…b·1
°±bP@8†>7bP@5<@ =E9O576YAP= 6Y><=EVQ8X>…6 =?8PD‡PGE6U,@JVQ8e6• V¯65ZAC>7bF6}>ˆ=E9W=E8C6;´@”>…6G• Vµ ><bP@ •Vwµ 6U><9K69K6
5<@9<VQ8F6Y8>IU…bF6Y;{•@5,1J¢k¨ ><=EDQb¯>7@8P=E8:D
VQ5GEV)VQ9Z@,8P=?8PD
9<U5<@”„`9IV8 6
G?=EACV5ˆ9<=?AP@ V¨ >7bP@’•Vwµ bP69K6
9<=?DQ8P=?PUw68¯>@”S¼@U>KVQ8†><bP@ 9<=?DQ8F6*G ><bP@,5Z@ U,VQpPG?A†„u@GEG
• @I6¹5<6AP=E6Y>7=?VQ8
‡P5ZVQ•PGE@;[1
( B$ D& ! ,
4cbP@ 9 „`=?><U…bP@,9IpP9<@A „ @,5Z@6
;6 ZV5ˆ9<VQp:5<U,@¹V¨­5<6AP= 6}>7=EV8·1¢k>K=E9ˆ8:V>KU,G?@w65ˆ@ µ:6U><G?d
„`bF6}>u=?8><@,5<6U><=EVQ8¹„`=?><b´>7b:@W9 „`=?>7U…b:@,9oU,6pP9<@9 ><bP=E9­576A:= 6Y><=EVQ8¯•:p:>u‡:G 6U=E8PDI6J;@>76G
 ;´6Y>7@5<=E6Gy‰œU,6DQ@Va@,5I>7bP@¹>7bP@; Uw68 bP@,G?‡ >7VC6•P9ZVQ5<• 9<VQ;@´VY¨u>7b:@576YAP= 6Y><=EVQ8¼1
B+@@C>7b:@†U,@8><@,5V¨><bP@†G?@¨y>„o6G?Gœ=?8Hš=?DQpP5<@C] ¨VQ5>7bP=?9Uw6YDQ@1 4cbP@5<@†=?9
6G?9<V›6
;=EU5<V„o6wa@”im6Y•P9<VQ5Z•P=E8:D ;6Y><@,5Z= 6G pP8PA:@,5<8:@w6Y><b¡><bP@
>7V‡x¨.6U,@
VY¨O><bP@Uw6YDQ@>7V b:@,GE‡
6Y>Z>7@8)pP6Y>7@>7b:@I9Z=EDQ8P6G¼>7bP6Y>`=E9c5<6AP= 6}>7@,A¼1
ˆ8PU,@ONOš bF69 GE@,6 @A´VQ8¯><VK><bP@`•P= 6Y9GE=E8:@,9TV¨ >7b:@O9 „`=?><U…bP@,9 :68¯d 9ZbF65Z‡´• @,8PA=E8
><bP@ G?=E8P@ U,68{• @,U,V;´@ 6W9ZVQpP5<U@uV¨P576AP=E6Y>7=?VQ8·1²@,8¯>7G3dK9Z„ @,@‡P=E8PDUpP5Za@,9TU,68{5Z@,‡PGE6U,@
><bP@,9Z@´• @,8PA:9K><V[‡:5<@a@,8¯>J9<pPU…bx5<6AP=E6Y>7=?VQ8C¨5<V;žV)U,U,p:5<=E8:DP1Ž GE6U,=?8PD=E8:APpPU><VQ5<9KVQ8
><bP@,9Z@GE=?8P@,9KU,68 5Z@,APpPU@´><bP@´5<6Y>7=E6Y>7@A ‡ V„u@5J¨5ZVQ;§>7bP@GE=?8P@,9 >7V)Vx9<@,@>7bP@´4O€N
9Z@,U><=EVQ8F‰”1
4cbP@XU,VQp:‡PGE@A G?=E8P@PG?>7@5¹Uw68¤•@X6 9<VpP5<U@[V¨œ576A:= 6Y><=EVQ8x• @,U,6pP9Z@[>7b:@[NOš =E9
¨VQ5ZU,@AX><VUVQpP‡PG?@ˆ>7bP5ZVQpPDQbq>7b:@I9ZpP•P9 >7576}>7@J68PAX6=E5c=?8q•@”> „u@@,8[><bP@KGE=?8P@,91
$ - 5
- $B °±bP@8[;´6 =E8:D{>7@,9 >O•V¯6Y5<AP9`VQ8X6{>7bP=?U ;@>…6YG·9<pP•:9Z>75<6Y>7@ Š=?>c=?9c8P@,U@,9<9<65Zdq><VA:5<=EG?G
68:AJ>…6Y‡{9<U5<@„¤bPVGE@,9&>7Vˆ6Y><>76U…bJ><bP@uUVQ8P8P@U>7V5,1 O9<@u><bP@uAP5Z=EG?G¯‡P5<@9<9><VœAPVœ69;{p:U…b
V¨ ><bP@ AP5<=?GEG?=E8PDVQ8†>7b:@ •V¯6Y5<Ae69‡ VQ9<9Z=E•PG?@1IŒW¨y><@,5AP5Z=EGEG?=E8PD¹>7b:@ ‡P5<VQ‡ @,5œ9<= $@ bPVQG?@ pP9Z@ >7bP@ >…6Y‡e><VX><bP5<@,6Ae=?>,1{Œ‚GEpP•P5Z=EU,68¯> 9<p:U…bx69 Z46‡ ‘C6DQ=?U 9ZbPVQpPG?A 6G?„u6wd+9
^Q • @{pP9Z@,A ¨VQ5W><bP=E9œ‡P5<V)U,@9<9K68PA†><bP@’>76‡ 9<bPVpPGEA†• @{•F6YU@,A VQp:>68PA U,G?@w68:@,Ae68¯d
><=E;@œ>7bP@5<@K=E9`6¹GEVY>cV¨5<@,9Z=E9 >…68PU@
VQ5odQVp[5Z=E9´•P5<@,6=E8PD¹>7b:@K>76‡Š‰ 1
D+ $B& /
,$B!
¢k¨Š6WU,VQ;‡V8P@,8¯>bF69• @,@8{=EA:@,8¯>7=3F@,A 6Y96œ‡:5<VQ•PG?@,; }><bP@u8:@”µ)>T9 >7@,‡ =?9><VœAP@”>7@5<;=E8P@
„`bP@”>7bP@5&VQ58PV>><bP@ U,VQ;‡V8P@,8¯>&=E9AP6;6YDQ@,AKVQ5=?;´‡P5ZVQ‡ @,5<G3dO;´VQp:8><@,AŠ€}U,VQ8P8:@,U><@,A·1
‘C6Y8d´>7=?;´@9 „`=E5<@œ•VQ8:AP9cGEV)V {F8P@ P•Pp:>c><bP@d´Uw6Y8X• @ˆG?V+VQ9Z@1 O9<=?8PD¹6’9<bP65<‡ @,8P@A
><V+V><bP‡P=?U ' DQ@8><G?dq>7VpPU…b†>7bP@I„`=E5Z@,9W><V
9<@@{=?¨>7bP@”d[;VaQ@Y1œ¢k¨ VQ8P@’APV+@9 >7bP@8C>7bP@
• VQ8PAe=E9G?V+V9<@ 68:A 8P@@,AP9K>7V• @¹5<@ i • VQ8PAP@A VQ5ˆ><VQpPU…bP@A p:‡ „`=3>7b 6•P=?>VY¨u9Z=EG?a@,5
@‡Vwµ)dQ1­¢k¨><bP@JG?V+V9<@• VQ8PAX=E9cVQ8q>7bP@KU…bP=?‡ P>7b:@,8[><bP@KVQ8PG3d5<@U,VQpP5Z9<@I=E9o><V´5Z@”i • VQ8PA
=3>w1œ¢k¨ ><bP@{‡F6A V8C>7bP@’U…bP=E‡ =?9œ5<p:=E8P@A ><bP@,8 >7b:@{U…bP=E‡C;{pP9Z>ˆ•@{5Z@,‡PGE6U,@A·1ˆ°±bP@8
AP@”>7@5<;=E8P=?8PD¹=?¨>7bP@IU…bP=E‡X=?><9<@G?¨=E9`•P5<V @,(8 G?V+V ´Uw65Z@¨pPG?G?d
><V´9Z@,@I=?¨>7bP@5<@J65<@J68¯d
AP=?9<UVQGEVQ5<6Y>7=?VQ8P9KVQ5I¨576U”>7pP5Z@,9,1[ŒœG?9<V T;´6 Y@9<p:5<@><bF6Y> 6YGEG V¨o><bP@
U,V8P8P@,U”>7=?VQ8P9{VQ8
><bP@KU…bP=E‡q=?><9<@G?¨65<@9 >7=EG?G·=E8¯>76U>,1
¢k¨·><bP@WUVQ;‡VQ8:@,8¯>­=E9 9<VQG?AP@,5Z@,A )U…bP@U ><VJ;´6 @O9<p:5<@W><bF6Y> >7b:@,5<@œ65<@`8PV ZU,VGEA 9ZVQGEAP@5 ZVQ=?8¯>79 ><bP@
9<VGEAP@5’9<b:VQpPGEA •@´9<bP=?8dx68PAx9Z;´V)V><b·1 O9<=E8:D 6 Fp+µ ‡ @,8x>7V
><5<@w6}> >7bP@q9<VGEAP@5<=E8:D 9ZpP5Z¨.6YU,@X•@”¨VQ5<@9ZVQGEAP@5<=?8PDeUw68¤•@a@,5Zd¡b:@,GE‡+¨pPGc•@Uw6p:9<@X=?>
bP@GE‡P9c><bP@K9<VQG?AP@,5c9 >7=EU ´>7V >7bP@K;@>76G !pP=EU G3dQ1
B$ --$ °±bP@8›9<@8PAP=E8:De6†G 6wdQVQp+>q ²@,5Z•@5’FG?@‰K><V •@´‡P5<=?8¯>7@,A›V8x>7576Y8P9<‡F6Y5<@,8:Ud ><V pP9<@
¨VQ5u@>7U…b:=E8PD[.U,6GEG?@,Aq6 ;´69 +‰ :;6 Y@9<pP5Z@>7V¹pP9<@><bP@K;´=?5<5<V5 =?;6D@ˆV¨&>7bP@KGE6dVQp:>
9<V’=3>­GEV)V 9­•P6U ¯„o65ZAP9…‰ 1 4cbP=E9­=E9­•@Uw6p:9<@ +APpP5Z=E8PDK>7bP@O@><U…bP=E8:D’‡P5ZV+U@,9<9+><bP@œ9Z=EAP@
„`=3>7b
>7b:@ˆ=E8 VQ8=3>u„`=EG?G• @ˆUGEVQ9Z@,9Z>c><V’>7b:@U,=E5ZU,pP=3>o• V¯65ZA :;´6 =E8PD’;´VQ5Z@ˆ6UU,pP5<6Y>7@
G?=E8P@9VQ8´><bP@`U,=E5ZU,pP=3>w1Œº9Z@,5Za)=?U,@`>7bF6Y> Uw6Y8´;´6 @`>7b:@O><5768P9Z‡F65Z@,8PU=E@,9­=E9­R­‘C~KB +h] - 1
‘C6 Y@¹9<pP5Z@{>7bF6Y>6GEGUw6•:GE@,9p:9<@,Ae=?8†>7bP@{9 d)9Z>7@; 65<@J„uVQ&5 =E8PD
„ @,G?G68PA bF6wa@
DQV)V)AU,VQ8P8:@,U><VQ5<91
°±bP@8 pP9Z=E8PDX6‡ V„ @,5K9ZpP‡P‡PG3d &;6 @¹9<pP5Z@ >7bF6Y>K=3>=E9ˆ„`=?5<@A UVQ5<5Z@,U”>7G?d¡.APVQ(8 h>
69Z9<pP;@
>7bP6Y>{>7b:@‡@5<9<V8¤p:9<=E8:D =?> • @¨VQ5Z@8P@,@AP@,A¡>7b:@976;@¨pP8PU”>7=?VQ8¡69{dQVpŠ‰”1
ŒWGE9<V )@”aQ@8X=3¨·=3>u=?9­„`=?5<@,A´U,VQ5Z5<@U>7G3d +;6 Y@W9ZpP5<@W>7bF6Y>­>7b:@œU,V8P8P@,U”>7=?VQ8P9c65Z@WDV+V)A
><bP@I„`=?5<@9O9ZbPVQpPG?AC• @KU,G 6Y;´‡ @,AXVQ8XVQ8PG?d>7bP@IU,VQ8:APpPU><VQ5W68PAC8:V>W68¯dq‡F65Z>OV¨>7bP@
9ZbP@w6Y><b·1
^:2
4cbP@¨VQG?GEV„`=E8:D[FDQp:5<@,9¹AP@‡P=EU”> >7bP@A:@,9<=?DQ8 68PA¡9<=?;{pPG 6}>7=EV8 V¨`>7b:@XUVQpP‡PG?@,A¡GE=?8P@
AP=?5<@U>7=?VQ8F6GuU,VQpP‡:GE@,5´68PA •F68PA:‡F69<9FG?><@,5¹„`=?><b¤><bP@CŒWA:aY68PU,@A£~ˆ@,9Z=EDQ8³B)d)9Z><@,;
Œˆ~KBP‰ 1š=?DQpP5Z@†9<bPV„`9{><bP@qB+U…bP@;6}>7=EU„`=E8PA:V„‚¨VQ5I>7bP@AP=E5Z@,U><=EVQ8P6G UVQpP‡PG?@,5
9Z‡@U,=?¨yd)=?8PD¤6GEGˆA:@,9<=?DQ8 ‡P6576;@><@,5Z9X9ZpPU…b 6Y9XG?=E8P@ G?@,8PDY>7b‹/ )­‰ œ„`=?A:>7b‹ ‰
68:A
9Z‡F6U,=?8PDJ ‰·•@”> „u@@,8’><bP@>75<68P9<;=E9Z9<=?VQ8GE=?8P@,91¢ 8Jš=EDpP5<@o2w`><bP@ 5<@,9ZpPG?><9&9<=E;’pPG 6Y><@,A
=?8K><bP@ B+U…bP@;6Y><=EU„`=E8:APV„›65Z@U,VQ;‡F65Z@,AK>7Vc>7bPV9<@­9<=E;’pPG 6Y><@,Aˆ„`=3>7bK>7bP@ ‘[@”>7bPV)AJVY¨
‘[V;´@8><9,1TŒWGEG+9<=E;’pPG 6Y><=EVQ8:9T69Z9<pP;@`6ˆGEVQ9Z9Zd’9<pP•P9 >75<6Y>7@O68PA F8:=?>7@cUVQ8PAPp:U>7=3a)=?> d{VY¨
><bP@ˆU,V‡P‡@5oG 6wd@,5,1 š=EDQpP5Z@’2fI>7bP@89<bPV„`9o>7b:@ˆG 6wdQVp:>cV¨><bP@ˆAP=?5<@,U”>7=?VQ8F6G U,VQp:‡PGE@5,1
š=?DQpP5Z@,9q0 x><V¤0Q0x9<bPV„ž><bP@ 976Y;´@†¨VQ5
><bP@ •F68:AP‡F69Z9qPG?>7@5,1 ŒœAPAP=3>7=?VQ8F6G?G?d¡=E8
š=?DQpP5Z@ 0+2.6¯‰¹><bP@ 9<=?;{pPGE6Y>7=?VQ8¤„`=3>7b£6Y8£=EAP@,6Gœ9ZpP•P9Z><576Y><@¤.=?8:F8P=3>7@CUVQ8PAPp:U>7=3a)=?> d
68:A´8PVI9<p:•P9Z><576Y><@œGEV9<9<@9…‰=E9DQ=3aQ@8·1 š=EDpP5<@O0^K9<b:V„`9­>7bP@`GE6dVQp:> ¨VQ5>7b:@OF8P6GŠNWš
• V¯65ZA[6Y8PAXPDQpP5<@J0Yg¹9ZbPV„`9O><bP@KG 6wdQVQp+>c¨VQ5o>7bP@F8P6G¢mš›•V¯6Y5<A·1
) ,
J
^¯0
'& +3
! /+
$#%
4,/ š=EDQpP5Z@ 2)­B+=?;{pPGE6Y>7@A5<@,9ZpPG?><9`V¨><bP@KU,VQp:‡PGE@5,1
^Q^
š=EDpP5<@{2wf)­nuVQpP‡PG?@,5`G 6wdVQp:>w1
^g
š=EDpP5<@K0Y ) ©o68PA:‡F69<9`FG3>7@,5c=?8[B+U…bP@,;´6Y><=EUˆ„`=E8:APV„K1
^¯L
'& +3 ,! 6+
$#%
. "
"
4,/ š=EDQpP5Z@K0+2)­B)=E;{pPGE6Y>7@A5<@9<pPG3>79`V¨>7bP@FG3>7@,51
^Q]
š=?DQpP5Z@I0Q0 ) ©o68:AP‡F69Z9`PG?>7@5cG 6wdQVp:>w1
^¯¦
š=EDpP5<@I0^ ) NWš›• V¯65<AqGE6dVQp:>,1
š=?DQpP5<@0Yg )¢mš¡•V¯6Y5<AqG 6wdQVp:>w1
^Q
T w { % J
, ~ˆ@9<U5<=E‡+>7=EV8
‘C68p:¨.6U”>7pP5Z@,5
Œœ;‡PGE=3F@,5
š =EG3>75<V8P=EUˆB+VQGE=?AXB)>…6}>7@
Œo><>7@8pF6Y>7V5
œŒ G?‡PbF6 ¢ 8PAPp:9Z>75Z=E@9
nubP=?‡†no6Y‡F6U,=3>7VQ5Z9
[‘ pP576}>…6
nubP=E‡XN`@,9Z=E9Z><VQ5<9
Ž6 8F6Y9<VQ8P=?U
~Nc
46;‡F6‘[E= U5<V„u6a@ &6•
¢mš¤~ˆ@>7@U><VQ5`~ˆ=EV)AP@
‘†€YŒ`i ncK‘
ŽVY>7@,8¯><=EVQ;@><@,5
Ž68F6Y<9 VQ8P=?U
NWši ~ˆ=EV)AP@
ŒœGE‡:Fb 6
BP‘[Œ nuVQ8P8P@U><VQ5
ŒˆR Ž
B)pP•P9Z><576Y><@
NOVDQ@,Z5 9
B)„`=3>7U…b
‘†€YŒ`i ncK ‘
B„`=?>7U…b[~ˆ5<=3aQ@5
‘†€YŒ`i ncK ‘
4c=E;@,5
lœ6Y><=EVQ8F6YGB+@;´=?,U VQ8PA:pPU><VQ5
B+‡:576DQp:@”i ²V)+V AP;´68
65Z= 6•PG?@Kno6‡F6YU,=?><VQ5
^Qf
Ž65Z>WlOpP;{• @,5
‘[ŒœgP22
Œ4`lW^¯LQ }im0 ²KNW‘C^Q]{9<@5<=?@,9
R N}im^¯²IB 9<@5<=?@,9
~NcWi…2^¯0)2Li œK‘
‘[ŒWg¯R f¯0fQ©
R ‘qi…2~KB ^Q Q©W20
no~Knc¦]Q^Q im Q Q f:2¦Y]}i…2Q22^}im Q N4O€YAPpP5ZVQ=EA[LQ ‘[ŒˆB)°º0 Q Q B)° ~Wi72 QfQŽ¢ml
‘†ncLLQLQnc‘†‘
² œ²I^ Q Q]¯¦
un VQ9 >
Q¦YgP1_ Q ^:1M¦QL
:1_ ¯L
:1_ ¯L
¦QL :1_ Q 976;‡PG?@
:1ML 976;‡PG?@
Q0+2Q1_Qf
976;‡PG?@
Q¦f:1_ Q ]:1_fQ]
:1_]¯¦
+2Q1M0
B+@,@JŽ6D@
g¯ gP2 Pg0
g¯^
gQg
gL
g¯]’i­g¯
g¯f
L ’iuLQ0
L^
LYg
LQL ŠL]
LQ¦JiuLf
]Q F]:2
]¯0
8.5-14GHz PHEMT Amplifier
Filtronic
LMA411
Solid State
Features
•
•
•
•
•
•
•
•
•
•
2dB Typical Noise Figure
18dB Typical Gain
19dBm Saturated Output Power
12dB Input/Output Return Loss Typical
8.5-14GHz Frequency Bandwidth
+6 Volts Single Bias Supply
DC Decoupled RF Input and Output
Chip Size : 1.624mmX1.47mm (.064”X.058”)
Chip Thickness : 100µm
2
Pad Dimension : 100µm
Description
The Filtronic LMA411 is a high dynamic range low noise PHEMT amplifier that operates from 8.5 to 14GHz. Reactively matched 2-stage
amplifier provides 18dB nominal gain with 2dB typical noise figure and 1-dB gain compression power output of +17dBm that can be used as
a pre-driver amplifier for phased array radar as well as commercial communications applications. Ground is provided to the circuitry through
vias to the backside metallization.
Electrical Specifications at Ta=25°C
(VDD=+6.0V, Zin=Zout=50Ω)
Symbol
BW
S21
Parameter
Operating Bandwidth
Small Signal Gain
Idss
∆S21
NF
RLin
RLout
S12
P-1dB
Psat
Drain Current at Saturation
Small Signal Gain Flatness
Noise Figure
Input Return Loss
Output Return Loss
Reverse Isolation
1-dB Gain Compression Power
Saturated Output Power
Test Conditions
Rs=10/5Ω @ .5Idss
Rs=6/2Ω @ .75Idss
Rs=0/0/0Ω @ Idss
Min.
8.5
17
105
@ .5Idss
Rs=10/2Ω @ .6Idss
Limit
Typ.
Max.
14
Units
GHz
dB
315
±1.2
3.5
mA
dB
dB
dB
dB
dB
dBm
dBm
18
225
±0.8
2
-10
-14
-34
17
19
Absolute Maximum Ratings
Symbol
Vdd
Idd
Pin
Pt
Tch
Tstg
Tmax.
Parameter/Conditions
Drain Supply Voltage
Total Drain Current
RF Input Power
Power Dissipation
Operating Channel Temperature
Storage Temperature
Max. Assembly Temp.
(1 min. max.)
Min.
-65
Max.
7
315
15
2
150
165
300
Units
Volts
mA
dBm
W
°C
°C
°C
Notes:
1. This GaAs MMIC is susceptible to damage from Electrostatic Discharge. Proper precautions should be used when handling these devices.
2. Specifications subject to change without notice.
DSS 003 WC
Phone: (408) 988-1845
Internet: http://www.FiltronicSolidState.com
g¯ Fax: (408) 970-9950
Fixed Attenuator Pads
ATN3580 Series
Features
■ Specified Flat Response to 40 GHz
■ Return Loss > 16 dB to 40 GHz
■ Available at 1–10, 12, 15, 20, 30 and 40 dB
■ Power Handling to 1 W CW
■ Rugged Thin Film Silicon Chips
Description
The ATN3580 series of attenuator chips incorporate thin
film resistors on high resistivity silicon chips to achieve
precision attenuation, tight flatness and high return loss
to 40 GHz. The design uses a balanced TEE resistive
structure to assure broad bandwidth performance. The thin
film technology offers improved power handling capability
in comparison to the traditional thick film printed
attenuator. All ATN3580 attenuator chips are specified for
their attenuation at DC. In addition, a wafer probe sample
test is performed to 40 GHz to assure meeting the flatness
specification. Alpha’s measurements indicate that
attenuation typically increases with increasing frequency,
as shown in Figure 1.
Absolute Maximum Ratings
Characteristic
Value
Incident Power @ 25°C
1W
Operating Temperature
-55°C to +175°C
Storage Temperature
-65°C to +200°C
Electrical Specifications at 25°C
Attenuation Flatness
Nominal
Attenuation
d
( B)
Attenuation
Tolerance
@ DC d
( B)
1
± 0.15
± 0.20
2
± 0.15
± 0.20
3
± 0.25
4
5
DC–12 GHz
d
( B)
DC–26.5 GHz
d
( B)
DC–40 GHz
d
( B)
Outline Drawing
Part Number
± 0.50
± 1.00
516-060
± 0.50
± 1.00
516-060
♦ ATN3580-02
± 0.20
± 0.50
± 1.00
516-060
♦ ATN3580-03
± 0.25
± 0.20
± 0.50
± 1.00
516-060
± 0.25
± 0.20
± 0.50
± 1.00
516-060
6
± 0.25
± 0.40
± 0.60
± 1.00
518-060
ATN3580-06
7
± 0.25
± 0.40
± 0.60
± 1.00
518-060
ATN3580-07
8
± 0.35
± 0.40
± 0.60
± 1.00
518-060
ATN3580-08
9
± 0.35
± 0.40
± 0.60
± 1.00
518-060
ATN3580-09
♦ ATN3580-01
ATN3580-04
♦ ATN3580-05
♦ ATN3580-10
10
± 0.35
± 0.40
± 0.60
± 1.00
518-060
12
± 0.50
± 0.40
± 0.60
± 1.00
518-060
ATN3580-12
15
± 0.50
± 0.40
± 0.60
± 1.00
518-060
ATN3580-15
20
± 1.10
± 1.00
± 2.00
± 4.00
518-060
ATN3580-20
30
± 1.60
± 1.00
± 2.00
± 4.00
518-060
ATN3580-30
40
± 1.60
± 1.00
± 2.00
± 4.00
518-060
ATN3580-40
♦ Available through distribution.
Return Loss
ATN3580 Series
DC–7 GHz d
( B)
Min.
DC–12 GHz d
( B)
Min.
22
DC–26.5 GHz d
( B)
Min.
20
DC–40 GHz d
( B)
Min.
18
Alpha Industries, Inc. [781] 935-5150 • Fax [617] 824-4579 • Email sales@alphaind.com • www.alphaind.com
gP2
Specifications subject to change without notice. 5/01A
16
1
Fixed Attenuator Pads
ATN3580 Series
Typical Performance Data
50
12.0
Return Loss (dB)
Attenuation (dB)
11.5
11.0
10.5
10.0
9.5
9.0
40
S22 MAG.
30
S11 MAG.
20
10
8.5
0
8.0
010203040
010203040
Frequency (GHz)
Frequency (GHz)
Figure 1. ATN3580-10
Attenuation vs. Frequency
Figure 2. ATN3580-10
Return Loss vs. Frequency
Outline Drawings
518-060
516-060
COPLANAR
RESISTOR
PADS MUST
BE GROUNDED
0.0075 (0.191 mm)
0.0055 (0.140 mm)
INPUT/OUTPUT
0.012 (0.30 mm)
0.010 (0.25 mm) TYP.
0.030
(0.76 mm)
0.0045 (0.114 mm)
0.0035 (0.089 mm)
2 PLACES
SEE NOTE 3
2 PLACES
0.028
(0.71 mm)
0.0055 (0.140 mm)
0.0045 (0.114 mm)
2 PLACES
SEE NOTE 3
2 PLACES
IN
COPLANAR
RESISTOR,
PADS MUST
BE GROUNDED
0.020
(0.51 mm)
0.026
(0.66 mm)
0.0075 (0.190 mm)
0.0055 (0.140 mm)
SILICON
OUT
0.002 (0.05 mm)
MIN. TYP.
0.006 (0.15 mm)
0.004 (0.10 mm)
TYP. SQ.
0.032
0.029
(0.81 mm) (0.74 mm)
SCHEMATIC ATT. PADS
SILICON
INPUT/OUTPUT
0.0075 (0.190 mm)
0.0055 (0.140 mm)
SCHEMATIC ATT. PADS
IN
1. Cross hatching = gold contact areas.
2. Dimensions not specified in this drawing vary per attenuation value.
3. Indicates attenuation value.
4. This DIM. can be as highh 0.012 for high attenuation values.
5. Back surface is gold, grounding not required.
2
OUT
1. Cross hatching = gold contact areas.
2. Dimensions not specified in this drawing vary per attenuation value.
3. Indicates attenuation value.
4. Back surface is gold, grounding not required.
g0
Alpha Industries, Inc. [781] 935-5150 • Fax [617] 824-4579 • Email sales@alphaind.com • www.alphaind.com
Specifications subject to change without notice. 5/01A
SURFACE MOUNT MONOLITHIC CHIP CAPACITORS
HIGH DIELECTRIC CONSTANT TYPE– SPECIFICATION
GRM36/39/40/42-6/42-2/43-2/44-1 Series
GENERAL/ELECTRICAL
Capacitance Change
with Temperature:
X5R: ±15% CX –55°C to +85°C
X7R: ±15% CX –55°C to +125°C
%
Y5V: +22
–82 CX –30°C to +85°C
Capacitance & D.F.
(Frequency & Voltage)
X5R, X7R: 1kHz ±100Hz @ 1.0 ±.2Vrms
X5R, X7R: 1kHz ±100Hz @ 1.0 ±.2Vrms
(Cap. value >10F = 120 ±24Hz @ 0.5 ±0.1Vrms)
Dissipation Factor (D.F.)
X5R
X7R
Y5V
Min. 25V
2.5%
2.5%
5.0%
16V
3.5%
3.5%
9.0%
10V
3.5%
3.5%
12.5%
6.3V
5%
5%
12.5%
Insulation
Resistance (I.R.)
X5R/X7R
100,000 megohms or 1000 megohms-mfd
(whichever is less)
Y5V
10,000 megohms or 500 megohms–mfd
(whichever is less)
Dielectric Strength
(Flash)
250% of rated voltage for 5 seconds with series
resistor limiting charge current to 50mA max.;
200% for 500V
Typ. Aging (per Decade)
X5R/X7R 3%
Y5V 7%
MECHANICAL
TEST
TEST METHOD
Terminal
Adhesion
POST TEST LIMITS
<0603 1.0 lbs.
≥0805 2.2 lbs.
No evidence of termination peeling
Glass epoxy board
10
Mounting
2n
Capacitor
R340
Deflection
1 mm deflection (Glass epoxy board)
No mechanical damage
Cap., DF, IR meet initial limits
Load
Deflection
Unit: mm
45
45
Capacitance meter
Supporter
Solderability
MIL-STD-202
Method 208F
Meets Requirement
For specific details contact factory
TEST METHOD
POST TEST LIMITS
ENVIRONMENTAL
TEST
MIL-STD-202, Method 107, Condition A
Thermal
Shock
(Air to Air)
Prior to starting Thermal Shock test, capacitors shall be heat treated
(deaged) for one (1) hour at 150°C. Allow capacitors to stabilize at
room temperature for 48 hours prior to taking initial measurements.
Post thermal Shock measurement shall be taken after 48 hours
stabilization.
Humidity,
Steady
State
Humidity Load
Maintain the capacitor at 40 ± 2°C and 90 to 95% humidity for
500 ± 12 hours. Remove and let sit for 48 ± 4 hours at room
temperature, then measure.
Apply the rated voltage at 40 ± 2°C and 90 to 95% humidity for
500 ± 12 hours. Remove and let sit for 48 ± 4 hours at room
temperature, then measure. The charge/discharge current is
less than 50mA.
• Initial measurement for Y5V/10V max.
Apply the rated DC voltage for 1 hour at 40 ± 20°C.
Remove and let sit for 48 ± 4 hours at room temperature.
Perform initial measurement.
Appearance: No visual damage
C: X5R/X7R = ±12.5%
Y5V = ±30.0%
D.F.: X5R/X7R = 2.5% max. @ 25°C, (3.5% max. @ 25°C for 16V & 10V Series)
(7.5% max. @ 25°C for 6.3V Series)
Y5V = 5.0% max. @ 25°C, (9.0% max. @ 25°C for 16V Series)
(15% max. @ 25°C for 10V & 6.3V Series)
I.R.: X5R/X7R = 100,000M min. of 1,000M•F (whichever is less)
Y5V = 10,000 or 500M•F min. (whichever is less)
Appearance: No defects
Capacitance: X5R, X7R within ±12.5%; Z5U, Y5V within ±30%
Q/D.F.: See chart below.
I.R.: 1,000M or 50 F (whichever is less)
Appearance: No defects
Capacitance: X5R, X7R within ±12.5%; Z5U within ±30%;
Y5V within +30/-40% (10Vmax), within ±30% (others)
Char.
X5R
X7R
Q/D.F. Z5U
Y5V
25V min.
16V
0.05 max.
0.05 max.
0.05 max.
—
0.1 max. (C<1.0F)
0.125 max.
(C≥1.0F)
0.075 max.
10V
6.3V
0.05 max. 0.075 max.
—
—
0.15 max. 0.15 max.
I.R.: 500M or 25 F (whichever is less)
Dielectric Strength: No failure
g¯^
Apply 200% of rated voltage for 1000 ± 12 hours at
maximum operating temperature; 150% for 500V
Life Test
Upon completion of above test wait 48 hours prior
to performing post testing.
14
Appearance: No defects
Capacitance: X5R/X7R ± 12.5% CX, Z5U/Y5V ±30% CX
D.F.: X5R/X7R = 3.0% max. @ 25°C, (5% max. @ 25°C for 16V & 10V Series)
(7.5% max. @ 25°C for 6.3V Series)
Y5V = 7.5% max. @ 25°C, (10% max. @ 25°C for 16V Series)
(15% max. @ 25°C for 10V & 6.3V Series)
I.R.: X5R/X7R 1,000M or 50M-mfd. (whichever is less)
Y5V 1,000M or 50M-mfd. (whichever is less)
Flash: 250% rated voltage
CG01-J
Thick Film Chip Resistors
■ Dimensions in mm (not to scale)
■ Construction
ERJ1G
(0201)
ERJ2G
(0402)
ERJ3G
(0603)
ERJ6G
(0805)
ERJ8G
(1206)
ERJ14
(1210)
ERJ12
(1812)
ERJ12Z
(2010)
ERJ1T
(2512)
9&!&&
%
$
$
:
■ Ratings
ERJ1G
(0201)
ERJ2G
(0402)
ERJ3G
(0603)
ERJ6G
(0805)
ERJ8G
(1206)
ERJ14
(1210)
ERJ12, 12Z
(1812, 2010)
ERJ1T
(2512)
4,&
%& !'(& *+&,&!-.(/
)( !*+&,& )( ! "#
(0
!
#$) )
$
)
! ! Ω
&
&+
! *
!*
!*
!*
!*
!*
!*
! *
5
5 6 5
56
566676
/!#,
*+&,&!-.(/!#,
8
! 8
! 8
8
8
8
gQg
#
1 //
×2 3"#
! )(,
&3"#
< Ω:
! !
'
'
'
Ω! *Ω:
!
'
'
'
*Ω<:
! '
'
(1) Rated Continuous Working Voltage (RCWV) shall be determined from RCWV= √Power Rating × Resistance Values, or Limiting Element Voltage
(max. RCWV) listed above, whichever is less.
(2) Overload (Short-time Overload) Test Voltage (SOTV) shall be determined from SOTV=2.5 × Power Rating or max. Overload Voltage listed above
whichever is less.
Design and specifications are subject to change without notice. Ask factory for technical specifications before purchase and/or use.
Whenever a doubt about safety arises from this product, please contact us immediately for technical consultation.
gL
g¯]
g¦
g¯
SMT Trimmer Potentiometers/EVM1D/1E/1U
4 mm Square SMT Trimmer Potentiometers
(Cermet, Open Frame Type)
Recommended Applications
Features
!"
#$%&'()) *ms to JIS C5261
#
+'#
+
*
'
Explanation of Part Numbers
E
M
V
1
B
0
Major Specifications
8 )) 8 932 :
-8
)63 ;
6'
2) 8 3 3) .<
*8
932) )=>?@*?@*"
Minimum Quantity/Packing Unit
-./
5
,
!
7
-7
,6 "
)))
)))
01
2)))
3))))
41)
g¯f
5 7
-7
)))
2)))
$ESIGNANDSPECIlCATIONSARESUBJECTTOCHANGEWITHOUTNOTICE
!SKF
ACTOR YF ORTECHNICALSPECIlCATIONSBEFUSE
OREPURCHASEANDOR
7HENE V ERADOUBTABOUTSAF
ETYAR
CONTACTUSIMMEDIATELYF
ISESFROMTHISPRODUCTPLEASE
ORTECHNICALCONSULTATION
Silicon Schottky Diode Chips
Features
For Detector and Mixer Applications
Low Capacitance for Usage Beyond 40 GHz
ZBD and Low Barrier Designs
P-Type and N-Type Junctions
Large Bond Pad Chip Design
Description
In a detector circuit operating at zero bias, depending on
the video load impedance, a ZBD device with RV less than
10 kΩ may be more sensitive than a low barrier diode with
RV greater than 100 kΩ. Applying forward bias reduces
the diode video resistance as shown in Figure 2. Lower
video resistance also increases the video bandwidth but
does not increase voltage sensitivity, as shown in
Figure 3. Biased Schottky diodes have better temperature
stability and also may be used in temperature
compensated detector circuits.
Alpha’s product line of silicon Schottky diode chips are
intended for use as detector and mixer devices in hybrid
integrated circuits at frequencies from below 100 MHz to
higher than 40 GHz. Alpha’s “Universal Chip” design
features a 4 mil diameter bond pad that is offset from the
semiconductor junction preventing damage to the active
junction as a result of wire bonding.
As power-sensing detectors, these Schottky diode chips
all have the same voltage sensitivity so long as the output
video impedance is much higher than the video
resistance of the diode. Figure 1 shows the expected
detected voltage sensitivity as a function of RF source
impedance in an untuned circuit. Note that sensitivity is
substantially increased by transforming the source
impedance from 50 Ω to higher values. Maximum
sensitivity occurs when the source impedance equals the
video resistance.
P-type Schottky diodes generate lower 1/F noise and are
preferred for Doppler mixers and biased detector
applications. The bond pad for the P-type Schottky diode
is the cathode. N-type Schottky diodes have lower parasitic
resistance, RS, and will perform with lower conversion loss
in mixer circuits. The bond pad for the N-type Schottky
diode is the anode.
Electrical Specifications at 25°C
CJ1
(pF)
RT2
(Ω)
VF @ 1 mA
(mV)
VB3
(V)
RV @ Zero Bias
(kΩ)
Max.
Max.
Min.–Max.
Min.
Typ.
0.25
30
135–240
1
5.5
Outline
Drawing
Part Number
Barrier
Junction
Type
CDC7630-000
ZBD
P
CDC7631-000
ZBD
P
0.15
80
150–300
2
7.2
526-006
CDB7619-000
Low
P
0.10
40
275–375
2
735
526-006
CDB7620-000
Low
P
0.15
30
250–350
2
537
526-006
CDF7621-000
Low
N
0.10
20
270–350
2
680
526-011
CDF7623-000
Low
N
0.30
10
240–300
2
245
526-011
1. CJ for low barrier diodes specified at 0 V. CJ for ZBDs specified at 0.15 V
reverse bias.
2. RT is the slope resistance at 10 mA. RS Max. may be calculated from:
RS = RT - 2.6 x N.
3. VB for low barrier diodes is specified at 10 µA. VB for ZBDs is specified
at 100 µA.
526-006
L Alpha Industries, Inc. [781] 935-5150 • Fax [617] 824-4579 • Email sales@alphaind.com • www.alphaind.com
Specifications subject to change without notice. 8/01A
1
Silicon Schottky Diode Chips
Typical Performance Data
Detected Voltage (mV)
10000
DETECTOR
VOLTAGE
RF SOURCE
IMPEDANCE
1000
PInput
RFC
VIDEO LOAD
IMPEDANCE
500 Ω
100
200 Ω
100 Ω
Zero Biased Detector
50 Ω
10
25 Ω
DETECTOR
VOLTAGE
1
RF SOURCE
IMPEDANCE
0.1
-40
-30
-20
-10
0
RFC
PInput
VIDEO LOAD
IMPEDANCE
10
Input Power (dBm)
Biased Detector
Figure 1. Detected Voltage vs. Input
Power and RF Source Impedance
10000
Detected Voltage (mV)
Video Resistance (Ω)
100000
Low Barrier
10000
ZBD
1000
100
1
10
+10 dBm
1000
100
10
1
-30 dBm
-20 dBm
0.1
-10dBm
0.01
0.001
0.001
100
0 dBm
0.01
0.1
1
10
Forward Current (mA)
Forward Bias (µA)
Figure 2. Video Resistance vs. Forward Bias Current
Figure 3. Detected Voltage vs. Forward Current
SPICE Model Parameters
2
Parameter
CDB7619
CDB7620
CDF7621
CDF7623
CDC7630
CDC7631
Units
IS
3.70E-08
5.40E-08
4.0E-08
1.1E-07
5.0E-06
3.8E-06
A
Ω
RS
9
14
12
6
20
51
N
1.05
1.12
1.05
1.04
1.05
1.05
TT
1E-11
1E-11
1E-11
1E-11
1E-11
1E-11
S
CJ0
0.08
0.15
0.10
0.22
0.14
0.08
pF
M
0.35
0.35
0.35
0.32
0.40
0.4
EG
0.69
0.69
0.69
0.69
0.69
0.69
XTI
2.0
2.0
2.0
2.0
2.0
2.0
FC
0.5
0.5
0.5
0.5
0.5
0.5
BV
2.0
4.0
3.0
IBV
1.00E-05
1.00E-05
1.0E-05
VJ
0.495
0.495
0.495
L+2
eV
2.0
2.0
2.0
1.0E-05
1.0E-04
1.0E-04
A
0.495
0.340
0.340
V
Alpha Industries, Inc. [781] 935-5150 • Fax [617] 824-4579 • Email sales@alphaind.com • www.alphaind.com
Specifications subject to change without notice. 8/01A
V
Silicon Schottky Diode Chips
Outline Drawing
Absolute Maximum Ratings
526-006, 526-011
Characteristic
0.015 (0.38 mm)
0.013 (0.33 mm)
0.015 (0.38 mm)
0.013 (0.33 mm)
Reverse Voltage (VR)
BONDING PAD
DIAMETER
0.0035 (0.089 mm)–
0.0045 (0.114 mm)
Value
Voltage Rating
Forward Current (IF)
50 mA
Power Dissipation (PD)
75 mW
Storage Temperature (TST)
-65°C to +150°C
Operating Temperature (TOP)
-65°C to +150°C
0.0085 (0.216 mm)
0.0065 (0.165 mm)
526-006 = Cathode bond pad.
526-011 = Anode bond pad.
LQ0
Alpha Industries, Inc. [781] 935-5150 • Fax [617] 824-4579 • Email sales@alphaind.com • www.alphaind.com
Specifications subject to change without notice. 8/01A
3
SMA Straight Panel Jack Receptacles
Tab Contact
APPLIED ENGINEERING PRODUCTS
(203) 776-2813 • FAX (203) 776-8294
www.aepconnectors.com • aepsales@aepconnectors.com
L^
3
RT/duroid 5880 Properties:
PROPERTY
TYPICAL VAL UE
DIRECTION
UNITS
CONDITION
TEST METHOD
D i el ec t r i c C o n s t an t , εr
2.20
2.20 – 0.02 spec.
Z
Z
---
C24/23/50
C24/23/50
1 MHz IPC-TM-650 2.5.5.3
10 GHz IPC-TM-650 2.5.5.5
Dis s ip at io n Fac t o r, t an δ
0.0004
0.0009
Z
Z
---
C24/23/50
C24/23/50
1 MHz IPC-TM-650 2.5.5.3
10 GHz IPC-TM-650 2.5.5.5
Vo lu m e Res is t iv it y
2 X 10 7
Z
Mohm cm
C93/35/90
ASTM D257
S u r f ac e R es i s t i v i t y
3 X 108
Z
Mohm
C93/35/90
ASTM D257
MPa (kpsi)
A
ASTM D638
A
ASTM D695
Ten s ile Mo d u lu s
Test at
23 C
1070 (156)
860 (125)
Test at 100 C
29 (4.2)
27 (3.9)
20 (2.9)
18 (2.6)
u l t i m at e s t r es s
u l t i m at e s t r ai n
Co m p r es s iv e Mo d u lu s
450 (65)
380 (55)
X
Y
X
Y
MPa (kpsi)
6.0
4.9
7.2
5.8
X
Y
%
710 (103)
710 (103)
940 (136)
500 (73)
500 (73)
670 (97)
X
Y
Z
MPa (kpsi)
27(3.9)
22 (3.2)
21 (3.1)
43 (6.3)
X
Y
Z
MPa (kpsi)
52 (7.5)
8.5
7.7
12.5
8.4
7.8
17.6
X
Y
Z
%
u l t i m at e s t r es s
u l t i m at e s t r ai n
D ef o r m at i o n U n d er L o ad
Test at 150 C
1.0
Wat er Ab s o r p t io n
Th ic k n es s = 0.8 m m (0.031)
Th ic k n es s = 1.6 m m (0.062)
%
24 hr/14 MPa (2kpsi)
mg (%)
D24/23
ASTM D570
C ( F)
1.82 MPa (264 psi)
ASTM D648
0.9 (0.02)
13 (0.015)
Sp ec if ic Gr av it y
2.2
Heat Dis t o r t io n Tem p er at u r e
>260 (>500)
S p ec i f i c H eat
0.96 (0.23)
T h er m al C o n d u c t i v i t y
0.20
T h er m al E x p an s i o n
ASTM D621
Z
ASTM D792
X,Y
J/g/K (BTU/lb/ F)
Calculated
Z
W/m/K
ASTM C518
<<<
mm/m
X
Y
Z
-6.1
-8.7
-18.7
-0.9
-1.8
-6.9
15
-0.5
-0.9
-4.5
25
ASTM D3386
(10K/min)
-100 C
1.1
1.5
8.7
75
2.3
3.2
28.3
150
3.8
5.5
69.5
250
(Values given are total change from a
base temperature of 35 C)
RT/duroid® and DUROID® are licensed trademarks of ROGERS Corporation for its
microwave laminates.
[1] SI unit given first with other frequently used units in parentheses.
[2] References: Internal TR’s 1430, 2224, 2854. Test were at 23°C unless otherwise noted.
Typical values should not be used for specification limits.
The above data represents typical values, not statistical minimums. It is not intended to and
does not create any warranties, express or implied, including any warranty of merchantability or fitness for a particular purpose. The relative merits of materials for a specific
application should be determined by your evaluation.
These products may require a validated export license issued by the United States
Department of Commerce for export of these materials from the United States or Canada.
Rogers Corporation
Microwave Materials Division
100 S. Roosevelt
Chandler, AZ 85226-3415 U.S.A.
Tel: 480 961-1382
FAX: 480 961-4533
Toll Free: 877 643-7701
Website: http://www.rogers-corp.com/mwu/
ISO 9002 CERTIFIED
Printed in U.S.A.
©1991, 1994, 1995, 1999 Rogers Corporation
LYg
Revised 2/99
2258-029-10.0-ON
GaAs SPDT Switch
DC - 20 GHz
MASW20000
GND
Features
●
●
●
●
●
●
●
Very Broadband Performance
Low Insertion Loss, 1.75 dB Typical @ 18 GHz
High Isolation, 50 dB Typical @ 18 GHz
Fast Switching Time, 2 nS Typical
Reflective Configuration
Ultra Low DC Power Consumption
Via Hole Grounding
Frequency Range
DC-20.0 GHz
GND
RF1
RF2
GND
GND
B2
B1
A2
Typical Performance
INSERTION LOSS (dB)
Insertion Loss
DC-10.0 GHz
DC-18.0 GHz
DC-20.0 GHz
1.7 dB Max
2.1 dB Max
2.5 dB Max
1.5
VSWR
DC-10.0 GHz
DC-18.0 GHz
DC-20.0 GHz
1.60:1 Max
1.80:1 Max
2.00:1 Max
0.5
DC-10.0 GHz
DC-18.0 GHz
DC-20.0 GHz
50 dB Min
42 dB Min
40 dB Min
Isolation
V 2.00
GND
GND
A1
Guaranteed Specifications* @ +25°C**
RF
2.0
1.0
0.0
0
4
8
12
16
20
8
12
16
20
ISOLATION (dB)
80
Operating Characteristics
60
50 Ω Nominal
Impedance
Switching Characteristics
Trise, Tfall (10/90% or 90/10% RF)
2 ns Typ
Ton, Toff (50% CTL to 90/10% RF)
Transients (in-Band)
Input Power for 1 dB Compression
Control Voltages (Vdc)
0.5-20 GHz
0.05 GHz
40
20
3 ns Typ
20 mV Typ
0
2.0
0/-5
+25 dBm Typ
+18 dBm Typ
0
4
VSWR
1.8
1.6
Input
Output
1.4
Intermodulation Intercept point (for two-tone input power up to +5 dBm)
Intercept Points
IP2
IP3
1.2
1.0
0.5-20 GHz
0.05 GHz
+59 dBm
Control Voltages (Complimentary Logic)
Vin Low
Vin Hi
Die Size
+43 dBm Typ
+27 dBm Typ
0 to -0.2 V @ 5 µA Max
-5 V @50 µA Max
0.083”x 0.035”X 0.004”
(2.10mm X 0.89mm X 0.10mm)
* Wafer level data.All specifications apply with 50 Ω impedance connected
to all RF ports, 0 and -5 Vdc control voltages.
** Loss change 0.0025 dB/°C. (From -55°C to +85°C)
LQL
0
4
8
12
FREQUENCY (GHz)
Schematic
16
20
Handling, Mounting and Bonding Procedure
MASW20000
V 2.00
Handling Precautions
Truth Table***
Permanent damage to the MASW20000 may occur if the following precautions are not adhered to:
A. Cleanliness — The MASW20000 should be handled in a clean
environment. DO NOT attempt to clean unit after the
MASW20000 is installed.
B. Static Sensitivity — All chip handling equipment and personnel
should be DC grounded.
C. Transient — Avoid instrument and power supply transients
while bias is applied to the MASW20000. Use shielded signal
and bias cables to minimize inductive pick-up.
D. Bias —Apply voltage to either control port A1/B2 or A2/B1
only when the other is grounded. Neither port should be
allowed to ”float”.
Control Inputs
Condition Of Switch
A1/B2
A2/B1
RF1
RF2
V Hi
V INLow
V Low
VINHi
On
Off
Off
On
IN
IN
VinLow
0 to -0.2V
VinHi
-5V
***For normal SPDT operation A1 is connected to B2 and A2 is connected to B1.
Maximum Ratings
E. General Handling — It is recommended that the MASW20000
chip be handled along the long side of the die with a sharp
pair of bent tweezers. DO NOT touch the surface of the chip
with fingers or tweezers.
A. Control Voltage (A1/B2 or A2/B1):
–8.5 Vdc
B. Max Input RF Power:
+34 dBm
C. Storage Temperature:
–65°C to +175°C
D. Max Operating Temperature:
+175°C
Mounting
The MASW20000 is back-metallized with Pd/Ni/Au (100/1,000/
30,000Å) metallization. It can be die-mounted with AuSn eutectic
preforms or with thermally conductive epoxy. The package surface should be clean and flat before attachment.
BondPad Dimensions
Inches (mm)
Eutectic Die Attach:
A. A 80/20 gold/tin preform is recommended with a work surface
temperature of approximately 255°C and a tool temperature of
265°C. When hot 90/10 nitrogen/hydrogen gas is applied, tool
tip temperature should be approximately 290°C.
B. DO NOT expose the MASW2000 to a temperature greater
than 320°C for more than 20 seconds. No more than 3
seconds of scrubbing should be required for attachment.
RF, RF1, RF2:
0.004 x 0.004
(0.100 x 0.100)
A1, A2, B1, B2:
0.004 x 0.004
(0.100 x 0.100)
Epoxy Die Attach:
A. Apply a minimum amount of epoxy and place the
MASW20000 into position. A thin epoxy fillet should be visible
around the perimeter of the chip.
Die Size
Inches (mm)
B. Cure epoxy per manufacturer’s recommended schedule.
C. Electrically conductive epoxy may be used but is not required.
0.083 x 0.035 x 0.004
(2.10 x 0.89x 0.10)
Wire Bonding
A. Ball or wedge bond with 1.0 mil diameter pure gold wire. Gold
ribbon (3.0 mil X 0.5 mil) may also be used.Thermosonic wire
bonding with a nominal stage temperature of 150°C and a ball
bonding force of 40 to 50 grams or wedge bonding force of 18
to 22 grams is recommended. Ultrasonic energy and time
should be adjusted to the minimum levels to achieve reliable
wirebonds.
B. Wirebonds should be started on the chip and terminated on
the package.
L]
LQ¦
Single/Quad Drivers for GaAs FET Switches and Attenuators
SWD-109/119
V2.00
Absolute Maximum Ratings
Symbol
Parameter
Min.
Max.
VCC
Positive DC Supply Voltage
-0.5
5.5
Unit
V
VEE
Negative DC Supply Voltage
-9.0
0.5
V
VOPT
Optional DC Output Supply Voltage
-0.5
2.0
V
VOPT - VEE
Output to Negative Supply Voltage Range
-0.5
9.0
V
VCC - VEE
Positive to Negative Supply Range
-0.5
14.5
V
VI
DC Input Voltage
-0.5
VCC + 0.5
V
II
DC Input Current
mA
-25
25
VO
DC Output Voltage
VEE - 0.5
VOPT + 0.5
V
VO
DC Output Current
-25
25
mA
Storage Temperature
-65
150
°C
TSTG
All voltage are referenced to GND. All inputs and outputs incorporate latch-up protection structures.
DC Characteristics Over Guaranteed Operating Range
Symbol
Test Conditions
Units
Min.
Limits
Typ.
Guaranteed HIGH Input Voltage
V
2.0
1.5
Parameter
VIH
Input HIGH Voltage
1.5
Max.
VIL
Input LOW Voltage
Guaranteed LOW Input Voltage
V
VOH
Output HIGH Voltage
I OH = -1 mA
VEE = Max
V
0.8
VOL
Output LOW Voltage
I OL = 1 mA
VEE = Max
V
I IN
Input Leakage Current
VIN = VCC or GND
VEE = Min
µA
I CC
Quiescent Supply Current
VCC = Max
VOPT = Min or Max
VEE = Min
VIN = V CC or GND
µA
100
∆ I CC
Additional Supply Current,
per TTL Input pin
V CC = Max
VIN = V CC - 2.1 V
mA
1.0
VOPT - 0.1
VEE + 0.1
-1.0
0
1.0
Switching Waveforms
Trise
6ns
Tfall
6ns
INPUT
LEVEL
(3V)
+
1.3 V +
10%+
+
+
LOGIC
"O"
TPHL
TPLH
90%
+
OUTPUT
A OR B
LOGIC
"I"
+
90%
+
50% +
10% +
+
+
TTLH
TTHL
2. VOPT is grounded for most applications. To improve the intermodulation performance and the 1dB compression point of GaAs control devices at
low frequencies, VOPT can be increased to between 1.0 and 2.0V. The nonlinear characteristics of the GaAs control devices will approximate
performance at 500 MHz. It should be noted that the control currents on the GaAs MMICs will increase when positive controls are applied.
Specifications Subject to Change Without Notice.
M/A-COM, Inc.
2
North America:
Tel. (800) 366-2266
Fax (800) 618-8883
■
Asia/Pacific: Tel. +85 2 2111 8088
Fax +85 2 2111 8087
L
■
Europe: Tel. +44 (1344) 869 595
Fax +44 (1344) 300 020
Single/Quad Drivers for GaAs FET Switches and Attenuators
SWD-109/119
V2.00
Truth Table for Single Driver (SWD-109)
Input
Ordering Information
Part No.
Package
SWD-109 PIN
SOIC 8 Lead
SWD-109TR
SWD-109RTR
Forward Tape and Reel
Reverse Tape and Reel
Outputs
C1
A
B
Logic "0"
VEE
VOPT
Logic "1"
VOPT
VEE
Functional Schematic (SWD-109)
PIN
ORIENTATION
MARK
B
GND
VOPT
VEE
8
7
6
5
1
2
3
4
A
GND
VCC
C1
AC Characteristics Over Guaranteed Operating Range4 (SWD - 109)
VOPT -
Max Limits
Parameter
VEE
-55 to +25°C
≤ +85°C
≤ +125°C
Unit
Propagation Delay, I to either O
4.5
6.5
8.5
45
44
43
55
54
52
61
59
57
ns
Propagation Delay, I to either O
4.5
6.5
8.5
45
43
41
55
52
49
61
57
53
ns
TTLH
Output Rising Transition Time
4.5
6.5
8.5
10.0
9.0
8.0
10.0
9.0
8.0
11.0
9.0
8.0
ns
TTHL
Output Falling Transition Time
4.5
6.5
8.5
10.0
9.0
8.0
10.0
9.0
8.0
11.0
9.0
8.0
ns
Tskew
Delay Skew, OA to OB
4.5
6.5
8.5
8.0
8.0
7.5
8.5
8.5
8.0
10.0
10.0
9.5
ns
Symbol
TPLH
TPHL
CIN
Input Capacitance
-
10
10
10
pF
CPDC
Power Dissipation Capacitance 5
-
10
10
10
pF
CPDE
Power Dissipation Capacitance 5
-
140
140
140
pF
4. VCC = 4.5V, VEE = -4.5V, VOPT = 0V, CL = 25 pF, Trise, Tfall = 6 ns. These conditions represent the worst case for slow delays.
5. Total Power Dissipation is calculated by the following formula: PD = VCC 2 fC PDC + (VOPT - VEE) 2 fC PDE
Specifications Subject to Change Without Notice.
M/A-COM, Inc.
North America:
3
Tel. (800) 366-2266
Fax (800) 618-8883
■
Asia/Pacific: Tel. +85 2 2111 8088
Fax +85 2 2111 8087
Lf
■
Europe: Tel. +44 (1344) 869 595
Fax +44 (1344) 300 020
]Q Absolute Maximum Ratings (Notes 2, 3)
Operating Ratings(Notes 2, 3)
If Military/Aerospace specified devices are required,
please contact the National Semiconductor Sales Office/
Distributors for availability and specifications.
Termperature Range
Thermal Resistance (θJA) (Note 2)
SO, 8-lead Small Outline
MSOP, 8-lead Mini Small
Outline
MDIP, 8-lead Molded Dip
8-Bump micro SMD
Maximum Allowable Power
Dissipation @25˚C
MDIP-8
SO-8
MSOP-8
8 Bump micro SMD
Supply Voltage, V+
Input Voltages, VTRIG, VRES, VCTRL,
VTHRESH
Output Voltages, VO, VDIS
Output Current IO, IDIS
Storage Temperature Range
Soldering Information
MDIP Soldering (10 seconds)
SOIC, MSOP Vapor Phase (60
sec)
SOIC, MSOP Infrared (15 sec)
15V
−0.3V to VS + 0.3V
15V
100 mA
−65˚C to +150˚C
260˚C
215˚C
220˚C
−40˚C to +85˚C
169˚C/W
225˚C/W
111˚C/W
220˚C/W
1126mW
740mW
555mW
568mW
Note: See AN-450 “Surface Mounting Methods and Their Effect on Product
Reliability” for other methods of soldering surface mount devices.
Electrical Characteristics
(Notes 1, 2)
Test Circuit, T = 25˚C, all switches open, RESET to VS unless otherwise noted
Symbol
Parameter
IS
Supply Current
VCTRL
Control Voltage
Conditions
Min
VS = 1.5V
VS = 5V
VS = 12V
VS = 1.5V
VS = 5V
VS = 12V
0.8
2.9
7.4
Typ
Max
Units
(Limits)
50
100
150
150
250
400
µA
1.0
3.3
8.0
1.2
3.8
8.6
V
VDIS
Discharge Saturation
Voltage
VS = 1.5V, IDIS = 1 mA
VS = 5V, IDIS = 10 mA
75
150
150
300
mV
VOL
Output Voltage (Low)
VS = 1.5V, IO = 1 mA
VS = 5V, IO = 8 mA
VS = 12V, IO = 50 mA
0.2
0.3
1.0
0.4
0.6
2.0
V
Output Voltage
(High)
VS = 1.5V, IO = −0.25 mA
VS = 5V, IO = −2 mA
VS = 12V, IO = −10 mA
1.0
4.4
10.5
1.25
4.7
11.3
VTRIG
Trigger Voltage
VS = 1.5V
VS = 12V
0.4
3.7
0.5
4.0
ITRIG
Trigger Current
VS = 5V
VRES
Reset Voltage
VS = 1.5V (Note 4)
VS = 12V
IRES
Reset Current
VS = 5V
ITHRESH
Threshold Current
VS = 5V
10
IDIS
Discharge Leakage
VS = 12V
1.0
100
t
Timing Accuracy
SW 2, 4 Closed
VS = 1.5V
VS = 5V
VS = 12V
1.1
1.1
1.1
1.25
1.20
1.25
VOH
V
0.6
4.3
10
0.4
0.4
0.7
0.75
1.0
1.1
10
0.9
1.0
1.0
V
pA
V
pA
pA
nA
ms
∆t/∆VS
Timing Shift with Supply
VS = 5V ± 1V
0.3
%/V
∆t/∆T
Timing Shift with
Temperature
VS = 5V
−40˚C ≤ T ≤ +85˚C
75
ppm/˚C
fA
Astable Frequency
SW 1, 3 Closed, VS = 12V
fMAX
Maximum Frequency
Max. Freq. Test Circuit, VS = 5V
3.0
MHz
tR, tF
Output Rise and
Fall Times
Max. Freq. Test Circuit
VS = 5V, CL = 10 pF
15
ns
3
]:2
4.0
4.8
5.6
kHz
www.national.com
Capacitance
TCC
Q min
(pF)
(ppm/°C) (1 MHz)
*min
1.4
2.0
3.0
4.5
6.5
15.0
max
3.0 0 ± 200
6.0 0 ± 200
10.0 0 ± 300
20.0 N900 ± 300
30.0 N1100 ± 450
50.0 N1700 ± 500
300
500
500
500
300
300
Color
Code
Red Dot**
Blue
White
Red
Green
Orange
Bulk Pack Carrier and Reel
Model No. Pack Model No.
GKG3R027
GKG6R027
GKG10027
GKG20027
GKG30027
GKG50H27
Add -07 to Model No. for 700/reel, -25 for 2500/reel.
Available without seal -- consult factory.
*Re-rated in 1994 for lower min capacitance.
** Marking on bottom of capacitor.
Carrier and Reel Specifications
Click Here to View Construction Details
]¯0
GKG3R067
GKG6R067
GKG10067
GKG20067
GKG30067
GKG50H67
Ÿ š=EDQp:5<@I0QL ) NOš›•VwµqUVQ8P8P@U><=EVQ8P91
$'E( - , $ !% /
4cbP@ˆNWš • Vwµ P69o9ZbPV„`8q=E8š=?DQpP5Z@ˆ0QL :pP9Z@,9`6 L VG?> 9Zd)9Z><@,;X1­4cb:@ˆU,pP5Z5<@8>`A:576w„
9ZbPVQpPG?A’• @u6• VQp:>gP2w T;´Œ¡VQ8I>7bP@ KL VQp+>7‡Pp:>V¨P><bP@­‡V„ @,59ZpP‡P‡PG3dJ68PA K2 T;Œ
¨VQ5o><bP@ˆi L VQp+>7‡Pp:>,1¢k>O=E9oaQ@5Zdq=?;´‡ VQ5Z>768¯>u><V;´6 Y@I9ZpP5<@><bF6Y>c>7b:@KaVQG?>76DQ@APV)@,9
8PVY>@”µ+U,@@,A >7bP@9<@{aY6GEp:@,9• @,Uw6YpP9<@{><bP@ U,VQ;‡ VQ8P@,8¯><9K65<@{a@,5Zd†9<@,8:9<=?><=?a@¹68PA Uw6Y8
• @KAF6;´6DQ@,A•¯dq@ µ:U@,9Z9WaQVG?>…6YDQ@1
+ $'
O9<=E8:D 6 ‡P5<VQ• @XVQ8¤><bP@q>7=E;=E8:D G?=E8P@9 ¨VQ5 >7bP@X9Z„`=3>7U…bP@9cU…bP@U¤><V 9<@@[><bF6Y>¹>7bP@
><bP@I„u6waQ@”¨VQ5<; =E9W6Y>œ^ ‘ "%$K„`=?><b†6
0Y APp:> dXUd)UGE@VQ5O=?>79`UVQ;´‡:GE@,;@,8¯> ¹‰”1
]Q^
k¢ ¨o><bP@¹„o6waQ@”¨VQ5<; =?9J8PVY>’9<6Y>7=?9Z¨.6U”>7VQ5 d=?>I=E9K‡ VQ9<9Z=E•PG?@¹><V†6A ZpP9Z>J=?>IpP9Z=E8PD[6[9Z;6G?G
9ZU,5<@”„`AP5<=3aQ@5I•¯d†„ VQ5&)=?8PD
„`=?><b >7bP@¹6A ZpP9Z>76•PGE@’Uw6‡F6YU,=?><VQ568:A ‡ V><@,8¯>7=?VQ;´@”>7@5œ=E8
><bP@>7=E;@,57€YAP5Z=?aQ@5oU,=?5<UpP=?>I9<@@’š=?DQpP5Z@I0]¯‰”1
š=?DQpP5<@I0Y])4c=E;@,57€~ˆ5<=3aQ@,5uU,=E5ZU,pP=3>w1
/
, $'D $ $B/!%
4VC;@w69ZpP5<@¹>7b:@8PVQ=?9<@ FV)VQ5‡PG 6YU,@6[;´6Y>7U…b:@,A G?V¯6A 6Y>I>7bP@´68¯>7@8P8F6C‡ VQ5Z>J68:A
;@w69ZpP5<@K>7b:@JG?@aQ@G6Y>`>7bP@IbP=EDb[D¯6Y=E8qVQp:><‡Pp:>w1u4cbP@ !pF6G?=?> dVY¨>7b:@J;´6Y>7U…b:@,ACG?V¯6A
=?9oaQ@,5 dq=E;‡V5Z>…6Y8>u>7V >7bP@I6UU,pP5<6UdqVY¨><bP=E9c;@w69ZpP5<@;´@8¯>w1
4V ;@w69ZpP5<@ ><bP@e9<@,8:9<=?><=?a)=?> d œUVQ8P8P@U>C6¡9 „u@@,‡ V9<U,=?GEGE6Y>7VQ5>7V›>7b:@ 6Y8><@,8P8P6
‡ VQ5Z>K„`=3>7b 6XDV+V)A A:@w6GV¨o6Y><><@,8pF6Y><=EVQ8¼1 O9<=E8:DX><bP@´‡ V„u@5J5<68PDQ@¹V¨ >7bP@9Z„ @,@‡
VQ9ZU,=?GEG 6}>7VQ5 Y>7b:@O9Z=EDQ8P6GFUw68• @W6YA ZpP9Z><@,A69 8P@,U@,9<9<65Zd¹><VIF8:A><bP@`;´=?8P=E;{p:; =?8P‡Pp:>
><V¹><bP@K9Zd)9Z><@,;\>7bP6Y>`U,5Z@w6Y><@,9W6¹U…bP68PDQ@K=E8><bP@KVQp:>7‡:p:>O9<=ED8F6G 1
4V³VQ‡ @,5<6Y>7@ >7b:@ 9ZUw6Y>Z>7@,5ZVQ;@>7@5q9Zd)9Z><@,; IUVQ8P8P@U>X>7bP@  „`b:=EU…b =?9X6 2L
V QG3>`9Zd)9Z><@,;‰`><V><bP@  ‡ VQ5 > ŠU,VQ8:8P@,U”>W><bP@’6Y8><@,8P8P6´>7V¹>7bP@J68¯>7@8P8F6‡VQ5 > Š68:A
UVQ8P8P@U>><bP@­¢mš[• Vµ+@9>7V`>7bP@=E5U…bF68P8:@,GE9o.9Z@,@u9Z@,U”>7=EV8{~{1M0Q‰”1ŽV„ @,5TpP‡I>7bP@ 9Zd)9Z><@,;
69­@”µ+‡PGE6=E8:@,A=E8¹>7bP@œŽV„u@5cB+p:‡P‡PG?d¹9Z@,U”>7=EV8P9o68:AU,V8P8P@,U”>c68VQ9ZU,=EG?GEVQ9ZU,VQ‡ @c>7VK>7bP@
VQp+>7‡Pp:>OV¨>7b:@I¢mš›• Vwµ:@9O><V¹9<@,@K>7b:@I5Z@,U@,=?a@,A[9<=ED8F6G 1
]g
Ÿ X
š=?DQpP5<@I0¦ )¢mš›•VwµqUVQ8P8P@U>7=?VQ8P91
$'E( - / $ !% ,
4cbP@I¢mš¡•Vwµ+@,96Y9W9ZbPV„`8C=E8Xš=?DQpP5Z@’0¦ F6G?9<VpP9<@J6L VQG3>`9Zd)9Z><@,;X1u4cbP@IU,p:5<5<@8¯>
AP5<6w„‹9ZbPVQpPG?A • @6• VQp:>K]Q T;Œ‹¨VQ5ˆ><bP@ KL VQp:>7‡:p:>KV¨ >7bP@¹‡ V„u@5I9ZpP‡P‡PG3d 68:A
L T;Œ±¨VQ5 ><bP@Wi L VQp:><‡Pp:>w1­nuV8P8P@,U”>7=?8PD¹6GEG V¨><bP@ˆ• Vµ+@9u>7V{D5<VQpP8:AVQ8>7b:@NWš
• Vwµq9<@@,;9`><V¹DQ=?a@I ;VQ5Z@U,VQ8P9Z=E9 >7@,8¯>OGE@”aQ@GE9,1
$'6& - '+
4cbP@­• Vµ+@9 2 68PAJ^W6Y5<@¨VQ5·>7b:@ "œ² .bP=?DQbKD¯6=E8F‰·68PA ² .GEV„ D¯6=E8F‰¼U…bF68P8P@GE9 68:A
• VwµC0¹=?9`¨V5`><bP@I5<@¨@5<@8PU,@JU…bF68P8P@G 1c© Vµ+@9’2J68PA†^¹bF6waQ@’69ZpP•P9 >…68¯>7=E6GEG3d
G?V„ @,5
D¯6Y=E8><bF68q•Vwµq0’=E8VQ5ZAP@,5o><V bF6waQ@I6 G 65ZDQ@,5 A:d)8F6;=EUˆ5<68PDQ@Y1 Ra@,8¯>7b:VQpPDQb[•VwµX0
bF6Y9œbP=?DQbCD¯6Y=E8 Š>7bP@5<@’65<@’^0 TAF© 6}><>7@8pF6Y>7V5<9œ=?8C>7b:@’5<@”¨@,5Z@,8PU@{•P576Y8PU…b ·9ZV>7bP@
9Z=EDQ8F6YG=E9OGEV„ =?8X><bP@’5<68PDQ@IV¨><bP@IVQp:>7‡:p:>w1`N`@,;Va+=?8PDVQ8P@IV¨T>7b:@,9<@’6Y><><@,8pF6Y><VQ5<9
68:Aq><bP@,8X5<@APpPU=E8PD¹>7bP@DQ6=E8V¨• VµX0 =?9`6{„o6wdqV¨•P5Z=E8PDQ=?8PD{>7b:=E9cGE@”aQ@GpP‡[=?8
>7bP@
5<68PDQ@Y1
(*+,-
"!
°±bP@8 >7b:@,5<@=E9I8PV>7b:=E8PD[U,VQ8P8:@,U><@,Ax><V[>7b:@=E8:‡Pp:>JV¨`68x¢mš •Vwµ ><bP@VQp+>7‡Pp:>’=E9
6• VQp:>i gP132 68PA „`bP@8976}>7pP5<6Y>7@A ˆgP1 0 1¯4cbP@,9Z@O65<@`8P@,65<G3d’>7b:@c976;@o¨VQ5@w6YU…b
V¨>7b:@I• Vwµ+@,9O•@Uw6pP9Z@I><bP@KGE=?;´=3>79 65<@K8PV>`A:@,‡ @,8PAP@8¯>ˆVQ8qD¯6=?8·1
]¯L
Oˆ
+ 2 -J~{1‘ 1·ŽV$,65
:1¼° 1¼°±=EG?@d“B)VQ8P9&lO@„ ­VQ5 l ·2fQfQ+1
+h0 -’B1+nœ1:© pP8PA:d <lOVQ=E9Z@OPDQpP5<@ :6Y8><@,8P8P6J>7@;´‡ @,5<6Y>7pP5Z@œ6Y8PA
9<@8P9<=3>7=3a+=3> d¹GE@”aQ@,GŠ¨VQ5
„`=E5Z@,GE@9<9TUVQ;;{pP8P=?Uw6Y><=EVQ8J5<@,U@,=3aQ@,5Z9 !"
#$% QaQVQGƒ1gP2 Q8PVP1¯^ Q‡P‡·1
2 Q Š2Q2] P‘C65<U…b 2fQf:1
+ ^ -IŒ’1 pPAPG?VS &'$(*)+#",.-/*(*0#1$(2-/*(*34$%567)89# =?@„ @,D ©u576YpP8P9<U…b¯„ @,=?D ²K@5<;´68¯d ·2ffQ^:1
+ g -Jšu1P41 OG 6•¯d ŠNI'1 1Š‘XV+VQ5Z@ Š68:AXŒJ1 1FšFpP8PD !
-:;<
8='>?@3A
B 8CD$(FE/33AHG$
%I3+JLKAMK6KAN$(OK6KPK Œœ5Z><@,U…b "OVQpP9Z@ lOVQ5Z„ V+V)A T‘[Œ 2fQQ]+1
+hL -Q,8985RSUT*TV
W88XJY8C;ZJ[%YW8XJC
;NT$8C;<
TW,[RW3[
=\XT*R$
+(#"83]T*(3]T*(3[
[J4,^8C;Q% ŒœDQ=?GE@8>o4@U…bP8PVQG?VQDQ=E@9 ŠŽ6GEV ŒWG?>7V ŠnuŒ 0 :2Q1
+ ] -Q,8985RSUT*T$LLJC;Z(3+JC
; rRGE@U>75ZV£‘[@U…bF68P=?Uw6GJ~ˆ@,9Z=EDQ8 B+@5Za)=EU@,9 ’²K6=?><bP@,5Z9 i
•PpP5ZD Š‘C~ 0 :2Q1
]Q]
Download